WO1998044548A1 - Method of forming a contact opening adjacent to an isolation trench in a semiconductor substrate - Google Patents

Method of forming a contact opening adjacent to an isolation trench in a semiconductor substrate Download PDF

Info

Publication number
WO1998044548A1
WO1998044548A1 PCT/US1998/006502 US9806502W WO9844548A1 WO 1998044548 A1 WO1998044548 A1 WO 1998044548A1 US 9806502 W US9806502 W US 9806502W WO 9844548 A1 WO9844548 A1 WO 9844548A1
Authority
WO
WIPO (PCT)
Prior art keywords
isolation mass
etch stop
sidewall
forming
substrate
Prior art date
Application number
PCT/US1998/006502
Other languages
French (fr)
Inventor
Trung Tri Doan
Charles H. Dennison
Original Assignee
Micron Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology, Inc. filed Critical Micron Technology, Inc.
Priority to AU69465/98A priority Critical patent/AU6946598A/en
Priority to JP54198798A priority patent/JP2001517374A/en
Publication of WO1998044548A1 publication Critical patent/WO1998044548A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/05Etch and refill

Definitions

  • This invention relates to a semiconductor structure and semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass.
  • Background Art Implementing an integrated circuit involves interconnecting electronic devices which are formed on a substrate with other devices on the substrate or the outside world. Typically, such an interconnection is formed by depositing an insulating layer over the substrate and then conducting a contact etch through the insulating layer to a part of a device component thereunder.
  • An example of a part of a device component is a diffusion region which forms a source/drain region in a MOS device.
  • insulating material such as nitride sidewall spacers serve to protect certain device components, such as word lines, from a misalignment of the contact etch. This is commonly referred to as a self-aligned contact etch. Problems arise, however, when such a contact etch is to be conducted over a field isolation mass, such as a field oxide. Such problems are discussed directly below in connection with Figs. 1-3.
  • Fig. 1 illustrates a semiconductor wafer fragment 10 comprised of a bulk silicon substrate region 12 and a field oxide region 13.
  • a gate oxide layer 14 overlies silicon substrate 12.
  • a conductive line 15 overlies gate oxide 14, and a conductive line 16 overlies field oxide region 13.
  • Both lines 15 and 16 are provided with an etch resistant cap 17 and sidewall spacers 18 of nitride or some other etch resistant material.
  • Diffusion regions 19 and 20 are provided on either side of line 15 and define source/drain regions to which electrical connection will be made.
  • a planarized oxide insulating material 21 is provided over substrate region 12 and patterned with photomask 22 to define a contact opening 23 to diffusion region 20.
  • photomask 22 is misaligned somewhat to the left, the effect of which is to provide the contact opening etch 5 directly over field oxide region 13.
  • contact opening 23 has been etched through the oxide insulating material 21, and due to the photomask misalignment, a portion 24 of field oxide region 13 is also undesirably etched away. Etching away a portion of the field oxide region as shown is undesirable because such may cause shorts to the substrate and leakages which render a device inoperative.
  • One proposed solution is to provide a thin etch stop layer over the word line and the field oxide region.
  • this solution fails when the space through which a contact opening is to be made is very narrow. This is because the thin etch stop layer tends to clog such space and is oftentimes non-uniformly distributed therethrough.
  • Fig. 1 is a diagrammatic sectional view of a prior art semiconductor wafer fragment at one prior art processing step, and is discussed in the "Background" section above.
  • Fig. 2 is a view of the Fig. 1 prior art wafer fragment at a prior art processing step subsequent to that shown by Fig. 1.
  • Fig. 3 is a view of the Fig. 1 prior art wafer fragment at a prior art processing step subsequent to that shown by Fig. 2.
  • Fig. 4 is a diagrammatic sectional view of a semiconductor wafer fragment at one processing step in accordance with the invention.
  • Fig. 5 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 4.
  • Fig. 6 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 5.
  • Fig. 7 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 6.
  • Fig. 8 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 7.
  • Fig. 9 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 8.
  • Fig. 10 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 9.
  • Fig. 11 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 10.
  • Fig. 12 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 11.
  • Fig. 13 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 12.
  • Fig. 14 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 13.
  • Fig. 15 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 14.
  • Fig. 16 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 15. Best Modes for Carrying Out the Invention and Disclosure of Invention
  • a semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass comprises the following steps: forming a field isolation mass within a semiconductor substrate by a trench and refill technique, and a masking layer over the substrate adjacent the field isolation mass, the field isolation mass being capped with an etch stop cap, the field isolation mass having a sidewall covered by the masking layer; removing the substrate masking layer away from the isolation mass to expose at least a portion of the isolation mass sidewall; forming an etch stop cover over the exposed isolation mass sidewall; forming an insulating layer over the isolation mass and substrate area adjacent the isolation mass; and etching a contact opening through the insulating layer to adjacent the isolation mass selectively relative to the isolation mass etch stop cap and cover.
  • a semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass comprises the following steps: forming a field isolation mass within a semiconductor substrate by a trench and refill technique, and an electrically conductive masking layer over the substrate adjacent the field isolation mass, the field isolation mass having a sidewall covered by the masking layer, the field isolation mass and masking layer defining a generally planar outer surface; removing an amount of the field isolation mass sufficient to define a field isolation mass surface spaced inwardly from the masking layer planar outer surface; forming an etch stop cap atop the field isolation mass surface; patterning the substrate masking layer away from the isolation mass to form at least a portion of a device component spaced from the isolation mass and to expose the isolation mass sidewall; forming an etch stop cover over the exposed isolation mass sidewall; forming an insulating layer over the isolation mass and device component; and etching a contact opening through the insulating layer to ( between the isolation mass sidewall and device component selectively relative
  • a semiconductor structure comprises: a semiconductor substrate having a substrate surface; a field isolation mass projecting outwardly from the substrate surface including a substantially vertical sidewall at least a portion of which extends above the substrate surface, the isolation mass including a top having a cap of a first etch stop material; and a cover of a second etch stop material covering the field isolation mass sidewall.
  • a semiconductor wafer fragment is indicated generally with reference numeral 25.
  • Such comprises a bulk semiconductor substrate 26 (preferably monocrystalline silicon) having a gate oxide layer 28 and a conductive polysilicon layer 30 thereover.
  • An overlying layer 32 may optionally be provided or formed atop polysilicon layer 30. If so provided or formed, layer 32 may or may not be electrically conductive.
  • Layer 32 serves as an etch stop layer which provides greater selectivity than polysilicon layer 30 for a subsequent planarizing step described below.
  • layer 32 is conductive and comprises a tungsten suicide (WSi x ), although other materials such as various nitrides, or other ceramic materials or refractory- containing materials may be used.
  • WSi x tungsten suicide
  • materials such as TiSi x , W,
  • layers 30, 32 comprise an electrically conductive composite masking layer 33 wherein layer 32 defines an etch stop top layer.
  • an active area definition step is undergone in which masking layer 33 is patterned and the masking layer and gate oxide 28 are etched to expose a portion 34 of substrate 26 within which a field isolation mass will be formed, essentially preferably by a trench and refill technique to be described below.
  • the patterning and etching defines active areas 36 on either side of the exposed substrate portion 34.
  • a recess 38 is formed into substrate 26 by either a wet or dry etch, and preferably a dry etch, to a depth of around 2500 Angstroms.
  • a field implant step can be conducted to improve subsequent isolation within bulk substrate 26. Additionally, at this point a slight thermal oxidation can be conducted to create a thin oxide layer at the base of recess 38 having a thickness of about 50-200 Angstroms. Such thermal oxide layer provides better adherence of a subsequently deposited oxide layer.
  • a layer 40 of insulating material preferably Si0 2 , is deposited by chemical vapor deposition and completely fills recess 38.
  • layer 40 is planarized, preferably by a chemical- mechanical polish (CMP), at least down to overlying etch stop top layer 32.
  • CMP chemical- mechanical polish
  • WSi x for etch stop top layer 32 is desirable because such provides a polish/etch selectivity of around 50:1.
  • Other materials could be utilized.
  • nitride has a good selectivity of around 10:1.
  • polysilicon layer 30 could, by itself, also be used as an etch stop layer, although the selectivity may be much lower than those materials discussed above .
  • field oxide layer 40 sets forth a preferred, so-called trench and refill technique by which a field isolation mass is provided or formed within a semiconductor substrate.
  • the field isolation mass is referred to with reference numeral 40, and includes two substantially vertical sidewalls 42, 44.
  • conductive layer 30 and optional overlying layer 32 define a composite masking layer 33 which, after formation of field isolation mass 40, covers sidewalls 42, 44 of the field isolation mass.
  • field isolation mass 40 and masking layer 33 define a generally planar outer first surface 35.
  • an etch stop cap is formed on top of field isolation mass 40. Such is formed by first conducting a wet or dry etch (and preferably a dry etch) of field isolation mass 40 to remove an amount sufficient to define a field isolation mass surface or second surface 46.
  • Surface 46 is spaced inwardly from that portion of planar outer first surface 35 defined by masking layer 33.
  • second surface 46 is spaced below first surface 35, and preferably below layer 32.
  • a layer of etch stop material 48 is deposited atop the field isolation mass surface and the masking layer planar outer surface.
  • the formed layer of etch stop material 48 preferably covers the first and second surfaces 35, 46 respectively, and completely fills in the recess over field isolation mass 40.
  • a preferred etch stop material is Si 3 N 4 , although other materials such as A1 2 0 3 , Ta 2 0 5 , Ti0 2 , and other insulating materials may be used.
  • etch stop material 48 is planarized as by chemical- mechanical polishing, to at least first surface 35 thereby defining an etch stop cap 50 atop the field isolation mass 40.
  • Other techniques could, of course, also be used.
  • a conductive layer 52 such as polysilicon, Ti, TiN/W, WSi x , TiSi ⁇ , (and preferably TiSi x ) is provided or formed over the first surface 35 and interconnects subsequently formed polysilicon blocks defining continuously running word lines. If a non-conductive material was previously used for etch stop top layer 32, such is removed prior to provision of layer 52. Additionally, an etch stop layer 54 is provided or formed atop conductive layer 52 to insulate the conductive layer during subsequent gate definition and etching procedures. Preferably etch stop layer 54 is formed from the same material which forms etch stop cap 50, such material preferably being nitride.
  • conductive layer 52 and substrate masking layer 33 are patterned and etched away from isolation mass 40 to form at least a portion of a device component 56.
  • component 56 is in the form of a conductive transistor line running into and out of the plane of the page upon which Fig. 13 lies.
  • the patterning and etching step defines a plurality of device components, such as lines 56, 57a, 57b, and 57c.
  • the rightmost device component 56 will be described, it being understood that the achieved advantages apply to other such similar device components.
  • Component 56 is spaced laterally from isolation mass 40.
  • the patterned etch which produces component 56 exposes at least a substantially vertical portion of isolation mass sidewall 44, and defines a device component sidewall 58 which faces isolation mass sidewall 44.
  • conductivity enhancing impurity may be provided to a first concentration into the substrate between isolation mass sidewall 44 and device component portion 56 to define lightly doped drain structures 60.
  • a second etch stop material is deposited over the substrate and preferably over the exposed isolation mass sidewall 44 and device component sidewall 58.
  • the deposited etch stop material is referred to as a "second" etch stop material in the sense that a first etch stop material, i.e. the material defining etch stop cap 50, has already been deposited.
  • a subsequent anisotropic etch of the second etch stop material is conducted to a degree sufficient to leave a sidewall spacer 62 over the exposed isolation mass sidewall 44, and a sidewall spacer 64 over device component sidewall 58.
  • Sidewall spacer 62 need not necessarily be provided or formed over sidewall 44. Sidewall spacers are also formed on other device components as shown.
  • etch stop cap 50 and sidewall spacers 62, 64 form an etch stop cover over the respective sidewalls to which such are adjoined.
  • etch stop cap 50 and sidewall spacers 62, 64 are comprised of the same material, such as Si 3 N 4 , although other materials may be used.
  • a conductivity enhancing impurity is provided into the substrate to a second concentration between isolation mass 40 and device component portion 56.
  • the second concentration is preferably greater than the first concentration and together therewith defines respective source/drain regions 66.
  • a semiconductor structure is provided having a field isolation mass 40 which projects outwardly from the substrate surface.
  • the field isolation mass includes substantially vertical sidewall 44, at least of portion of which extends above the substrate surface.
  • Field isolation mass 40 includes a top having an etch stop cap 50 and at least one cover or sidewall spacer, a representative one of which is indicated with numeral 62 covering sidewall 44. Referring to Fig. 15, an insulating layer 68 is formed over isolation mass 40 and active area 36 adjacent thereto, effectively over device component 56.
  • a contact opening 70 is patterned and etched through the insulating layer 68 to between the isolation mass sidewall 44 and device component 56.
  • Contact opening 70 is shown as being misaligned due to a misalignment of the photomask defining the contact opening. More specifically, referring to isolation mass 40, insulating material 68 may be seen to overlie a major portion of etch stop cap 50, leaving exposed a small portion of the etch stop cap and sidewall spacer 62 on the right-hand side of the isolation mass as viewed in Fig. 16. Notwithstanding such misalignment, field isolation mass 40 is protected during a subsequent contact etch due to etch stop cap 50 and sidewall spacer 62 which effectively seals the field isolation mass.
  • the above described preferred semiconductor processing method and structure allow for contact openings to be formed in a region adjacent a field isolation mass without the risk that an over etch of the contact opening will etch away field isolation mass material. Additionally, advantages in scalability are achieved because smaller structures may be fabricated without the problems stemming from the use of thin film etch stop layers described above. Furthermore, because the preferred field isolation mass is deposited rather than grown, the problems associated with the characteristic bird's beak structure of grown field oxides is virtually eliminated.

Abstract

A semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass includes: a) forming a field isolation mass (40) within a semiconductor substrate (26) by a trench and refill technique, and a substrate masking layer over the substrate adjacent the field isolation mass, the field isolation mass being capped with an etch stop cap (50), the field isolation mass having a sidewall covered by the masking layer; (b) removing the substrate masking layer away from the isolation mass to expose at least a portion of the isolation mass sidewall; c) forming an etch stop cover (62) over the exposed isolation mass sidewall; d) forming an insulating layer (68) over the isolation mass and substrate area adjacent the isolation mass; and e) etching a contact opening (70) through the insulating layer adjacent the isolation mass selectively relative to the isolation mass to etch stop cap (50) and cover (60). A semiconductor structure is also described.

Description

DESCRIPTION
METHOD OF FORMING A CONTACT OPENING ADJACENT TO AN ISOLAΗON TRENCH IN A SEMICONDUCTOR SUBSTRATE
Technical Field
This invention relates to a semiconductor structure and semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass. Background Art Implementing an integrated circuit involves interconnecting electronic devices which are formed on a substrate with other devices on the substrate or the outside world. Typically, such an interconnection is formed by depositing an insulating layer over the substrate and then conducting a contact etch through the insulating layer to a part of a device component thereunder. An example of a part of a device component is a diffusion region which forms a source/drain region in a MOS device.
Typically, when such a contact etch is to be performed, insulating material such as nitride sidewall spacers serve to protect certain device components, such as word lines, from a misalignment of the contact etch. This is commonly referred to as a self-aligned contact etch. Problems arise, however, when such a contact etch is to be conducted over a field isolation mass, such as a field oxide. Such problems are discussed directly below in connection with Figs. 1-3.
Fig. 1 illustrates a semiconductor wafer fragment 10 comprised of a bulk silicon substrate region 12 and a field oxide region 13. A gate oxide layer 14 overlies silicon substrate 12. A conductive line 15 overlies gate oxide 14, and a conductive line 16 overlies field oxide region 13. Both lines 15 and 16 are provided with an etch resistant cap 17 and sidewall spacers 18 of nitride or some other etch resistant material. Diffusion regions 19 and 20 are provided on either side of line 15 and define source/drain regions to which electrical connection will be made.
Referring to Fig. 2, a planarized oxide insulating material 21 is provided over substrate region 12 and patterned with photomask 22 to define a contact opening 23 to diffusion region 20. As shown, photomask 22 is misaligned somewhat to the left, the effect of which is to provide the contact opening etch 5 directly over field oxide region 13. Referring to Fig. 3, contact opening 23 has been etched through the oxide insulating material 21, and due to the photomask misalignment, a portion 24 of field oxide region 13 is also undesirably etched away. Etching away a portion of the field oxide region as shown is undesirable because such may cause shorts to the substrate and leakages which render a device inoperative.
One proposed solution is to provide a thin etch stop layer over the word line and the field oxide region. However, this solution fails when the space through which a contact opening is to be made is very narrow. This is because the thin etch stop layer tends to clog such space and is oftentimes non-uniformly distributed therethrough.
This invention arose out of concerns associated with forming a contact opening to a region adjacent a field isolation mass without the risk of etching the field isolation mass during provision of the contact opening. Brief Description of the Drawings Preferred embodiments of the invention are described below with reference to the following accompanying drawings.
Fig. 1 is a diagrammatic sectional view of a prior art semiconductor wafer fragment at one prior art processing step, and is discussed in the "Background" section above. Fig. 2 is a view of the Fig. 1 prior art wafer fragment at a prior art processing step subsequent to that shown by Fig. 1.
Fig. 3 is a view of the Fig. 1 prior art wafer fragment at a prior art processing step subsequent to that shown by Fig. 2.
Fig. 4 is a diagrammatic sectional view of a semiconductor wafer fragment at one processing step in accordance with the invention.
Fig. 5 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 4.
Fig. 6 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 5. Fig. 7 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 6.
Fig. 8 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 7.
Fig. 9 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 8. Fig. 10 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 9.
Fig. 11 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 10. Fig. 12 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 11.
Fig. 13 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 12.
Fig. 14 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 13.
Fig. 15 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 14.
Fig. 16 is a view of the Fig. 4 wafer fragment at a processing step subsequent to that shown by Fig. 15. Best Modes for Carrying Out the Invention and Disclosure of Invention
In accordance with one aspect of the invention, a semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass comprises the following steps: forming a field isolation mass within a semiconductor substrate by a trench and refill technique, and a masking layer over the substrate adjacent the field isolation mass, the field isolation mass being capped with an etch stop cap, the field isolation mass having a sidewall covered by the masking layer; removing the substrate masking layer away from the isolation mass to expose at least a portion of the isolation mass sidewall; forming an etch stop cover over the exposed isolation mass sidewall; forming an insulating layer over the isolation mass and substrate area adjacent the isolation mass; and etching a contact opening through the insulating layer to adjacent the isolation mass selectively relative to the isolation mass etch stop cap and cover. In accordance with another aspect of the invention, a semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass comprises the following steps: forming a field isolation mass within a semiconductor substrate by a trench and refill technique, and an electrically conductive masking layer over the substrate adjacent the field isolation mass, the field isolation mass having a sidewall covered by the masking layer, the field isolation mass and masking layer defining a generally planar outer surface; removing an amount of the field isolation mass sufficient to define a field isolation mass surface spaced inwardly from the masking layer planar outer surface; forming an etch stop cap atop the field isolation mass surface; patterning the substrate masking layer away from the isolation mass to form at least a portion of a device component spaced from the isolation mass and to expose the isolation mass sidewall; forming an etch stop cover over the exposed isolation mass sidewall; forming an insulating layer over the isolation mass and device component; and etching a contact opening through the insulating layer to ( between the isolation mass sidewall and device component selectively relative to the isolation mass i βtch stop cap and etch stop cover.
In accordance with another aspect of the invention, a semiconductor structure comprises: a semiconductor substrate having a substrate surface; a field isolation mass projecting outwardly from the substrate surface including a substantially vertical sidewall at least a portion of which extends above the substrate surface, the isolation mass including a top having a cap of a first etch stop material; and a cover of a second etch stop material covering the field isolation mass sidewall. Referring to Figs. 4-16, and first to Fig. 4, a semiconductor wafer fragment is indicated generally with reference numeral 25. Such comprises a bulk semiconductor substrate 26 (preferably monocrystalline silicon) having a gate oxide layer 28 and a conductive polysilicon layer 30 thereover. An overlying layer 32 may optionally be provided or formed atop polysilicon layer 30. If so provided or formed, layer 32 may or may not be electrically conductive.
Layer 32 serves as an etch stop layer which provides greater selectivity than polysilicon layer 30 for a subsequent planarizing step described below. Preferably, layer 32 is conductive and comprises a tungsten suicide (WSix), although other materials such as various nitrides, or other ceramic materials or refractory- containing materials may be used. For example, materials such as TiSix, W,
WNχ, Ta, TaN, and TiN may be used. For purposes of the continuing discussion, layers 30, 32 comprise an electrically conductive composite masking layer 33 wherein layer 32 defines an etch stop top layer.
Referring to Fig. 5, an active area definition step is undergone in which masking layer 33 is patterned and the masking layer and gate oxide 28 are etched to expose a portion 34 of substrate 26 within which a field isolation mass will be formed, essentially preferably by a trench and refill technique to be described below. The patterning and etching defines active areas 36 on either side of the exposed substrate portion 34.
Referring to Fig. 6, a recess 38 is formed into substrate 26 by either a wet or dry etch, and preferably a dry etch, to a depth of around 2500 Angstroms. A field implant step can be conducted to improve subsequent isolation within bulk substrate 26. Additionally, at this point a slight thermal oxidation can be conducted to create a thin oxide layer at the base of recess 38 having a thickness of about 50-200 Angstroms. Such thermal oxide layer provides better adherence of a subsequently deposited oxide layer.
Referring to Fig. 7, a layer 40 of insulating material, preferably Si02, is deposited by chemical vapor deposition and completely fills recess 38.
Referring to Fig. 8, layer 40 is planarized, preferably by a chemical- mechanical polish (CMP), at least down to overlying etch stop top layer 32. Utilizing WSix for etch stop top layer 32 is desirable because such provides a polish/etch selectivity of around 50:1. Other materials, however, could be utilized. For example, nitride has a good selectivity of around 10:1. Additionally, polysilicon layer 30 could, by itself, also be used as an etch stop layer, although the selectivity may be much lower than those materials discussed above .
The above-described formation of field oxide layer 40 sets forth a preferred, so-called trench and refill technique by which a field isolation mass is provided or formed within a semiconductor substrate. In the ongoing discussion, the field isolation mass is referred to with reference numeral 40, and includes two substantially vertical sidewalls 42, 44.
As discussed above and referring still to Fig. 8, conductive layer 30 and optional overlying layer 32 define a composite masking layer 33 which, after formation of field isolation mass 40, covers sidewalls 42, 44 of the field isolation mass. For purposes of ongoing discussion, field isolation mass 40 and masking layer 33 define a generally planar outer first surface 35. Referring to Figs. 9 and 10, an etch stop cap is formed on top of field isolation mass 40. Such is formed by first conducting a wet or dry etch (and preferably a dry etch) of field isolation mass 40 to remove an amount sufficient to define a field isolation mass surface or second surface 46. Surface 46 is spaced inwardly from that portion of planar outer first surface 35 defined by masking layer 33. As shown, second surface 46 is spaced below first surface 35, and preferably below layer 32.
Referring to Fig. 10, a layer of etch stop material 48 is deposited atop the field isolation mass surface and the masking layer planar outer surface. The formed layer of etch stop material 48 preferably covers the first and second surfaces 35, 46 respectively, and completely fills in the recess over field isolation mass 40. A preferred etch stop material is Si3N4, although other materials such as A1203, Ta205, Ti02, and other insulating materials may be used.
Referring to Fig. 11, etch stop material 48 is planarized as by chemical- mechanical polishing, to at least first surface 35 thereby defining an etch stop cap 50 atop the field isolation mass 40. Other techniques could, of course, also be used.
Referring to Fig. 12, a conductive layer 52 such as polysilicon, Ti, TiN/W, WSix, TiSiχ, (and preferably TiSix) is provided or formed over the first surface 35 and interconnects subsequently formed polysilicon blocks defining continuously running word lines. If a non-conductive material was previously used for etch stop top layer 32, such is removed prior to provision of layer 52. Additionally, an etch stop layer 54 is provided or formed atop conductive layer 52 to insulate the conductive layer during subsequent gate definition and etching procedures. Preferably etch stop layer 54 is formed from the same material which forms etch stop cap 50, such material preferably being nitride.
Referring to Fig. 13, conductive layer 52 and substrate masking layer 33 are patterned and etched away from isolation mass 40 to form at least a portion of a device component 56. In the illustrated and preferred embodiment, component 56 is in the form of a conductive transistor line running into and out of the plane of the page upon which Fig. 13 lies. Preferably, the patterning and etching step defines a plurality of device components, such as lines 56, 57a, 57b, and 57c. For purposes of the ongoing discussion, the rightmost device component 56 will be described, it being understood that the achieved advantages apply to other such similar device components. Component 56 is spaced laterally from isolation mass 40. The patterned etch which produces component 56 exposes at least a substantially vertical portion of isolation mass sidewall 44, and defines a device component sidewall 58 which faces isolation mass sidewall 44. At this point, conductivity enhancing impurity may be provided to a first concentration into the substrate between isolation mass sidewall 44 and device component portion 56 to define lightly doped drain structures 60.
Referring to Fig. 14, a second etch stop material is deposited over the substrate and preferably over the exposed isolation mass sidewall 44 and device component sidewall 58. The deposited etch stop material is referred to as a "second" etch stop material in the sense that a first etch stop material, i.e. the material defining etch stop cap 50, has already been deposited. A subsequent anisotropic etch of the second etch stop material is conducted to a degree sufficient to leave a sidewall spacer 62 over the exposed isolation mass sidewall 44, and a sidewall spacer 64 over device component sidewall 58. Sidewall spacer 62, however, need not necessarily be provided or formed over sidewall 44. Sidewall spacers are also formed on other device components as shown. Sidewall spacers 62, 64 form an etch stop cover over the respective sidewalls to which such are adjoined. Preferably, etch stop cap 50 and sidewall spacers 62, 64 are comprised of the same material, such as Si3N4, although other materials may be used. At this point, a conductivity enhancing impurity is provided into the substrate to a second concentration between isolation mass 40 and device component portion 56. The second concentration is preferably greater than the first concentration and together therewith defines respective source/drain regions 66. As shown, a semiconductor structure is provided having a field isolation mass 40 which projects outwardly from the substrate surface. The field isolation mass includes substantially vertical sidewall 44, at least of portion of which extends above the substrate surface. Field isolation mass 40 includes a top having an etch stop cap 50 and at least one cover or sidewall spacer, a representative one of which is indicated with numeral 62 covering sidewall 44. Referring to Fig. 15, an insulating layer 68 is formed over isolation mass 40 and active area 36 adjacent thereto, effectively over device component 56.
Referring to Fig. 16, a contact opening 70 is patterned and etched through the insulating layer 68 to between the isolation mass sidewall 44 and device component 56. Contact opening 70 is shown as being misaligned due to a misalignment of the photomask defining the contact opening. More specifically, referring to isolation mass 40, insulating material 68 may be seen to overlie a major portion of etch stop cap 50, leaving exposed a small portion of the etch stop cap and sidewall spacer 62 on the right-hand side of the isolation mass as viewed in Fig. 16. Notwithstanding such misalignment, field isolation mass 40 is protected during a subsequent contact etch due to etch stop cap 50 and sidewall spacer 62 which effectively seals the field isolation mass.
The above described preferred semiconductor processing method and structure allow for contact openings to be formed in a region adjacent a field isolation mass without the risk that an over etch of the contact opening will etch away field isolation mass material. Additionally, advantages in scalability are achieved because smaller structures may be fabricated without the problems stemming from the use of thin film etch stop layers described above. Furthermore, because the preferred field isolation mass is deposited rather than grown, the problems associated with the characteristic bird's beak structure of grown field oxides is virtually eliminated.
In compliance with the statute, the invention has been described in language more or less specific as to structural and methodical features. It is to be understood, however, that the invention is not limited to the specific features shown and described, since the means herein disclosed comprise preferred forms of putting the invention into effect. The invention is, therefore, claimed in any of its forms or modifications within the proper scope of the appended claims appropriately interpreted in accordance with the doctrine of equivalents.

Claims

1. A semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass comprising the following steps: forming a field isolation mass within a semiconductor substrate by a trench and refill technique, and an electrically conductive substrate masking layer over the substrate adjacent the field isolation mass, the field isolation mass being capped with an etch stop cap, the field isolation mass having a sidewall covered by the masking layer; patterning the substrate masking layer away from the isolation mass to form at least a portion of a device component spaced from the isolation mass and to expose the isolation mass sidewall; forming an etch stop cover over the exposed isolation mass sidewall; forming an insulating layer over the isolation mass and device component; and etching a contact opening through the insulating layer to between the isolation mass sidewall and device component selectively relative to the isolation mass etch stop cap and cover.
2. The method of claim 1, wherein the electrically conductive masking layer comprises polysilicon.
3. The method of claim 1 , wherein the electrically conductive masking layer comprises a composite of polysilicon and an etch stop material atop the polysilicon.
4. The method of claim 1 , wherein the step of forming an etch stop cover over the exposed isolation mass sidewall comprises the steps of: depositing an etch stop material over the substrate and the exposed isolation mass sidewall; and conducting an anisotropic etch of the etch stop material to a degree sufficient to leave a sidewall spacer over the isolation mass sidewall.
5. The method of claim 1 , wherein the patterning step exposes a device component sidewall which faces the isolation mass sidewall, and the step of forming an etch stop cover over the exposed isolation mass sidewall comprises the steps of: depositing an etch stop material over the substrate and the exposed isolation mass sidewall; and conducting an anisotropic etch of the etch stop material to a degree sufficient to leave sidewall spacers over the isolation mass sidewall and the device component sidewall.
6. The method of claim 1 further comprising after the patterning step, providing conductivity-enhancing impurity into the substrate generally between the isolation mass and the device component portion.
7. The method of claim 1 , wherein the device component is a conductive line.
8. The method of claim 1, wherein the etch stop cap and etch stop cover are the same material.
9. The method of claim 1 , wherein the etch stop cap and etch stop cover are Si3N .
10. A semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass comprising the following steps: forming a field isolation mass within a semiconductor substrate by a trench and refill technique, and a substrate masking layer over the substrate adjacent the field isolation mass, the field isolation mass being capped with an etch stop cap, the field isolation mass having a sidewall covered by the masking layer; removing the substrate masking layer away from the isolation mass to expose at least a portion of the isolation mass sidewall; forming an etch stop cover over the exposed isolation mass sidewall; forming an insulating layer over the isolation mass and substrate area adjacent the isolation mass; and etching a contact opening through the insulating layer to adjacent the isolation mass selectively relative to the isolation mass etch stop cap and cover.
11. The method of claim 10, wherein the step of forming an etch stop cover over the exposed isolation mass sidewall comprises the steps of: depositing an etch stop material over the substrate and the exposed isolation mass sidewall; and conducting an anisotropic etch of the etch stop material to a degree sufficient to leave a sidewall spacer over the isolation mass sidewall.
12. The method of claim 10, wherein the formed field isolation mass and masking layer adjacent the field isolation mass define a generally planar outer surface, and the field isolation mass is capped with the etch stop cap by: etching an amount of the field isolation mass sufficient to define a field isolation mass surface below the masking layer planar outer surface; depositing an etch stop material atop the field isolation mass surface and the masking layer planar outer surface; and planarizing the etch stop material inwardly to at least the masking layer to form the etch stop cap.
13. The method of claim 10, wherein the formed field isolation mass and masking layer adjacent the field isolation mass define a generally planar outer surface, and the field isolation mass is capped with the etch stop cap by: etching an amount of the field isolation mass sufficient to define a field isolation mass surface below the masking layer planar outer surface; depositing an etch stop material atop the field isolation mass surface and the masking layer planar outer surface; and planarizing the etch stop material inwardly to at least the masking layer to form the etch stop cap; and wherein the step of forming an etch stop cover over the exposed isolation mass sidewall comprises the steps of: depositing a second etch stop material over the substrate and the exposed isolation mass sidewall; and conducting an anisotropic etch of the second etch stop material to a degree sufficient to leave a sidewall spacer over the exposed isolation mass sidewall, the etch stop cap and etch stop cover being formed from the same material.
14. A semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass comprising the following steps: forming a field isolation mass within a semiconductor substrate by a trench and refill technique, and an electrically conductive masking layer over the substrate adjacent the field isolation mass, the field isolation mass having a sidewall covered by the substrate masking layer, the field isolation mass and masking layer defining a generally planar outer surface; removing an amount of the field isolation mass sufficient to define a field isolation mass surface spaced inwardly from the masking layer planar outer surface; forming an etch stop cap atop the field isolation mass surface; patterning the substrate masking layer away from the isolation mass to form at least a portion of a device component spaced from the isolation mass; forming an insulating layer over the isolation mass and device component; and etching a contact opening through the insulating layer to between the isolation mass sidewall and device component selectively relative to the isolation mass etch stop cap.
15. The method of claim 14, wherein the patterning of the substrate masking layer exposes the isolation mass sidewall, and further comprising prior to the etching of the contact opening, forming an etch stop cover over the exposed isolation mass sidewall.
16. The method of claim 14, wherein the electrically conductive masking layer comprises a composite of a conductive layer and an etch stop material atop the conductive layer.
17. The method of claim 14, wherein the removing step defines a recess in the field isolation mass relative to the outer surface, and the step of forming an etch stop cap includes forming an etch stop layer over the field isolation mass surface and filling the recess.
18. The method of claim 14, wherein the removing step defines a recess in the field isolation mass relative to the outer surface and the step of forming the etch stop cap comprises the steps of: forming an etch stop layer over the field isolation surface and filling the recess; and planarizing the formed etch stop layer inwardly to at least the masking layer.
19. The method of claim 14, wherein the electrically conductive masking layer comprises a composite of a conductive layer and an etch stop material atop the conductive layer, the removing step defines a recess in the field isolation mass relative to the outer surface, and the step of forming an etch stop cap comprises the steps of: forming an etch stop layer over the field isolation surface and filling the recess; and planarizing the formed etch stop layer inwardly to at least the masking layer's etch stop material.
20. A semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass comprising the following steps: forming a field isolation mass within a semiconductor substrate by a trench and refill technique, and a substrate masking layer over the substrate adjacent the field isolation mass, the field isolation mass having a sidewa l covered by the substrate masking layer, the field isolation mass and substrate masking layer defining a generally planar first surface; removing an amount of field isolation mass to define a second surface below the first surface; forming a layer of etch stop material over the first and second surfaces; planarizing the etch stop material to at least the first surface to define an etch stop cap on the field isolation mass; patterning the substrate masking layer away from the isolation mass to expose the isolation mass sidewall; forming an etch stop cover over the exposed isolation mass sidewall; forming an insulating layer over the isolation mass and device component; and etching a contact opening through the insulating layer to between the isolation mass sidewall and device component selectively relative to the isolation mass etch stop cap and cover.
21. The method of claim 20, wherein the masking layer comprises an etch stop top layer defining a portion of the planar first surface, and the planarizing step includes planarizing the etch stop material formed over the first and second surfaces inwardly to at least the etch stop top layer.
22. The method of claim 20, wherein the masking layer comprises an etch stop top layer defining a portion of the planar first surface, the removing step defines a recess relative to the first surface, the step of forming a layer of etch stop material over the first and second surfaces completely fills the recess, and the planarizing step includes planarizing the etch stop material formed over the first and second surfaces inwardly to at least the etch stop top layer.
23. A semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass comprising the following steps: forming a field isolation mass within a semiconductor substrate by a trench and refill technique, and an electrically conductive masking layer over the substrate adjacent the field isolation mass, the field isolation mass having a sidewall covered by the masking layer, the field isolation mass and masking layer defining a generally planar first surface; removing an amount of the field isolation mass to define a second surface below the first surface; forming a layer of etch stop material over the first and second surfaces; planarizing the etch stop material to at least the first surface to define an etch stop cap on the field isolation mass; forming a conductive layer over the first surface; patterning the conductive layer and masking layer away from the isolation mass to form at least a portion of a device component spaced from the isolation mass and to expose the isolation mass sidewall and a device component sidewall; forming an etch stop cover over the exposed isolation mass sidewall and the device component sidewall; forming an insulating layer over the isolation mass and device component; and etching a contact opening through the insulating layer to between the isolation mass sidewall and device component selectively relative to the isolation mass etch stop cap and cover and the device component sidewall cover.
24. The method of claim 23, wherein the etch stop cap and the etch stop covers are formed from a nitride material.
25. The method of claim 23, wherein the step of forming an etch stop cover over the exposed isolation mass sidewall and the device component sidewall comprise the steps of: depositing an etch stop material over the substrate; and conducting an anisotropic etch of the etch stop material sufficient to leave sidewall spacers over the exposed isolation mass sidewall and the device component sidewall.
26. The method of claim 23, wherein the step of forming an etch stop cover over the exposed isolation mass sidewall and the device component sidewall comprise the steps of: depositing an etch stop material over the substrate; and conducting an anisotropic etch of the etch stop material sufficient to leave sidewall spacers over the exposed isolation mass sidewall and the device component sidewall, the etch stop cap and etch stop covers being formed from a nitride material.
27. A semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass comprising the following steps: forming a field isolation mass within a semiconductor substrate by a trench and refill technique, and an electrically conductive substrate masking layer over the substrate adjacent the field isolation mass, the field isolation mass having a sidewall covered by the masking layer, the field isolation mass and masking layer defining a generally planar first surface; removing an amount of the field isolation mass to define a second surface below the first surface; forming a layer of etch stop material over the first and second surfaces; planarizing the etch stop material to at least the first surface to define an etch stop cap on the field isolation mass; forming a conductive layer over the first surface; patterning the conductive layer and substrate masking layer away from the isolation mass to form at least a portion of a device component spaced from the isolation mass and to expose the isolation mass sidewall and a device component sidewall; providing a conductivity enhancing impurity to a first concentration into the substrate between the isolation mass and device component portion; forming an etch stop cover over the exposed isolation mass sidewall and the device component sidewall; providing a conductivity enhancing impurity to a second concentration into the substrate between the isolation mass and device component portion, the second concentration being greater than the first, the first and second concentrations defining a source/drain region; forming an insulating layer over the isolation mass and device component; and etching a contact opening through the insulating layer to between the isolation mass sidewall and device component selectively relative to the isolation mass etch stop cap and cover and the device component etch stop cover.
28. The method of claim 27, wherein the patterned-away conductive layer defines a conductive line.
29. A semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass comprising the following steps: forming a field isolation mass within a semiconductor substrate, the field isolation mass having a substantially vertical sidewall, and a substrate masking layer over the substrate adjacent the field isolation mass, the field isolation mass being capped with an etch stop cap, the field isolation mass sidewall being covered by the masking layer; removing the substrate masking layer away from the isolation mass to expose at least a portion of the isolation mass sidewall; forming an etch stop cover over the exposed isolation mass sidewall; forming an insulating layer over the isolation mass and substrate area adjacent the isolation mass; and etching a contact opening through the insulating layer to adjacent the isolation mass selectively relative to the isolation mass etch stop cap and cover.
30. A semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass comprising the following steps: forming a field isolation mass within a semiconductor substrate and forming substrate active area adjacent thereto, the field isolation mass being capped with an etch stop cap, the field isolation mass having a substantially vertical sidewall covered by an etch stop cover; forming an insulating layer over the isolation mass and adjacent active area; and etching a contact opening through the insulating layer to the active area adjacent the isolation mass selectively relative to the isolation mass etch stop cap and cover.
31. A semiconductor structure comprising: a semiconductor substrate having a substrate surface; a field isolation mass projecting outwardly from the substrate surface including a substantially vertical sidewall at least a portion of which extends above the substrate surface, the isolation mass including a top having a cap of a first etch stop material; and a cover of a second etch stop material covering the field isolation mass sidewall.
32. The semiconductor structure of claim 31, wherein the cap defines a generally planar surface.
33. The semiconductor structure of claim 31, wherein the cap and the cover comprise the same etch stop material.
34. The semiconductor structure of claim 31, wherein the cap and the cover predominately comprise Si3N .
35. The semiconductor structure of claim 31 further comprising a device component laterally spaced from the field isolation mass sidewall, the device component including a sidewall covered by an etch stop material.
36. The semiconductor structure of claim 31 wherein the cover is formed by a deposition of a masking layer and a subsequent anisotropic etch of the masking layer.
PCT/US1998/006502 1997-04-03 1998-03-31 Method of forming a contact opening adjacent to an isolation trench in a semiconductor substrate WO1998044548A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
AU69465/98A AU6946598A (en) 1997-04-03 1998-03-31 Method of forming a contact opening adjacent to an isolation trench in a semiconductor substrate
JP54198798A JP2001517374A (en) 1997-04-03 1998-03-31 Method of forming contact opening adjacent to isolation groove in semiconductor substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/825,644 1997-04-03
US08/825,644 US5866465A (en) 1997-04-03 1997-04-03 Semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass

Publications (1)

Publication Number Publication Date
WO1998044548A1 true WO1998044548A1 (en) 1998-10-08

Family

ID=25244565

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1998/006502 WO1998044548A1 (en) 1997-04-03 1998-03-31 Method of forming a contact opening adjacent to an isolation trench in a semiconductor substrate

Country Status (5)

Country Link
US (3) US5866465A (en)
JP (2) JP2001517374A (en)
KR (1) KR100439242B1 (en)
AU (1) AU6946598A (en)
WO (1) WO1998044548A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000340648A (en) * 1999-05-13 2000-12-08 Stmicroelectronics Inc Improved integrated circuit isolating structure and manufacture thereof
JP2003508916A (en) * 1999-08-30 2003-03-04 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Nonvolatile memory with high gate coupling capacitance

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5866465A (en) * 1997-04-03 1999-02-02 Micron Technology, Inc. Semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass
US5963818A (en) * 1997-09-17 1999-10-05 Motorola, Inc Combined trench isolation and inlaid process for integrated circuit formation
US6309947B1 (en) * 1997-10-06 2001-10-30 Advanced Micro Devices, Inc. Method of manufacturing a semiconductor device with improved isolation region to active region topography
US6107157A (en) 1998-02-27 2000-08-22 Micron Technology, Inc. Method and apparatus for trench isolation process with pad gate and trench edge spacer elimination
US6165843A (en) * 1998-03-20 2000-12-26 Mosel Vitelic, Inc. Covered slit isolation between integrated circuit devices
US5880006A (en) * 1998-05-22 1999-03-09 Vlsi Technology, Inc. Method for fabrication of a semiconductor device
US6248636B1 (en) * 1998-05-28 2001-06-19 Samsung Electronics Co., Ltd. Method for forming contact holes of semiconductor memory device
US6323540B1 (en) 1998-06-10 2001-11-27 Micron Technology, Inc. Semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass, and a semiconductor structure
KR100292616B1 (en) * 1998-10-09 2001-07-12 윤종용 Manufacturing method of trench isolation
US6323103B1 (en) * 1998-10-20 2001-11-27 Siemens Aktiengesellschaft Method for fabricating transistors
KR100355034B1 (en) 1999-07-15 2002-10-05 삼성전자 주식회사 Semiconductor device with SEG layer and Method for isolating thereof
KR100338767B1 (en) 1999-10-12 2002-05-30 윤종용 Trench Isolation structure and semiconductor device having the same, trench isolation method
US20020052093A1 (en) * 2000-09-27 2002-05-02 Horng-Huei Tseng Method of forming insulative trench
US6586814B1 (en) * 2000-12-11 2003-07-01 Lsi Logic Corporation Etch resistant shallow trench isolation in a semiconductor wafer
US6498383B2 (en) * 2001-05-23 2002-12-24 International Business Machines Corporation Oxynitride shallow trench isolation and method of formation
CN1220259C (en) * 2001-12-27 2005-09-21 松下电器产业株式会社 Forming method for wiring structure
CN1198331C (en) * 2001-12-27 2005-04-20 松下电器产业株式会社 Forming method for wiring structure
US6773975B1 (en) * 2002-12-20 2004-08-10 Cypress Semiconductor Corporation Formation of a shallow trench isolation structure in integrated circuits
US7981800B1 (en) 2006-08-25 2011-07-19 Cypress Semiconductor Corporation Shallow trench isolation structures and methods for forming the same
JP4968014B2 (en) 2007-11-22 2012-07-04 ソニー株式会社 Backlight device and liquid crystal display device

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1991010261A1 (en) * 1990-01-04 1991-07-11 International Business Machines Corporation Semiconductor interconnect structure utilizing a polyimide insulator
EP0549055A2 (en) * 1991-12-23 1993-06-30 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device provided with a field effect transistor, and such a semiconductor device
US5292683A (en) * 1993-06-09 1994-03-08 Micron Semiconductor, Inc. Method of isolating semiconductor devices and arrays of memory integrated circuitry
US5362669A (en) * 1993-06-24 1994-11-08 Northern Telecom Limited Method of making integrated circuits
US5506168A (en) * 1992-10-27 1996-04-09 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
JPH09134954A (en) * 1995-11-08 1997-05-20 Toshiba Microelectron Corp Semiconductor device and its manufacture

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4221045A (en) * 1978-06-06 1980-09-09 Rockwell International Corporation Self-aligned contacts in an ion implanted VLSI circuit
US4587711A (en) * 1978-05-26 1986-05-13 Rockwell International Corporation Process for high density VLSI circuits, having self-aligned gates and contacts for FET devices and conducting lines
US4442591A (en) * 1982-02-01 1984-04-17 Texas Instruments Incorporated High-voltage CMOS process
US4590663A (en) * 1982-02-01 1986-05-27 Texas Instruments Incorporated High voltage CMOS technology with N-channel source/drain extensions
US4746219A (en) * 1986-03-07 1988-05-24 Texas Instruments Incorporated Local interconnect
JPH01125971A (en) * 1987-11-11 1989-05-18 Seiko Instr & Electron Ltd C-mis semiconductor device and manufacture thereof
US5219793A (en) * 1991-06-03 1993-06-15 Motorola Inc. Method for forming pitch independent contacts and a semiconductor device having the same
JPH0513566A (en) * 1991-07-01 1993-01-22 Toshiba Corp Manufacture of semiconductor device
US5292677A (en) * 1992-09-18 1994-03-08 Micron Technology, Inc. Reduced mask CMOS process for fabricating stacked capacitor multi-megabit dynamic random access memories utilizing single etch stop layer for contacts
US5539229A (en) * 1994-12-28 1996-07-23 International Business Machines Corporation MOSFET with raised STI isolation self-aligned to the gate stack
JP3316103B2 (en) * 1995-05-31 2002-08-19 株式会社東芝 Semiconductor integrated circuit and manufacturing method thereof
US5721146A (en) * 1996-04-29 1998-02-24 Taiwan Semiconductor Manufacturing Company Ltd Method of forming buried contact architecture within a trench
US5866465A (en) * 1997-04-03 1999-02-02 Micron Technology, Inc. Semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1991010261A1 (en) * 1990-01-04 1991-07-11 International Business Machines Corporation Semiconductor interconnect structure utilizing a polyimide insulator
EP0549055A2 (en) * 1991-12-23 1993-06-30 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device provided with a field effect transistor, and such a semiconductor device
US5506168A (en) * 1992-10-27 1996-04-09 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
US5292683A (en) * 1993-06-09 1994-03-08 Micron Semiconductor, Inc. Method of isolating semiconductor devices and arrays of memory integrated circuitry
US5362669A (en) * 1993-06-24 1994-11-08 Northern Telecom Limited Method of making integrated circuits
JPH09134954A (en) * 1995-11-08 1997-05-20 Toshiba Microelectron Corp Semiconductor device and its manufacture

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 097, no. 009 30 September 1997 (1997-09-30) *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000340648A (en) * 1999-05-13 2000-12-08 Stmicroelectronics Inc Improved integrated circuit isolating structure and manufacture thereof
JP2003508916A (en) * 1999-08-30 2003-03-04 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Nonvolatile memory with high gate coupling capacitance
JP4955880B2 (en) * 1999-08-30 2012-06-20 スパンション エルエルシー Method for fabricating an integrated circuit forming a trench in a substrate

Also Published As

Publication number Publication date
JP2001517374A (en) 2001-10-02
AU6946598A (en) 1998-10-22
KR100439242B1 (en) 2004-07-05
US6084289A (en) 2000-07-04
JP2006054486A (en) 2006-02-23
US5866465A (en) 1999-02-02
KR20000076060A (en) 2000-12-26
US6184127B1 (en) 2001-02-06

Similar Documents

Publication Publication Date Title
US5866465A (en) Semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass
US5966615A (en) Method of trench isolation using spacers to form isolation trenches with protected corners
US20070057288A1 (en) Methods of Fabricating Semiconductor Devices with Enlarged Recessed Gate Electrodes
JP3123092B2 (en) Method for manufacturing semiconductor device
US6395598B1 (en) Semiconductor device and method for fabricating the same
US6373119B1 (en) Semiconductor device and method of manufacturing the same
US6352897B1 (en) Method of improving edge recess problem of shallow trench isolation
JPH09260655A (en) Manufacture of semiconductor device
US6300666B1 (en) Method for forming a frontside contact to the silicon substrate of a SOI wafer in the presence of planarized contact dielectrics
EP0526244B1 (en) Method of forming a polysilicon buried contact
US5589418A (en) Method of forming a polysilicon buried contact
US20030003700A1 (en) Methods providing oxide layers having reduced thicknesses at central portions thereof and related devices
US6620663B1 (en) Self-aligned copper plating/CMP process for RF lateral MOS device
US6939799B2 (en) Method of forming a field effect transistor and methods of forming integrated circuitry
JP5220988B2 (en) Semiconductor device
US6323540B1 (en) Semiconductor processing method of forming a contact opening to a region adjacent a field isolation mass, and a semiconductor structure
JP3483090B2 (en) Method for manufacturing semiconductor device
JP3288211B2 (en) Method for manufacturing semiconductor device
JPH09172063A (en) Semiconductor device and its manufacture
JPH11163325A (en) Semiconductor device and manufacture thereof
US6025272A (en) Method of planarize and improve the effectiveness of the stop layer
US6521517B1 (en) Method of fabricating a gate electrode using a second conductive layer as a mask in the formation of an insulating layer by oxidation of a first conductive layer
JPH1041505A (en) Manufacture of semiconductor device
JP2000216235A (en) Semiconductor ic device and producing method therefor
JP3667907B2 (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GE GH GM GW HU ID IL IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG UZ VN YU ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW SD SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1019997008148

Country of ref document: KR

ENP Entry into the national phase

Ref country code: JP

Ref document number: 1998 541987

Kind code of ref document: A

Format of ref document f/p: F

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: CA

WWP Wipo information: published in national office

Ref document number: 1019997008148

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1019997008148

Country of ref document: KR