WO1999004060A1 - Fluid delivery apparatus and method - Google Patents

Fluid delivery apparatus and method Download PDF

Info

Publication number
WO1999004060A1
WO1999004060A1 PCT/US1998/014525 US9814525W WO9904060A1 WO 1999004060 A1 WO1999004060 A1 WO 1999004060A1 US 9814525 W US9814525 W US 9814525W WO 9904060 A1 WO9904060 A1 WO 9904060A1
Authority
WO
WIPO (PCT)
Prior art keywords
liquid
vessel
barrier member
delivery apparatus
pressure
Prior art date
Application number
PCT/US1998/014525
Other languages
French (fr)
Inventor
Edward A. Sturm
Original Assignee
Advanced Technology Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials, Inc. filed Critical Advanced Technology Materials, Inc.
Priority to EP98934511A priority Critical patent/EP1021588A4/en
Priority to AU84016/98A priority patent/AU8401698A/en
Publication of WO1999004060A1 publication Critical patent/WO1999004060A1/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J4/00Feed or outlet devices; Feed or outlet control devices
    • B01J4/001Feed or outlet devices as such, e.g. feeding tubes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials

Definitions

  • This invention relates to delivering a fluid to a downstream process and, more particularly, to delivering a fluid to a vapor deposition process.
  • the fluid delivery may be carried out by a liquid delivery system in which a liquid precursor is flash vaporized and the resulting vapor is transported to the deposition site.
  • a wide variety of source materials have been employed. These source materials include reagents and precursor materials of widely varying types, and in various physical states.
  • vapor phase deposition has been used widely as a technique.
  • the source material may be of initially solid form which is sublimed or melted and vaporized to provide a desirable vapor phase source reagent.
  • the reagent may be of normally liquid state, which is vaporized, or the reagent may be in the vapor phase in the first instance.
  • reagents In the manufacture of advanced thin film materials, a variety of reagents may be used. These reagents may be used in mixture with one another in a multicomponent fluid which is utilized to deposit a corresponding multicomponent or heterogeneous film material.
  • Such advanced thin film materials are increasingly important in the manufacture of microelectronic devices and in the emerging field of nanotechnology. For such applications and their implementation in high volume commercial manufacturing processes, it is essential that the film morphology, composition, and stoichiometry be closely controllable. This in turn requires highly reliable and efficient means and methods for delivery of source reagents to the locus of film formation.
  • refractory materials such as high temperature superconducting (HTSC) materials including YBa2Cu3 ⁇ x , wherein x is from about 6 to 7.3, BiSrCaCuO, and TIBaCaCuO.
  • HTSC high temperature superconducting
  • Barium titanate, BaTi ⁇ 3, and barium strontium titanate, Ba x Srj. x TiO3, have been identified as ferroelectric and photonic materials with unique and potentially very useful properties in thin film applications of such materials.
  • Ba x Sr ⁇ -x Nb2 ⁇ 6 is a photonic material whose index of refraction changes as a function of electric field and also as a function of the intensity of light upon it.
  • Lead zirconate titanate, PbZr ⁇ -x Ti x ⁇ 3, is a ferroelectric material whose properties are very interesting.
  • the Group LI metal fluorides, BaF2, CaF2, and SrF2 are useful for scintillation detecting and coating of optical fibers.
  • Refractory oxides such as Ta2 ⁇ s are coming into expanded use in the microelectronics industry; Ta2 ⁇ s is envisioned as a thin-film capacitor material whose use may enable higher density memory devices to be fabricated.
  • Thin films comprising the Group LI metal fluorides, BaF 2 , CaF 2 , and SrF 2 , are potentially very useful as buffer layers for interfacing between silicon substrates and HTSC or GaAs overlayers or between GaAs substrates and HTSC or silicon overlayers, and combinations of two or all of such metal fluorides may be employed in forming graded compositions in interlayers providing close lattice matching at the interfaces with the substrate and overlayer constituents of the composite.
  • a silicon substrate could be coated with an epitaxial layer of BaF 2 /CaF 2 , SrF 2 /CaF 2 , or SrF 2 /CaF 2 /BaF 2 , whose composition is tailored for a close lattice match to the silicon. If the ratio of the respective Group ⁇ metal species in the metal fluoride interlayers can be controlled precisely in the growth of the interlayer, the lattice constant could be graded to approach the lattice constant of GaAs. Thus, a gallium arsenide epitaxial layer could be grown over the metal fluoride interlayer, allowing the production of integrated GaAs devices on widely available, high quality silicon substrates.
  • metal fluoride interlayers would be as buffers between silicon substrates and polycrystalline HTSC films for applications such as non-equilibrium infrared detectors. Such an interlayer would permit the HTSC to be used in monolithic integrated circuits on silicon substrates.
  • BaTiO 3 and Ba x Sr 1 . x Nb 2 O 6 in film or epitaxial layer form are useful in photonic applications such as optical switching, holographic memory storage, and sensors.
  • the BaTiO 3 or Ba x Sr 1 . x Nb 2 O 6 film is the active element.
  • the related ferroelectric material PhZr ⁇ Ti x Os is potentially useful in infrared detectors and thin film capacitors well as filters and phase shifters.
  • Chemical vapor deposition is a particularly attractive method for forming thin film materials of the aforementioned types, because it is readily scaled up to production runs and because the electronic industry has a wide experience and an established equipment base in the use of CVD technology which can be applied to new CVD processes.
  • CVD chemical vapor deposition
  • the control of key variables such as stoichiometry and film thickness, and the coating of a wide variety of substrate geometries is possible with CVD.
  • Forming the thin films by CVD permits the integration of these materials into existing device production technologies.
  • CVD also permits the formation of layers of the refractory materials that are epitaxially related to substrates having close crystal structures.
  • the element source reagents i.e., the precursor compounds and complexes containing the elements or components of interest must be sufficiently volatile to permit gas phase transport into the chemical vapor deposition reactor.
  • the elemental component source reagent must decompose in the CVD reactor to deposit only the desired element at the desired growth temperatures. Premature gas phase reactions leading to paniculate formation must not occur, nor should the source reagent decompose in the lines before reaching the reactor deposition chamber.
  • obtaining optimal properties requires close control of stoichiometry which can be achieved if the reagent can be delivered into the reactor in a controllable fashion. In this respect the reagents must not be so chemically stable that they are non-reactive in the deposition chamber.
  • Desirable CVD reagents therefore are fairly reactive and volatile. Unfortunately, for many of the refractive materials described above, volatile reagents do not exist. Many potentially highly useful refractory materials have in common that one or more of their components are elements, i.e., the Group LI metals barium, calcium, or strontium, or the early transition metals zirconium or hafnium, for which no or few volatile compounds well-suited for CVD are known.
  • the source reagents are solids whose sublimation temperature may be very close to the decomposition temperature, in which case the reagent may begin to decompose in the lines before reaching the reactor, and it therefore is very difficult to control the stoichiometry of the deposited films from such decomposition - susceptible reagents.
  • the film being deposited by CVD is a multicomponent substance rather than a pure element, such as barium titanate or the oxide superconductors
  • controlling the stoichiometry of the film is critical to obtaining the desired film properties.
  • the controlled delivery of known proportions of the source reagents into the CVD reactor chamber is essential.
  • the CVD reagents are liquids, but their delivery into the CVD reactor in the vapor phase has proven difficult because of problems of premature decomposition or stoichiometry control.
  • Examples include the deposition of tantalum oxide from the liquid source tantalum ethoxide and the deposition of titanium nitride from bis(dialkylamide)titanium reagents.
  • source reagent liquid delivery systems present distinct advantages over conventional techniques, there is often some fraction of the precursor compound that decomposes into very low volatility compounds that remain at the vaporization zone. This deficiency is an important issue in the operation of CVD processes that use thermally unstable solid source precursors which undergo significant decomposition at conditions needed for sublimation. Such decomposition can occur in all reagent delivery systems that involve a vaporization step, including flash vaporizer liquid delivery systems as well as more conventional reagent delivery systems that include bubblers and heated vessels operated without carrier gas.
  • optimization of the conditions used in the vaporizer of reagent delivery systems can minimize the fraction of the delivered precursor that decomposes (and remains) at the vaporization zone, but virtually all solid and liquid precursors undergo some decomposition when they are heated for conversion to the gas phase, although this fraction is negligibly small in "well-behaved" compounds.
  • Use of precursors that tend to decompose near their vaporization temperature may be mandated by availability (i.e., where the selected precursor possesses the best properties of available choices) or by economics, where precursor cost is strongly dependent on the complexity of its synthesis.
  • CVD precursors often contain impurities, and the presence of those impurities can cause undesirable thermally activated chemical reactions at the vaporization zone, also resulting in formation of involatile solids and liquids at that location.
  • CVD precursors such as tantalum pentaethoxide
  • a variety of CVD precursors are water-sensitive and hydrolysis can occur at the heated vaporizer zone forming tantalum oxide particulates that may be incorporated into the growing tantalum oxide film with deleterious effects.
  • One area in which improvement is sought relates to the motive means used to deliver liquid reagents from a storage reservoir to the vaporizer of the deposition system.
  • positive displacement pumps have been employed in prior art liquid delivery and vaporization systems, they have attendant disadvantages which limit their utility. These deficiencies include inadequate durability and reliability, and the susceptibility of the reagents transported under the impetus of such systems to deleteriously interact with the environment.
  • the positive displacement pump is expensive to repair or replace, and consumes a disproportionate portion of the time spent on technical service in the maintenance of the system. Its unsuitability in the reagent transport application relates to the fact that the positive displacement pump was never designed to run continuously for long periods of time, particularly in the movement of air-sensitive chemistries.
  • the pumping action of the pump is dependent on the movement of pistons between the surrounding environment and the reagent liquid, through high density polyethylene seals. These seals are made to fit in a physically tight manner to the piston surface, but such arrangement inherently cannot provide an ultra-high integrity seal. Inevitably, some amount of the reagent adheres to the piston surface and is transported through the seals to the outside environment. Likewise, some of the outside environment is carried back through the seals to the chemical reagent environment.
  • Positive displacement pumps have several advantages when used to deliver fluids to downstream processes such as vapor deposition systems. This type of pump creates turbulent flow patterns which help in mixing multi -component fluid streams.
  • a positive displacement pump has a rapid start-up to full flow rate time.
  • Positive displacement pumps have a reliable flow rate set point, and the flow rate set point to actual flow rate is linear. This linearity is important for calibration and repeatability.
  • Characteristics of the positive displacement pump which limit its usefulness, apart from the questionable seal integrity discussed above, include: the lack of output for feedback to the user verifying flow and flow rate; moving parts in contact with the reagent which could lead to contamination and particle generation (always an issue for microdevice fabrication, electronic thin film production, and semiconductor processing); the limited range of achievable flow rates (making transitions from research to commercial implementation less than straightforward); pulsing of flow and pressure due to piston movement (necessitating the use of a pulse damper and even then resulting in some non-uniformity at very low flow rates); and perhaps less than optimal accuracy of flow control (e.g., at levels on the order of + 3% versus + 1 to 2% claimed by other flow control devices).
  • the positive displacement pump system is also considered somewhat complicated by users (even in the research environment where it is most commonly used), has a mean time to repair (MTR) which is too short for practical use in the semiconductor industry, and is difficult to repair or adjust. Such characteristics thus pose a significant barrier to the widespread commercial use of liquid delivery and vaporization systems for applications such as CVD.
  • MTR mean time to repair
  • the performance of positive displacement pumps can suffer under prolonged periods of continuous operation.
  • the seal around the piston of a positive displacement pump can allow the pumped fluid to escape, and the seal can allow contaminants to be introduced into the pumped fluid. If the pumped fluid is hostile, the piston can deteriorate. Since the pump piston oscillates, fluid pressure can fluctuate and decrease fluid flow precision.
  • the above contamination concerns are acute in vapor deposition systems.
  • the controlled delivery of known proportions of the source reagents into a chemical vapor deposition (CVD) reactor chamber is essential. Some amount of reagent adheres to the piston surface and is transported past the seal into the outside environment. Materials from the outside environment are, likewise, carried back through the seals and into the chemistry environment. This contaminating effect can cause particulates to form within the chamber and can alter the stoichiometry of the resulting film. Purging can be used to compensate for this contaminating effect, however, purged mechanical pumps are less than satisfactory for long term use with air- and moisture-reactive reagents.
  • Positive displacement pumps present several other problems when used in CVD systems. Mechanical pumps lack an output feedback for verifying flow and flow rate. The particles generated by contamination present problems when fabricating microdevices, producing thin films, and processing semiconductors. Positive displacement pumps also have a limited range of achievable flow rates which creates an undesirable transition from a research environment to a production environment. The fluctuating flow due to the movement of the piston disrupts very low flow rates, even if a pulse damper is used. The flow control accuracy of a positive displacement pump is less than optimal, and a pump-based delivery system is considered complicated to operate and difficult to repair and adjust.
  • the aforementioned problems are resolved by a fluid delivery apparatus and method for metering and delivering a fluid to a downstream process.
  • the fluid delivery apparatus includes a vessel containing a fluid to be dispensed and a barrier member, wherein the barrier member is translatable against the liquid.
  • a method for metering and delivering a fluid to a downstream process includes confining the fluid in a vessel having a barrier member and selectively imposing a force on the barrier member to cause the fluid to flow from the vessel.
  • the present invention relates to a liquid source assembly, including a vessel holding the liquid to be dispensed, a barrier containment member for the liquid to be delivered, such as a diaphragm or bladder in the vessel, which is interposed as a barrier between a pressurizing gas and the liquid to be transported, and which is translatable against the liquid in the vessel equipped with the barrier containment member.
  • a barrier containment member for the liquid to be delivered such as a diaphragm or bladder in the vessel, which is interposed as a barrier between a pressurizing gas and the liquid to be transported, and which is translatable against the liquid in the vessel equipped with the barrier containment member.
  • the present invention relates to a method of delivering a liquid to a downstream locus from a vessel in which the liquid is held, comprising confining the liquid with a barrier containment member, such as a diaphragm or bladder, which is interposed as a barrier between the pressurizing gas and the liquid to be transported, and selectively imposing a force on the barrier containment member causing the liquid to be flowed from the vessel.
  • a barrier containment member such as a diaphragm or bladder
  • the vessel thus is equipped with a liquid outlet, and may be arranged for batch on- demand delivery of the liquid, wherein a volume of liquid in the vessel is used to exhaustion of the vessel, without replenishment of the liquid thereof, or the vessel may be arranged in supply relationship to a source of such liquid such as a reservoir which feeds liquid to the vessel, e.g., in response to a demand sensing means provided in or associated with the vessel.
  • the liquid in the vessel confined by the barrier containment member is protected by the barrier containment member from interaction of the contained liquid with environmental gases.
  • the barrier containment member comprises a bladder containing the liquid
  • air or other suitable gas may be employed to compressively exert a force on the bladder to cause expression of liquid from the interior volume of the bladder.
  • a bladder or bellows may be employed as the barrier containment member and could be compressed by mechanical means, if such means are capable of the translation characteristics, e.g., smooth motion, necessary to maintain consistent flow (or consistent pressure to a second control device such as a liquid mass flow controller associated therewith).
  • Figure 1 is a cross sectional view of a fluid delivery apparatus according to a first embodiment of the invention illustrating a compressed gas bellows.
  • Figures 2A and 2B are cross sectional views of a fluid delivery apparatus according to a second embodiment of the invention illustrating a plunger moved by gas pressure.
  • Figure 3 is a cross sectional view of a fluid delivery apparatus according to a third embodiment of the invention illustrating a compressible bladder compressed by gas pressure.
  • Figure 4 is a cross sectional view of a fluid delivery apparatus according to a fourth embodiment of the invention illustrating a compressible bladder compressed by mechanical force.
  • Figure 5 is a cross sectional view of a fluid delivery apparatus according to a fifth embodiment of the invention illustrating a plunger moved by mechanical force and having a dampening spring.
  • Figures 6A and 6B are cross sectional views of a fluid delivery apparatus according to the first embodiment of the invention illustrating a flexible diaphragm.
  • Figure 7 is a schematic representation of a fluid delivery and vaporization system utilizing the fluid delivery apparatus and method of the present invention.
  • FIG. 1 is a cross sectional view of a fluid delivery apparatus according to a first embodiment of the invention illustrating a compressed gas bellows.
  • an expandable bellows structure 10 is placed at one end of a vessel 12 containing the fluid 14 to be delivered.
  • An interior volume 11 of the vessel is defined by the vessel side walls and floor.
  • Bellows 10 is separated from the fluid 14 by movable plunger 16.
  • the plunger forces the fluid 14 out of the vessel and through a discharge outlet 18.
  • the vessel 12 may be constructed having a cylindrical, rectangular, or other suitable design.
  • a pressurizing means may be included for exerting pressure on the fluid in conjunction with the barrier member.
  • the expandable bellows may be replaced by a durable, inflatable bladder acting in a similar fashion.
  • Figure 2A shows the fluid 14 placed in a lower portion of vessel 12 below movable plunger 16. Pressure is introduced directly into an upper chamber of the vessel.
  • Figure 2B shows the plunger 16 moving due to the pressure in the upper chamber. The plunger 16 compresses fluid 14. The fluid flows out of the vessel through discharge outlet 18.
  • Figure 3 shows the fluid 14 placed in a compressible bladder 20 within a lower portion of the vessel 12. This embodiment does not include a moveable plunger. Pressure is introduced into the upper chamber. The bladder 20 containing fluid 14 is compressed and the fluid is forced to flow through discharge outlet 18.
  • a resilient connector 24, such as a compression spring, is used to attach upper plate 26 to plunger 16.
  • Resilient connector 24 provides linear control of the force applied to plunger 16 and allows a more constant pressure to be applied to fluid 14 thereby achieving a more regulated flow.
  • Figures 6A and 6B show the fluid 14 placed in the lower portion of vessel 12 below immovable diaphragm 16.
  • the diaphragm is attached to an inner surface or seated between two chambers which comprise the vessel 12 about a perimeter of the vessel to form a seal with the vessel. Pressure is introduced into the upper chamber of vessel 12.
  • Figure 6B shows the diaphragm expanded under the pressure of the upper chamber. The expanded diaphragm compresses fluid 14 which then flows through discharge outlet 18.
  • the device may also include an optional flow regulator to meter the fluid at a desired rate independent of the compression of the fluid vessel.
  • Any gas such as compressed air or a compressed inert gas, can be used to pressurize the vessel. Since the pressurized gas is separated from the fluid by an impermeable barrier member, gas entrainment and solubilization is avoided. This helps avoid flow irregularity issues due to gas bubbles and prevents reaction of the fluid with the pressurization environment.
  • the compressed gas source would then include the necessary controls to maintain consistent gas pressure.
  • Figure 7 is one embodiment of a fluid delivery and vaporization system 100 utilizing the fluid delivery apparatus and method of the present invention.
  • the fluid delivery apparatus is a ⁇ anged in fluid flow relationship upstream of a flow metering device and a vaporizer and is connected to a refill reservoir via controllable valves. Such arrangement enables constant delivery and refill in a cyclical process.
  • the fluid delivery apparatus 110 is shown, in this embodiment, with a bladder 120. If a vacuum is applied through the first three-way valve 125, the bladder 120 will contract due to the reduced pressure on the bladder backside. As the bladder contracts, liquid 114 is drawn from refill reservoir 140, through second three-way valve 130, and into vessel 112. The vacuum can then be removed and the apparatus is primed for operation and fluid delivery.
  • the fluid delivery apparatus is operated cyclically during a delivery stage of operation.
  • Pressurized gas or fluid may be applied to the bladder via first three-way valve 125.
  • the expanding bladder forces liquid 114 from the vessel 112 through second three-way valve 130.
  • a flow control meter/device 135 regulates the flow of liquid 114 into vaporizer 140.
  • the vaporization may be carried out "neat” or the vaporizer may also receive a carrier gas in which the vaporized reagent or other source liquid is entrained for flow to the deposition reactor.
  • the carrier gas when employed, may be introduced through the inert carrier gas inlet as shown.
  • the delivery reservoir 110 may be designed with a fluid volume larger than the fluid needed for a single processing run; no cycling is, therefore, required during a process run.
  • Vessel 112 may also include a pressure monitoring means 145 for monitoring the pressure exerted by the pressurizing gas on liquid 114 in conjunction with bladder 120.
  • the fluid delivery system as shown in Figure 7 has several advantages.
  • the system shown allows liquid refilling without disassembling the system. This reduces the potential for introducing contamination into the system.
  • a large refill reservoir 140 will permit several processing runs and/or operations before changing the refill reservoir.
  • the system shown is also adaptable to flexible manufacturing.
  • the bladder also prevents gas entrainment in the fluid to be delivered prior to entering the vaporizer. Since the delivered fluid is gas and bubble free, the apparatus permits greater accuracy in fluid flow metering.
  • the fluid delivery apparatus and method of the invention are usefully employed for delivering source reagents and precursor materials for thin film deposition of materials for manufacture of microelectronic devices.
  • Thin film materials usefully deposited using the fluid delivery systems of the invention include high temperature superconducting materials, ferroelectric and photonic materials, scintillation detection coatings and other optical fiber coatings, and capacitor materials for high density memory devices, as well as buffer layer and interlayer compositions useful in microelectronic device architectures.
  • the fluid delivery systems of the invention are usefully employed with chemical vapor deposition to form the aforementioned thin film materials.

Abstract

A fluid delivery apparatus and method for metering and delivering a fluid to a downstream process. The fluid delivery apparatus includes a vessel (12) containing a fluid to be dispensed and a barrier member (16), wherein the barrier member (16) is translatable against the liquid (14). A method for metering and delivering a fluid to a downstream process includes confining the fluid in a vessel (12) having a barrier member (16) and selectively imposing a force on the barrier member (16) to cause the fluid to flow from the vessel (12).

Description

FLUID DELIVERY APPARATUS AND METHOD
DESCRIPTION
Field of the Invention
This invention relates to delivering a fluid to a downstream process and, more particularly, to delivering a fluid to a vapor deposition process. The fluid delivery may be carried out by a liquid delivery system in which a liquid precursor is flash vaporized and the resulting vapor is transported to the deposition site.
Description of the Related Art
In the formation of thin films, layers and coatings on substrates, a wide variety of source materials have been employed. These source materials include reagents and precursor materials of widely varying types, and in various physical states. To achieve highly uniform thickness layers of a conformal character on the substrate, vapor phase deposition has been used widely as a technique. In vapor phase deposition, the source material may be of initially solid form which is sublimed or melted and vaporized to provide a desirable vapor phase source reagent. Alternatively, the reagent may be of normally liquid state, which is vaporized, or the reagent may be in the vapor phase in the first instance.
In the manufacture of advanced thin film materials, a variety of reagents may be used. These reagents may be used in mixture with one another in a multicomponent fluid which is utilized to deposit a corresponding multicomponent or heterogeneous film material. Such advanced thin film materials are increasingly important in the manufacture of microelectronic devices and in the emerging field of nanotechnology. For such applications and their implementation in high volume commercial manufacturing processes, it is essential that the film morphology, composition, and stoichiometry be closely controllable. This in turn requires highly reliable and efficient means and methods for delivery of source reagents to the locus of film formation. Examples of advanced thin film materials include refractory materials such as high temperature superconducting (HTSC) materials including YBa2Cu3θx, wherein x is from about 6 to 7.3, BiSrCaCuO, and TIBaCaCuO. Barium titanate, BaTiθ3, and barium strontium titanate, BaxSrj.xTiO3, have been identified as ferroelectric and photonic materials with unique and potentially very useful properties in thin film applications of such materials. BaxSrι-xNb2θ6 is a photonic material whose index of refraction changes as a function of electric field and also as a function of the intensity of light upon it. Lead zirconate titanate, PbZrι-xTixθ3, is a ferroelectric material whose properties are very interesting. The Group LI metal fluorides, BaF2, CaF2, and SrF2, are useful for scintillation detecting and coating of optical fibers. Refractory oxides such as Ta2θs are coming into expanded use in the microelectronics industry; Ta2θs is envisioned as a thin-film capacitor material whose use may enable higher density memory devices to be fabricated.
Thin films comprising the Group LI metal fluorides, BaF2, CaF2, and SrF2, are potentially very useful as buffer layers for interfacing between silicon substrates and HTSC or GaAs overlayers or between GaAs substrates and HTSC or silicon overlayers, and combinations of two or all of such metal fluorides may be employed in forming graded compositions in interlayers providing close lattice matching at the interfaces with the substrate and overlayer constituents of the composite. For example, a silicon substrate could be coated with an epitaxial layer of BaF2/CaF2, SrF2/CaF2, or SrF2/CaF2/BaF2, whose composition is tailored for a close lattice match to the silicon. If the ratio of the respective Group π metal species in the metal fluoride interlayers can be controlled precisely in the growth of the interlayer, the lattice constant could be graded to approach the lattice constant of GaAs. Thus, a gallium arsenide epitaxial layer could be grown over the metal fluoride interlayer, allowing the production of integrated GaAs devices on widely available, high quality silicon substrates. Another potential use of such type of metal fluoride interlayers would be as buffers between silicon substrates and polycrystalline HTSC films for applications such as non-equilibrium infrared detectors. Such an interlayer would permit the HTSC to be used in monolithic integrated circuits on silicon substrates.
BaTiO3 and BaxSr1.xNb2O6 in film or epitaxial layer form are useful in photonic applications such as optical switching, holographic memory storage, and sensors. In these applications, the BaTiO3 or BaxSr1.xNb2O6 film is the active element. The related ferroelectric material PhZr^TixOs is potentially useful in infrared detectors and thin film capacitors well as filters and phase shifters.
Chemical vapor deposition (CVD) is a particularly attractive method for forming thin film materials of the aforementioned types, because it is readily scaled up to production runs and because the electronic industry has a wide experience and an established equipment base in the use of CVD technology which can be applied to new CVD processes. In general, the control of key variables such as stoichiometry and film thickness, and the coating of a wide variety of substrate geometries is possible with CVD. Forming the thin films by CVD permits the integration of these materials into existing device production technologies. CVD also permits the formation of layers of the refractory materials that are epitaxially related to substrates having close crystal structures.
CVD requires that the element source reagents, i.e., the precursor compounds and complexes containing the elements or components of interest must be sufficiently volatile to permit gas phase transport into the chemical vapor deposition reactor. The elemental component source reagent must decompose in the CVD reactor to deposit only the desired element at the desired growth temperatures. Premature gas phase reactions leading to paniculate formation must not occur, nor should the source reagent decompose in the lines before reaching the reactor deposition chamber. When compounds are desired to be deposited, obtaining optimal properties requires close control of stoichiometry which can be achieved if the reagent can be delivered into the reactor in a controllable fashion. In this respect the reagents must not be so chemically stable that they are non-reactive in the deposition chamber.
Desirable CVD reagents therefore are fairly reactive and volatile. Unfortunately, for many of the refractive materials described above, volatile reagents do not exist. Many potentially highly useful refractory materials have in common that one or more of their components are elements, i.e., the Group LI metals barium, calcium, or strontium, or the early transition metals zirconium or hafnium, for which no or few volatile compounds well-suited for CVD are known. In many cases, the source reagents are solids whose sublimation temperature may be very close to the decomposition temperature, in which case the reagent may begin to decompose in the lines before reaching the reactor, and it therefore is very difficult to control the stoichiometry of the deposited films from such decomposition - susceptible reagents.
When the film being deposited by CVD is a multicomponent substance rather than a pure element, such as barium titanate or the oxide superconductors, controlling the stoichiometry of the film is critical to obtaining the desired film properties. In the deposition of such materials, which may form films with a wide range of stoichiometries, the controlled delivery of known proportions of the source reagents into the CVD reactor chamber is essential.
In other cases, the CVD reagents are liquids, but their delivery into the CVD reactor in the vapor phase has proven difficult because of problems of premature decomposition or stoichiometry control. Examples include the deposition of tantalum oxide from the liquid source tantalum ethoxide and the deposition of titanium nitride from bis(dialkylamide)titanium reagents.
While source reagent liquid delivery systems present distinct advantages over conventional techniques, there is often some fraction of the precursor compound that decomposes into very low volatility compounds that remain at the vaporization zone. This deficiency is an important issue in the operation of CVD processes that use thermally unstable solid source precursors which undergo significant decomposition at conditions needed for sublimation. Such decomposition can occur in all reagent delivery systems that involve a vaporization step, including flash vaporizer liquid delivery systems as well as more conventional reagent delivery systems that include bubblers and heated vessels operated without carrier gas.
Although well-behaved CVD precursors vaporized under "ideal" conditions will form no deposits or residue at the vaporization zone, deviations from this situation are common and can be divided into several categories:
1) Reactive impurities in either the precursor or in the carrier gas decompose at the vaporizer temperatures.
2) Spatial and temporal temperature variations occur in the vaporization zone, with temperatures in some regions being sufficient to bring about decomposition.
3) CVD precursors are employed which are thermally unstable at the sublimation temperature.
Optimization of the conditions used in the vaporizer of reagent delivery systems can minimize the fraction of the delivered precursor that decomposes (and remains) at the vaporization zone, but virtually all solid and liquid precursors undergo some decomposition when they are heated for conversion to the gas phase, although this fraction is negligibly small in "well-behaved" compounds. Use of precursors that tend to decompose near their vaporization temperature may be mandated by availability (i.e., where the selected precursor possesses the best properties of available choices) or by economics, where precursor cost is strongly dependent on the complexity of its synthesis. Additionally, CVD precursors often contain impurities, and the presence of those impurities can cause undesirable thermally activated chemical reactions at the vaporization zone, also resulting in formation of involatile solids and liquids at that location. For example, a variety of CVD precursors (such as tantalum pentaethoxide) are water-sensitive and hydrolysis can occur at the heated vaporizer zone forming tantalum oxide particulates that may be incorporated into the growing tantalum oxide film with deleterious effects.
Despite the advantages of the liquid delivery approach (which include improved precision and accuracy for most liquid and solid CVD precursors and higher delivery rates), the foregoing deficiencies pose a serious impediment to widespread use of the vaporization liquid delivery technique for providing volatilized reagent to the CVD reactor.
Improved liquid delivery systems are disclosed in U.S. Patent 5,204,314 issued April 20, 1993 to Peter S. Kirlin et al. and U.S. Patent 5,536,323 issued July 16, 1996 to Peter S. Kirlin et al., which describe heated foraminous vaporization structures such as microporous disk elements. In use, liquid source reagent compositions are flowed onto the foraminous vaporization structure for flash vaporization. Vapor thereby is produced for transport to the deposition zone, e.g., a CVD reactor. The liquid delivery systems of these patents provide high efficiency generation of vapor from which films may be grown on substrates. Such liquid delivery systems are usefully employed for generation of multicomponent vapors from corresponding liquid reagent solutions containing one or more precursors as solutes, or alternatively from liquid reagent suspensions containing one or more precursors as suspended species.
The art continues to seek improvements in liquid delivery systems for vapor-phase formation of advanced materials, as well as improvements in ancillary equipment such as fluid transport, vaporizer, mixing, and control means associated with the liquid delivery system, and process conditions and techniques for operating the liquid delivery system and ancillary equipment in a maximally efficient manner.
One area in which improvement is sought relates to the motive means used to deliver liquid reagents from a storage reservoir to the vaporizer of the deposition system.
Although positive displacement pumps have been employed in prior art liquid delivery and vaporization systems, they have attendant disadvantages which limit their utility. These deficiencies include inadequate durability and reliability, and the susceptibility of the reagents transported under the impetus of such systems to deleteriously interact with the environment. The positive displacement pump is expensive to repair or replace, and consumes a disproportionate portion of the time spent on technical service in the maintenance of the system. Its unsuitability in the reagent transport application relates to the fact that the positive displacement pump was never designed to run continuously for long periods of time, particularly in the movement of air-sensitive chemistries.
In a typical embodiment of a positive displacement pump in a liquid delivery and vaporization system, the pumping action of the pump is dependent on the movement of pistons between the surrounding environment and the reagent liquid, through high density polyethylene seals. These seals are made to fit in a physically tight manner to the piston surface, but such arrangement inherently cannot provide an ultra-high integrity seal. Inevitably, some amount of the reagent adheres to the piston surface and is transported through the seals to the outside environment. Likewise, some of the outside environment is carried back through the seals to the chemical reagent environment. Although this occurrence may be compensated for to some extent by a rigid inert fluid purging protocol, the fact remains that the positive displacement pump does not represent an ideal design for long term use with air and moisture reactive reagents. Positive displacement pumps have several advantages when used to deliver fluids to downstream processes such as vapor deposition systems. This type of pump creates turbulent flow patterns which help in mixing multi -component fluid streams. A positive displacement pump has a rapid start-up to full flow rate time. Positive displacement pumps have a reliable flow rate set point, and the flow rate set point to actual flow rate is linear. This linearity is important for calibration and repeatability.
Characteristics of the positive displacement pump which limit its usefulness, apart from the questionable seal integrity discussed above, include: the lack of output for feedback to the user verifying flow and flow rate; moving parts in contact with the reagent which could lead to contamination and particle generation (always an issue for microdevice fabrication, electronic thin film production, and semiconductor processing); the limited range of achievable flow rates (making transitions from research to commercial implementation less than straightforward); pulsing of flow and pressure due to piston movement (necessitating the use of a pulse damper and even then resulting in some non-uniformity at very low flow rates); and perhaps less than optimal accuracy of flow control (e.g., at levels on the order of + 3% versus + 1 to 2% claimed by other flow control devices).
The positive displacement pump system is also considered somewhat complicated by users (even in the research environment where it is most commonly used), has a mean time to repair (MTR) which is too short for practical use in the semiconductor industry, and is difficult to repair or adjust. Such characteristics thus pose a significant barrier to the widespread commercial use of liquid delivery and vaporization systems for applications such as CVD.
More particularly, the performance of positive displacement pumps can suffer under prolonged periods of continuous operation. The seal around the piston of a positive displacement pump can allow the pumped fluid to escape, and the seal can allow contaminants to be introduced into the pumped fluid. If the pumped fluid is hostile, the piston can deteriorate. Since the pump piston oscillates, fluid pressure can fluctuate and decrease fluid flow precision.
The above contamination concerns are acute in vapor deposition systems. The controlled delivery of known proportions of the source reagents into a chemical vapor deposition (CVD) reactor chamber is essential. Some amount of reagent adheres to the piston surface and is transported past the seal into the outside environment. Materials from the outside environment are, likewise, carried back through the seals and into the chemistry environment. This contaminating effect can cause particulates to form within the chamber and can alter the stoichiometry of the resulting film. Purging can be used to compensate for this contaminating effect, however, purged mechanical pumps are less than satisfactory for long term use with air- and moisture-reactive reagents.
Positive displacement pumps present several other problems when used in CVD systems. Mechanical pumps lack an output feedback for verifying flow and flow rate. The particles generated by contamination present problems when fabricating microdevices, producing thin films, and processing semiconductors. Positive displacement pumps also have a limited range of achievable flow rates which creates an undesirable transition from a research environment to a production environment. The fluctuating flow due to the movement of the piston disrupts very low flow rates, even if a pulse damper is used. The flow control accuracy of a positive displacement pump is less than optimal, and a pump-based delivery system is considered complicated to operate and difficult to repair and adjust.
The contamination, fluctuating fluid flow, and rigorous, periodic, and expensive maintenance procedures to ensure peak performance limit the useful application of positive displacement pumps.
Though alternatives to pump-based delivery systems are available, such as passive liquid metering devices (e.g., liquid mass flow controllers), still other flow rate issues develop. Often high gas flows or high gas pressures are required to pressurize and/or bleed pressure from liquid reservoirs. These high flows and/or pressures cause high levels of gas interaction with the surface of the liquid. Such gases may become dissolved or entrained in the liquid. For example, many CVD source reagents are organometallic compounds or are in organic solution. Such materials have a capacity for solubilizing gases. Thus, even inert gases which may be used to push the liquid to the metering device may be readily dissolved or entrained in the liquid. This occurs even at low overhead pressures and at room temperature.
The above-discussed gas entrainment can cause errors in delivery rate. As the temperature and pressure of the system change, the amount of gas in the delivered liquid solution will also change and create further engineering problems. Entrained gases also vary the thermal carrying properties of the fluid and other intrinsic properties used to sense flow rate in-situ. Entrained gases also become liberated in certain areas of the fluid plumbing and create gas bubbles. Gas bubbles cause blockage of bypass lines and cause changes in the flow rate. Bubbles form vapor seals and disrupt flow. As bubbles are swept and delivered downstream, the fluid flow becomes irregular despite desirable upstream flow rate sensor indications. For instance, a laboratory evaluation of barium strontium titanate (BST) film growth using a commercial liquid mass flow controller (LMFC) for metering the liquid reagent(s) showed much greater film variance due to gas entrainment and interaction with the liquid. See Table 1 below for a comparison of wafer-to-wafer uniformity for titanium (Ti) content and film thickness, for the stated gas conditions in the process for forming BST films.
Figure imgf000012_0001
There is, accordingly, a need in the art for an alternative fluid metering and delivering apparatus which overcomes the deficiencies of positive displacement pumps.
SUMMARY OF THE INVENTION
The aforementioned problems are resolved by a fluid delivery apparatus and method for metering and delivering a fluid to a downstream process. The fluid delivery apparatus includes a vessel containing a fluid to be dispensed and a barrier member, wherein the barrier member is translatable against the liquid. A method for metering and delivering a fluid to a downstream process includes confining the fluid in a vessel having a barrier member and selectively imposing a force on the barrier member to cause the fluid to flow from the vessel.
In one specific apparatus aspect, the present invention relates to a liquid source assembly, including a vessel holding the liquid to be dispensed, a barrier containment member for the liquid to be delivered, such as a diaphragm or bladder in the vessel, which is interposed as a barrier between a pressurizing gas and the liquid to be transported, and which is translatable against the liquid in the vessel equipped with the barrier containment member.
In one specific method aspect, the present invention relates to a method of delivering a liquid to a downstream locus from a vessel in which the liquid is held, comprising confining the liquid with a barrier containment member, such as a diaphragm or bladder, which is interposed as a barrier between the pressurizing gas and the liquid to be transported, and selectively imposing a force on the barrier containment member causing the liquid to be flowed from the vessel.
The vessel thus is equipped with a liquid outlet, and may be arranged for batch on- demand delivery of the liquid, wherein a volume of liquid in the vessel is used to exhaustion of the vessel, without replenishment of the liquid thereof, or the vessel may be arranged in supply relationship to a source of such liquid such as a reservoir which feeds liquid to the vessel, e.g., in response to a demand sensing means provided in or associated with the vessel.
The liquid in the vessel confined by the barrier containment member is protected by the barrier containment member from interaction of the contained liquid with environmental gases. When the barrier containment member comprises a bladder containing the liquid, air or other suitable gas may be employed to compressively exert a force on the bladder to cause expression of liquid from the interior volume of the bladder.
Alternatively, a bladder or bellows may be employed as the barrier containment member and could be compressed by mechanical means, if such means are capable of the translation characteristics, e.g., smooth motion, necessary to maintain consistent flow (or consistent pressure to a second control device such as a liquid mass flow controller associated therewith).
Other features, aspects and embodiments of the invention will be more fully apparent from the ensuing disclosure and appended claims.
BRIEF DESCRIPTION OF THE DRAWINGS
Figure 1 is a cross sectional view of a fluid delivery apparatus according to a first embodiment of the invention illustrating a compressed gas bellows.
Figures 2A and 2B are cross sectional views of a fluid delivery apparatus according to a second embodiment of the invention illustrating a plunger moved by gas pressure. Figure 3 is a cross sectional view of a fluid delivery apparatus according to a third embodiment of the invention illustrating a compressible bladder compressed by gas pressure.
Figure 4 is a cross sectional view of a fluid delivery apparatus according to a fourth embodiment of the invention illustrating a compressible bladder compressed by mechanical force.
Figure 5 is a cross sectional view of a fluid delivery apparatus according to a fifth embodiment of the invention illustrating a plunger moved by mechanical force and having a dampening spring.
Figures 6A and 6B are cross sectional views of a fluid delivery apparatus according to the first embodiment of the invention illustrating a flexible diaphragm.
Figure 7 is a schematic representation of a fluid delivery and vaporization system utilizing the fluid delivery apparatus and method of the present invention.
DETAILED DESCRIPTION OF THE INVENTION AND PREFERRED MODES OF CARRYING OUT SAME
Referring now to the drawings, Figure 1 is a cross sectional view of a fluid delivery apparatus according to a first embodiment of the invention illustrating a compressed gas bellows. In this embodiment an expandable bellows structure 10 is placed at one end of a vessel 12 containing the fluid 14 to be delivered. An interior volume 11 of the vessel is defined by the vessel side walls and floor. Bellows 10 is separated from the fluid 14 by movable plunger 16. As bellows 10 is pressurized the chamber expands and moves plunger 16. The plunger forces the fluid 14 out of the vessel and through a discharge outlet 18. The vessel 12 may be constructed having a cylindrical, rectangular, or other suitable design. A pressurizing means may be included for exerting pressure on the fluid in conjunction with the barrier member. The expandable bellows may be replaced by a durable, inflatable bladder acting in a similar fashion.
Figure 2A shows the fluid 14 placed in a lower portion of vessel 12 below movable plunger 16. Pressure is introduced directly into an upper chamber of the vessel. Figure 2B shows the plunger 16 moving due to the pressure in the upper chamber. The plunger 16 compresses fluid 14. The fluid flows out of the vessel through discharge outlet 18.
Figure 3 shows the fluid 14 placed in a compressible bladder 20 within a lower portion of the vessel 12. This embodiment does not include a moveable plunger. Pressure is introduced into the upper chamber. The bladder 20 containing fluid 14 is compressed and the fluid is forced to flow through discharge outlet 18.
In Figure 4 the fluid 14 is placed within a compressible bladder 20 within the lower portion of vessel 12. Plunger 22 imparts mechanical force onto the bladder 20 to compress the bladder. The fluid 14 is forced from the bladder 20 and through discharge outlet 18.
In Figure 5 a resilient connector 24, such as a compression spring, is used to attach upper plate 26 to plunger 16. When mechanical force is applied to upper plate 26, the plunger 16 moves and compresses fluid 14. Resilient connector 24 provides linear control of the force applied to plunger 16 and allows a more constant pressure to be applied to fluid 14 thereby achieving a more regulated flow.
Figures 6A and 6B show the fluid 14 placed in the lower portion of vessel 12 below immovable diaphragm 16. The diaphragm is attached to an inner surface or seated between two chambers which comprise the vessel 12 about a perimeter of the vessel to form a seal with the vessel. Pressure is introduced into the upper chamber of vessel 12. Figure 6B shows the diaphragm expanded under the pressure of the upper chamber. The expanded diaphragm compresses fluid 14 which then flows through discharge outlet 18.
By regulating the pressure applied to the vessel, chamber, or bladder containing the fluid, a regulated flow at a desired rate can be accurately and reliably obtained. The device may also include an optional flow regulator to meter the fluid at a desired rate independent of the compression of the fluid vessel. Any gas, such as compressed air or a compressed inert gas, can be used to pressurize the vessel. Since the pressurized gas is separated from the fluid by an impermeable barrier member, gas entrainment and solubilization is avoided. This helps avoid flow irregularity issues due to gas bubbles and prevents reaction of the fluid with the pressurization environment. The compressed gas source would then include the necessary controls to maintain consistent gas pressure.
The invention described herein may be incorporated into a more sophisticated fluid delivery system. Though numerous embodiments of such a system are possible, Figure 7 is one embodiment of a fluid delivery and vaporization system 100 utilizing the fluid delivery apparatus and method of the present invention. The fluid delivery apparatus is aπanged in fluid flow relationship upstream of a flow metering device and a vaporizer and is connected to a refill reservoir via controllable valves. Such arrangement enables constant delivery and refill in a cyclical process. The fluid delivery apparatus 110 is shown, in this embodiment, with a bladder 120. If a vacuum is applied through the first three-way valve 125, the bladder 120 will contract due to the reduced pressure on the bladder backside. As the bladder contracts, liquid 114 is drawn from refill reservoir 140, through second three-way valve 130, and into vessel 112. The vacuum can then be removed and the apparatus is primed for operation and fluid delivery.
The fluid delivery apparatus is operated cyclically during a delivery stage of operation. Pressurized gas or fluid may be applied to the bladder via first three-way valve 125. The expanding bladder forces liquid 114 from the vessel 112 through second three-way valve 130. A flow control meter/device 135 regulates the flow of liquid 114 into vaporizer 140. The vaporization may be carried out "neat" or the vaporizer may also receive a carrier gas in which the vaporized reagent or other source liquid is entrained for flow to the deposition reactor. The carrier gas, when employed, may be introduced through the inert carrier gas inlet as shown. The delivery reservoir 110 may be designed with a fluid volume larger than the fluid needed for a single processing run; no cycling is, therefore, required during a process run. It is also desirable to construct the bladder of a material selected to be non-interacting with the fluid to be delivered and non-interacting with and non-permeable to any pressurizing gas or fluid. Vessel 112 may also include a pressure monitoring means 145 for monitoring the pressure exerted by the pressurizing gas on liquid 114 in conjunction with bladder 120.
The fluid delivery system as shown in Figure 7 has several advantages. The system shown allows liquid refilling without disassembling the system. This reduces the potential for introducing contamination into the system. A large refill reservoir 140 will permit several processing runs and/or operations before changing the refill reservoir. The system shown is also adaptable to flexible manufacturing. The bladder also prevents gas entrainment in the fluid to be delivered prior to entering the vaporizer. Since the delivered fluid is gas and bubble free, the apparatus permits greater accuracy in fluid flow metering.
Industrial Applicability
The fluid delivery apparatus and method of the invention are usefully employed for delivering source reagents and precursor materials for thin film deposition of materials for manufacture of microelectronic devices. Thin film materials usefully deposited using the fluid delivery systems of the invention include high temperature superconducting materials, ferroelectric and photonic materials, scintillation detection coatings and other optical fiber coatings, and capacitor materials for high density memory devices, as well as buffer layer and interlayer compositions useful in microelectronic device architectures. The fluid delivery systems of the invention are usefully employed with chemical vapor deposition to form the aforementioned thin film materials.

Claims

THE CLAIMSWhat is claimed is:
1. A liquid delivery apparatus for delivering a liquid to a downstream process, the liquid delivery apparatus comprising a vessel for containing a liquid to be dispensed and a barrier member, wherein the barrier member is selectively translatable against the liquid to cause discharge of liquid from the vessel.
2. A liquid delivery apparatus according to claim 1, wherein the barrier member comprises a diaphragm translatably mounted in the vessel and leak-tightly sealed against the liquid.
3. A liquid delivery apparatus according to claim 1, wherein the barrier member comprises a bladder disposed in the vessel and holding the liquid to be dispensed, with an exterior surface of the bladder being arranged for selective exertion of pressure thereon to cause said discharge of liquid from the vessel.
4. A liquid delivery apparatus according to claim 1, further comprising a source of pressurizing gas constructed and arranged to selectively exert pressure on the barrier member to effect said translation thereof.
5. A liquid delivery apparatus according to claim 1, further comprising a mechanical force-imposing means constructed and arranged to selectively exert force on the barrier member to effect said translation thereof.
6. A liquid delivery apparatus according to claim 1, further comprising means for regulating the delivery of liquid to the downstream process.
7. A liquid delivery apparatus according to claim 1, wherein the barrier member is aπanged to protect the liquid from interaction with environmental gases.
8. A liquid delivery apparatus according to claim 1, further comprising means for monitoring pressure of liquid in the vessel.
9. A liquid delivery apparatus according to claim 1, comprising a gas pressure- expandable bellows structure including a plunger barrier member confining the liquid in the vessel.
10. A liquid delivery apparatus according to claim 1, wherein the vessel comprises a liquid discharge at a lower portion thereof.
11. A liquid delivery apparatus according to claim 1, wherein the barrier member is aπanged to be selectively vertically translatable against the liquid.
12. A liquid delivery apparatus according to claim 1, wherein the barrier member comprises a plunger leak-tightly sealed against the liquid, and a resilient connector assembly is operatively coupled with the barrier member and aπanged to apply mechanical force to the plunger for selective translation thereof against the liquid.
13. A liquid delivery apparatus according to claim 1, wherein the barrier member is fluid-impermeable in character.
14. A liquid source apparatus, including a vessel for holding a liquid to be dispensed under pressure of a gas, and a bladder containment member for the liquid constituting a barrier between the pressurizing gas and the liquid, and pressure-deformingly translatable against the liquid to cause liquid to be discharged from the vessel.
15. A liquid delivery and vaporization system, comprising a vessel for containing liquid to be dispensed, a barrier member arranged for selective translation against the liquid to cause discharge of liquid from the vessel, a liquid flow control device for selectively regulating flow rate of liquid discharged from the vessel to produce a controlled liquid flow stream, and a vaporizer arranged to receive the controlled liquid flow stream for vaporization thereof to produce a vapor.
16. A liquid delivery and vaporization system according to claim 15, further comprising a chemical vapor deposition chamber aπanged to receive the vapor and adapted to hold a substrate element for deposition of a component of the vapor on the substrate element.
17. A liquid delivery and vaporization system according to claim 15, further comprising means for introducing a carrier gas to the vaporizer to form a product fluid stream including the vapor.
18. A liquid delivery and vaporization system according to claim 15, further comprising means for charging the vessel with liquid.
19. A liquid delivery apparatus for delivering a liquid to a downstream process, comprising a vessel for containing a liquid to be dispensed, a barrier member that is pressure- deformable, disposed in the vessel to confine liquid therein in a liquid holding volume, vacuum means for applying a reduced pressure to the barrier member to cause distension thereof for enlargement of the liquid holding volume of the vessel, and means for charging liquid to the liquid holding volume for storage and subsequent dispensing of the liquid.
20. A method for delivering a liquid to a downstream process comprising confining the liquid in a vessel by a barrier member that is selectively translatable against the liquid, and selectively imposing a force on the barrier member to translate the barrier member against the liquid and cause the liquid to flow from the vessel.
21. A method according to claim 20, wherein the barrier member comprises a diaphragm translatably mounted in the vessel and leak-tightly sealed against the liquid.
22. A method according to claim 20, wherein the barrier member comprises a bladder disposed in the vessel and holding the liquid to be dispensed, with an exterior surface of the bladder being aπanged for selective exertion of pressure thereon to cause said discharge of liquid from the vessel.
23. A method according to claim 20, further comprising applying a pressurizing gas to selectively exert pressure on the barrier member to effect said translation thereof.
24. A method according to claim 20, comprising selectively exerting a mechanical force on the barrier member to effect said translation thereof.
25. A method according to claim 20, further comprising regulating the delivery of liquid to the downstream process.
26. A method according to claim 20, wherein the barrier member is aπanged to protect the liquid from interaction with environmental gases.
27. A method according to claim 20, further comprising monitoring pressure of liquid in the vessel.
28. A method according to claim 20, comprising confining the liquid in the vessel with a gas pressure-expandable bellows structure including a plunger barrier member.
29. A method according to claim 20, wherein liquid is discharged at a lower portion of the vessel.
30. A method according to claim 20, wherein the barrier member is aπanged to be selectively vertically translatable against the liquid.
31. A method according to claim 20, wherein the barrier member comprises a plunger leak-tightly sealed against the liquid, and a resilient connector assembly is operatively coupled with the barrier member and aπanged to apply mechanical force to the plunger for selective translation thereof against the liquid.
32. A method according to claim 20, wherein the barrier member is fluid- impermeable in character.
33. A method of delivering a liquid to a downstream locus from a vessel in which the liquid is held, comprising confining the liquid in the vessel with a barrier containment member interposed between a pressurizing gas and the liquid, and selectively imposing a force by the pressurizing gas on the barrier containment member to cause the liquid to flow from the vessel.
34. A liquid delivery and vaporization method, comprising:
providing a liquid delivery apparatus including a vessel for containing liquid to be dispensed, and a barrier member arranged for selective translation against the liquid to cause discharge of liquid from the vessel,
translating the barrier member against the liquid in the vessel to cause the liquid to discharge from the vessel,
selectively regulating flow rate of the liquid discharged from the vessel to produce a controlled liquid flow stream, and vaporizing the controlled liquid flow stream to produce a product vapor.
35. A method according to claim 34, further comprising depositing a component of the product vapor on a substrate by chemical vapor deposition.
36. A method according to claim 34, further comprising mixing a carrier gas with the product gas prior to said chemical vapor deposition.
37. A method according to claim 34, further comprising charging the vessel with liquid.
38. A method for delivering a liquid to a downstream process, comprising providing a liquid in a vessel with a barrier member that is pressure-deformable, disposed in the vessel to confine liquid therein in a liquid holding volume, applying a reduced pressure to the barrier member to cause distention thereof for enlargement of the liquid holding volume of the vessel, charging liquid to the liquid holding volume, and dispensing the liquid from the liquid holding volume by applying pressure to the barrier member to cause the liquid to discharge from the vessel.
PCT/US1998/014525 1997-07-14 1998-07-14 Fluid delivery apparatus and method WO1999004060A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP98934511A EP1021588A4 (en) 1997-07-14 1998-07-14 Fluid delivery apparatus and method
AU84016/98A AU8401698A (en) 1997-07-14 1998-07-14 Fluid delivery apparatus and method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US5244297P 1997-07-14 1997-07-14
US60/052,442 1997-07-14

Publications (1)

Publication Number Publication Date
WO1999004060A1 true WO1999004060A1 (en) 1999-01-28

Family

ID=21977635

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1998/014525 WO1999004060A1 (en) 1997-07-14 1998-07-14 Fluid delivery apparatus and method

Country Status (3)

Country Link
EP (1) EP1021588A4 (en)
AU (1) AU8401698A (en)
WO (1) WO1999004060A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005028702A2 (en) * 2003-09-15 2005-03-31 Intel Corporation Precursor delivery system
EP1879829A2 (en) * 2005-04-25 2008-01-23 Advanced Technology Materials, Inc. Liner-based liquid storage and dispensing systems with empty detection capability
US9079758B2 (en) 2005-06-06 2015-07-14 Advanced Technology Materials, Inc. Fluid storage and dispensing systems and processes

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3035614A (en) * 1959-11-04 1962-05-22 Jr Chester H Kirk Expansion tank
US3524475A (en) * 1968-01-10 1970-08-18 American Tube & Controls Inc Expansion tank
US3741240A (en) * 1971-08-02 1973-06-26 Dresser Ind Fluid compensator valve
US3886733A (en) * 1973-08-24 1975-06-03 Nrg Inc Pneumatic energy source utilizing liquid oxygen
US4132165A (en) * 1976-10-08 1979-01-02 Woodward Governor Company Fuel supply system for a missile or the like
US4321014A (en) * 1979-12-31 1982-03-23 Polaroid Corporation Constant flow pumping apparatus
US4341202A (en) * 1978-01-19 1982-07-27 Aptec Corporation Phase-change heat transfer system
US5098741A (en) * 1990-06-08 1992-03-24 Lam Research Corporation Method and system for delivering liquid reagents to processing vessels
US5304390A (en) * 1992-06-30 1994-04-19 Union Carbide Chemicals & Plastics Technology Corporation Supercritical ratio control system utilizing a sonic flow venturi and an air-driven positive displacement pump
US5330576A (en) * 1990-04-26 1994-07-19 Baldwin-Gegenheimer Gmbh Recirculating coating liquid supply system with viscosity regulation
US5430229A (en) * 1992-12-30 1995-07-04 Hercules Incorporated Chemical process for disposal of rocket propellant containing nitrate ester
US5620524A (en) * 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02156085A (en) * 1988-12-08 1990-06-15 Koujiyundo Kagaku Kenkyusho:Kk Method and device for transporting raw liquid material
CA2158434A1 (en) * 1993-03-18 1994-09-29 Peter S. Kirlin Apparatus and method for delivering reagents in vapor form to a cvd reactor
EP0622475A1 (en) * 1993-04-29 1994-11-02 Applied Materials, Inc. Method and apparatus for degassing semiconductor processing liquids

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3035614A (en) * 1959-11-04 1962-05-22 Jr Chester H Kirk Expansion tank
US3524475A (en) * 1968-01-10 1970-08-18 American Tube & Controls Inc Expansion tank
US3741240A (en) * 1971-08-02 1973-06-26 Dresser Ind Fluid compensator valve
US3886733A (en) * 1973-08-24 1975-06-03 Nrg Inc Pneumatic energy source utilizing liquid oxygen
US4132165A (en) * 1976-10-08 1979-01-02 Woodward Governor Company Fuel supply system for a missile or the like
US4341202A (en) * 1978-01-19 1982-07-27 Aptec Corporation Phase-change heat transfer system
US4321014A (en) * 1979-12-31 1982-03-23 Polaroid Corporation Constant flow pumping apparatus
US5330576A (en) * 1990-04-26 1994-07-19 Baldwin-Gegenheimer Gmbh Recirculating coating liquid supply system with viscosity regulation
US5098741A (en) * 1990-06-08 1992-03-24 Lam Research Corporation Method and system for delivering liquid reagents to processing vessels
US5304390A (en) * 1992-06-30 1994-04-19 Union Carbide Chemicals & Plastics Technology Corporation Supercritical ratio control system utilizing a sonic flow venturi and an air-driven positive displacement pump
US5430229A (en) * 1992-12-30 1995-07-04 Hercules Incorporated Chemical process for disposal of rocket propellant containing nitrate ester
US5620524A (en) * 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1021588A4 *

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005028702A2 (en) * 2003-09-15 2005-03-31 Intel Corporation Precursor delivery system
WO2005028702A3 (en) * 2003-09-15 2005-05-06 Intel Corp Precursor delivery system
KR100854140B1 (en) * 2003-09-15 2008-08-26 인텔 코포레이션 Precursor delivery system
EP1879829A2 (en) * 2005-04-25 2008-01-23 Advanced Technology Materials, Inc. Liner-based liquid storage and dispensing systems with empty detection capability
EP1879829A4 (en) * 2005-04-25 2011-01-19 Advanced Tech Materials Liner-based liquid storage and dispensing systems with empty detection capability
US8322571B2 (en) 2005-04-25 2012-12-04 Advanced Technology Materials, Inc. Liner-based liquid storage and dispensing systems with empty detection capability
US9073028B2 (en) 2005-04-25 2015-07-07 Advanced Technology Materials, Inc. Liner-based liquid storage and dispensing systems with empty detection capability
US9802749B2 (en) 2005-04-25 2017-10-31 Entegris, Inc. Liner-based liquid storage and dispensing systems with empty detection capability
US9079758B2 (en) 2005-06-06 2015-07-14 Advanced Technology Materials, Inc. Fluid storage and dispensing systems and processes
US9802808B2 (en) 2005-06-06 2017-10-31 Entegris, Inc. Fluid storage and dispensing systems and processes

Also Published As

Publication number Publication date
AU8401698A (en) 1999-02-10
EP1021588A1 (en) 2000-07-26
EP1021588A4 (en) 2002-11-06

Similar Documents

Publication Publication Date Title
US5876503A (en) Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
US5362328A (en) Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
RU2630727C2 (en) Device and methods for deposition reactors
US5882416A (en) Liquid delivery system, heater apparatus for liquid delivery system, and vaporizer
EP1017266B1 (en) System for delivering a substantially constant vapor flow to a chemical process reactor
US6245151B1 (en) Liquid delivery system comprising upstream pressure control means
KR100714985B1 (en) Liquid flow controller and precision dispense apparatus and system
US20040040502A1 (en) Micromachines for delivering precursors and gases for film deposition
US6640840B1 (en) Delivery of liquid precursors to semiconductor processing reactors
US20040040503A1 (en) Micromachines for delivering precursors and gases for film deposition
EP0905276A2 (en) Apparatus for vaporizing and supplying a material
US5540777A (en) Aluminum oxide LPCVD system
WO2001036702A1 (en) Method of vaporizing liquid sources and apparatus therefor
KR19990022638A (en) Reaction source liquid supply device and chemical vapor deposition device comprising the device
WO2004073849A2 (en) Sub-atmospheric pressure delivery of liquids, solids and low vapor pressure gases
EP0689619B1 (en) Apparatus and method for delivering reagents in vapor form to a cvd reactor
US20080083329A1 (en) Method of in-line purification of CVD reactive precursor materials
CN1853002A (en) Precursor delivery system
US20060188658A1 (en) Pressurized reactor for thin film deposition
Astié et al. Direct liquid injection chemical vapor deposition
WO1999004060A1 (en) Fluid delivery apparatus and method
US6099653A (en) Liquid reagent delivery system with constant thermal loading of vaporizer
WO1999016929A1 (en) Liquid reagent delivery system
US11946136B2 (en) Semiconductor processing device
WO1999004061A1 (en) Liquid delivery system comprising upstream pressure control means

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GE GH HU IL IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG US UZ VN YU ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW SD SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: KR

WWE Wipo information: entry into national phase

Ref document number: 1998934511

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWP Wipo information: published in national office

Ref document number: 1998934511

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: CA

WWW Wipo information: withdrawn in national office

Ref document number: 1998934511

Country of ref document: EP