WO1999018603A1 - Modular substrate processing system - Google Patents

Modular substrate processing system Download PDF

Info

Publication number
WO1999018603A1
WO1999018603A1 PCT/US1998/021386 US9821386W WO9918603A1 WO 1999018603 A1 WO1999018603 A1 WO 1999018603A1 US 9821386 W US9821386 W US 9821386W WO 9918603 A1 WO9918603 A1 WO 9918603A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
substrates
processing
chamber
conveyor
Prior art date
Application number
PCT/US1998/021386
Other languages
French (fr)
Inventor
John M. White
Robert B. Conner
Kam S. Law
Norman L. Turner
William T. Lee
Shinichi Kurita
Original Assignee
Applied Komatsu Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Komatsu Technology, Inc. filed Critical Applied Komatsu Technology, Inc.
Priority to EP98952210A priority Critical patent/EP1027724A1/en
Priority to JP2000515290A priority patent/JP2001519598A/en
Priority to KR1020007003773A priority patent/KR100571104B1/en
Publication of WO1999018603A1 publication Critical patent/WO1999018603A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations the substrates to be conveyed not being semiconductor wafers or large planar substrates, e.g. chips, lead frames
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Definitions

  • the invention relates to substrate processing, and more particularly, to the handling of substrates in and around "processing islands", which may include just a processing chamber, a processing chamber with load locks, or a set of processing chambers with or without load locks.
  • a cassette of typically 20 to 25 substrates is often used for such operations.
  • Some process equipment employs a buffer storage capability for cassettes to increase efficiency by always having fresh substrates available for processing and a place to unload processed substrates.
  • Automatic guided vehicles (“AGV's) may also be used in this type of automation. AGVs travel along the aisles of a production line and dispense cassettes of substrates as required. This technique is useful for production lines in which the equipment is organized in rows.
  • overhead rails may also be employed to transport cassettes of substrates.
  • Clustering combines two or more process steps in a single unit by using more than one process chamber surrounding a central loading chamber having a loading robot. Two or more sequential processes performed in a cluster system are referred to as "integrated processes". For example, a substrate may be placed in one process chamber for etching, a second process chamber for cleaning, and a third process chamber for metal deposition. Clustering also allows improved throughput by parallel processing multiple wafers in a single process step.
  • This system 20 includes a vacuum robot 22 in a chamber surrounded by processing chambers 24A-24D, load lock cooling chambers 26A and 26B, and heating chamber 28.
  • the chambers 26A, 26B and 28 each contain a substrate cassette for holding a plurality of substrates.
  • Substrates may be delivered to or removed from the chambers 26A and 26B.
  • the substrates may be exchanged for substrates in a plurality of cassettes 30 by an atmospheric exchange system 32.
  • the glass substrates can have dimensions, for example, of 550 mm by 650 mm. The trend is toward even larger substrate sizes, such as 650 mm by 830 mm and larger, to allow more displays to be formed on the substrate or to allow larger displays to be produced.
  • Yet another level of automation is providing for two or more sequential process steps in a single process chamber. This desirably eliminates an unloading and loading step, increasing cleanliness and throughput. For vacuum processes, time and cleanliness are favorably affected when more than one process can be performed with only one pump-down of a chamber.
  • Drawbacks of clustering include a greater reliance on interlocks, electronics, and software than is required for individual tools. Downtime also affects a larger part of the production capacity than is true for individual tools. In some cases, preferred cluster modules can only be supplied by different vendors, leading to difficulties in compatibility.
  • cluster tools are currently arranged in a "job shop” configuration where all the process chambers perform the same or similar processes. Such a configuration is acceptable from a cost standpoint, but is practical only in large increments of factory capacity.
  • a "mini-fab" factory configuration is not suitable for cluster tools because of the large incremental capacity of multi-chamber cluster tools.
  • TACT time is the total actual cycle time and refers to the time period between the introduction of the substrate into the process system and its subsequent removal from the system.
  • the TACT times of the various pieces of process equipment must substantially match in order for the factory to operate in an efficient serial sequence. As the mismatch in TACT times increases, the number of substrates required to keep the factory running likewise increases, along with the cost of operation. TACT times of cluster tools are less cost-effectively matched to one another, because cluster tools are purchased in larger per-system capacity increments.
  • the invention provides an apparatus and method for performing a process on a substrate.
  • At least two types of structures may be used to provide a flow path for a substrate so that the substrate may be moved from one processing or loading position to another.
  • the first is a conveyor.
  • the second is a track.
  • the flow path may be a closed continuous loop.
  • a substrate transfer mechanism is provided to remove the substrate from the conveyor and to place another substrate -on the conveyor. At least one processing island is adjacent the flow path.
  • the conveyor may include a number of substrate holding elements such as pallets.
  • the substrate transfer mechanism may be a robot having end effectors such as forks to lift, support, and move the substrate to and from the conveyor to the island.
  • Each processing island has at least one valve for introduction and extraction of the substrate into and out of an interior of the island.
  • the processing island may include at least one and often two load locks, and may include in conjunction therewith an inspection station, a CVD chamber, a PECVD chamber, a PVD chamber, a post-anneal chamber, a cleaning chamber, a descumming chamber, an etch chamber, or a combination of such chambers.
  • the load locks may be employed to heat and cool the substrates.
  • a substrate exchange apparatus moves along a track to perform exchange of substrates from a substrate delivery and removal system to a processing island.
  • the substrate delivery and removal system may include a number of cassettes to hold substrates and a number of automatic guided vehicles to deliver cassettes to and from a cassette loading system from which substrates may be retrieved by the substrate exchange apparatus.
  • several flow path conveyors may be provided, and a bypass robot may be employed to transfer substrates from one flow path to another. Steps of the method include positioning a substrate on a conveyor, moving the substrate to a position adjacent a processing island load lock. The substrate is removed from the conveyor and introduced into the load lock. The substrate is moved from the load lock into the processing chamber and therein processed.
  • the substrate is then moved into a load lock, which may be the same or a different load lock than the above load lock.
  • the substrate is extracted from the load lock and placed on the conveyor.
  • at least one other substrate is loaded onto the conveyor.
  • the process may then be repeated any number of times.
  • Advantages of the invention include one or more of the following.
  • the invention allows for small, cost-effective sizing of factory capacity. Factories employing the invention can be efficiently and cost-effectively configured in any of several manufacturing flow configurations, i.e. , job shop, ballroom, or mini-fab.
  • the system allows for cost-effective matching of TACT time among some of the most expensive pieces of process equipment, including chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), etch, and photolithographic equipment.
  • CVD chemical vapor deposition
  • PECVD plasma-enhanced chemical vapor deposition
  • etch etch
  • photolithographic equipment The invention allows for complete modularity in the design of fabrication processes.
  • the chambers intended for use in the present invention may have the same or similar interfaces, allowing the same to be connected together in any combination whatsoever.
  • the system may continue to operate because the process may simply be reprogrammed to bypass the faulty island.
  • the process of the disabled island may be reassigned to a different island while the disabled island is taken off-line and repaired.
  • FIG. 1 is a top plan schematic view of a prior art cluster tool.
  • FIG. 2 is a top plan schematic view of a single aisle of a fab system according to the present invention.
  • FIG. 3 is a schematic longitudinal cross-sectional view of the aisle of FIG.
  • FIGS. 4-8 are top plan schematic views of alternate tracked robot systems according to the present invention.
  • FIG. 9 is a top plan schematic view of an alternate system according to the present invention.
  • FIG. 10 is a perspective view of the system of FIG. 9.
  • FIGS. 11-17 are views of a robot end effector and conveyor substrate holding element in various relative orientations.
  • FIG. 18 is a top plan schematic view of an alternate system according to the present invention.
  • FIG. 19 is a perspective view of the conveyor system of FIG. 18.
  • FIG. 20 is a top plan schematic view of still another system according to the present invention.
  • FIG. 21 is a top plan view of a substrate transfer element according to the present invention.
  • FIG. 22 is a top plan view of an alternate embodiment of a substrate transfer element according to the present invention.
  • FIG. 23 is a top plan schematic view of a conveyor system according to an embodiment of the present invention, as it may be employed in a factory setting including numerous islands, most islands including a processing chamber and two load lock chambers.
  • FIG. 24 is a top plan schematic view of a multiple conveyor system according to the present invention as fed by a single AGV aisle.
  • FIG. 25 is a top plan schematic view of a two conveyor system according to the present invention, fed by a single AGV aisle and linked by a conveyor-to- conveyor transfer robot.
  • FIG. 2 shows an aisle 40 of a fabrication system according to the present invention.
  • the aisle includes first through fourth islands 42A-42D, respectively, on opposite sides of a track 44.
  • the track has first (proximal) and second (distal) ends 46 and 48, respectively.
  • Each island includes a load lock chamber 50, often used for heating, a load lock chamber 52, often used for cooling, and at least one processing chamber 54.
  • the load locks allow a staged vacuum to occur. That is, the process chamber vacuum need not be breached for substrates to be loaded and unloaded. Since the load locks are independently evacuated, pumps servicing the process chamber need only pump on a chamber ( . e. , either of the load locks) that is already at a vacuum. That is, a substrate is loaded into the load locks which are then pumped down to a vacuum. A valve or set of valves between the load lock and the processing chamber is opened to allow transfer of the substrate between the two. When this happens, the processing chamber is subjected to the vacuum of the load lock. Had the vacuum not been staged, i. e.
  • PVD plasma vapor deposition
  • the processing chamber 54 is in controlled communication with the load lock chambers by means of gate or slit valves 56 and 58, respectively, at the entrance and exit of the processing chamber.
  • a bulk substrate delivery and removal system which, in the illustrated embodiment, is formed as an automatic cassette loading system ("ACLS") 60.
  • AGVs 62 which move along an AGV aisle 66 are provided to deliver substrate cassettes to and remove substrate cassettes from ACLS 60.
  • the ACLS includes an inboard cassette holding fixture 68A, and outboard cassette holding fixtures 68B and 68C positioned at first and second sides of the inboard cassette holding fixture 68A.
  • the inboard holding fixture 68A is fixed in a position adjacent the AGV aisle.
  • the cassettes each have features for holding a vertical array of substrates in a parallel spaced- apart relation.
  • the outboard holding fixtures 68B and 68C are movable (via translation and rotation) between the initial or cassette exchange positions (shown in broken lines) adjacent the AGV aisle 66 for exchanging cassettes with AGVs 62 and second or substrate exchange positions (shown in solid lines) for exchanging individual substrates in their cassettes with a substrate exchange robot 70.
  • the robot is an "atmospheric" robot, operating in the atmosphere of the factory, at substantially ambient pressure.
  • the robot 70 (described in further detail below) is movable along the track 44 between the first and second ends 46 and 48. When located in a first position at the first end 46, the robot 70 can retrieve and return substrates to and from the cassettes on holding fixtures 68A-68C. With the robot 70 in the first position, the inboard holding fixture 68A and the outboard holding fixtures 68B and 68C, when in their second positions, each face the robot to facilitate exchange of substrates with the robot. The robot may be moved to a plurality of positions along the track where it may exchange substrates with the process islands.
  • the robot may load a substrate 72 into the entry load lock chamber 50 of the first island 42 A through a gate or slit valve 74.
  • the robot may load a substrate into the entry load lock of island 42B.
  • Another such loading or insertion position and pair of loading orientations are associated with the loading chambers of islands 42C and 42D.
  • an unloading or extraction position and a pair of unloading orientations are associated with each opposite pair of islands 42A and 42B, and 42C and 42D.
  • the robot shown in broken lines and designated with the numeral 70" is in the unloading position for the islands 42C and 42D, and in the unloading orientation specifically for the island 42D where the robot can extract a substrate through a gate valve 76 on exit load lock or unloading chamber 52.
  • a clean tunnel 80 also shown in cross-section in FIG. 3, encompasses the track 44 and ACLS 60 and may extend over a portion of AGV aisle 66.
  • the clean tunnel extends to the load lock chambers of the various islands and provides a clean environment through which the robot may transport substrates between the islands and the ACLS. All along its roof, the clean tunnel may have filtered fans 82 (Fig. 3) for introducing clean air into the clean tunnel to maintain the clean tunnel at a slight positive pressure, thereby preventing entry of unwanted contaminant particles through various tunnel openings.
  • the track 44 extends through the distal end of the clean tunnel 80.
  • a sufficient length of track is provided between the end of the clean tunnel and the distal end 48 of the track to provide a service/maintenance position for the robot, shown in broken lines and designated 70'".
  • technicians With the robot in the service/maintenance position at the distal end 48 of the track, technicians have substantially unimpeded access to the robot and can perform service on the robot including cleaning or replacement of robot end effector.
  • the track (which may also be a conveyor as described below) to be of any length desired and to accommodate any number of processing islands to achieve a desired process.
  • the conveyor or the track may be made of identical modular track segments 71 which may be coupled together to extend the conveyor or track any desired distance.
  • the coupling mechanisms may vary.
  • the track 44 is securely mounted to the facility floor 99.
  • the robot is coupled to the track so as to be movable along the length of the track, but substantially immovable transverse to the track and not rotatable about the length of the track so as to prevent the robot from tipping over.
  • the robot includes a base 84 having a central vertical axis 86 and a body 88 extending upward from the base 84.
  • the body may be rotated about the axis 86 by a rotary actuator (not shown).
  • An end effector, in the form of a lifting fork 90, is coupled to the body by an arm 92.
  • the arm 92 is coupled to a vertical linear actuator (not shown) to permit the arm and lifting fork to be raised and lowered.
  • the robot may have a pair of arms 92A and 92B each with an end effector 90A and 90B, respectively.
  • the fork 90 in a lowered position, the fork 90 may be inserted beneath a substrate 72 in a cassette or in a load lock chamber.
  • the upper surface of the fork or, more particularly, pads (not shown) along the upper surface of the fork tines engage the lower surface of the substrate.
  • the cassette holding fixtures may include elevators, capable of raising and lowering their associated cassettes to facilitate access by the robot.
  • the robot end effector may be made to move primarily horizontally such that the elevators provide most of the vertical translation.
  • the arm 92A may be articulated to reciprocate the fork 90A along its central axis 94 (Fig. 2) between extended and retracted positions to insert and extract a substrate, respectively. A largely extended position is shown in FIG.
  • the robot via rotation of the body 88, the robot can be made to align the fork 90A (and its axis 94), for example, with the longitudinal axis of the cassette holding fixture 68A or the outboard fixtures 68B and 68C when the latter fixtures are in their substrate exchange positions.
  • Such rotation can further be used to alternate the robot between addressing load lock chambers on one side of the track and load lock chambers on the other side of the track.
  • AGV/ACLS AGV/ACLS
  • multiple aisles 40 are preferably associated with a single AGV aisle 66 or conveyor system to maximize fab efficiency.
  • FIG. 4 shows a system having an aisle 510 which may be otherwise similar to aisle 40.
  • the aisle has a conveyor system 512 which, in the illustrated embodiment, comprises frame-type substrate holding elements 514 as will be described in greater detail below.
  • a robot 516 may exchange a substrate with a particular frame which, at a given point in time, is located at the proximal end of the track 518 which is positioned perpendicular to the conveyor.
  • the robot may move along the track and interact with the islands as has been previously described with reference to robot 70. Specifically, these islands include load lock chambers 501 and 507, process chambers 503 and 508, and load lock chambers 505 and 509.
  • FIG. 5 shows a robot 520 which is movable along a track 522 alongside and parallel to the conveyor.
  • the robot 520 can exchange substrates with substrate holding elements of the conveyor at plural locations along the conveyor adjacent to the track 522. These locations include those corresponding to a load lock chamber 515, a process chamber 513, and a load lock chamber 511.
  • FIG. 6 shows a system similar to that of FIG. 5.
  • the conveyor is replaced with an ACLS system 533.
  • the ACLS system can exchange substrates at various locations including at a load lock chamber 517, a process chamber 519, and a load lock chamber 521.
  • FIG. 7 shows a system having a conveyor, robot, and track similar to that of FIG. 5 but with an optional island configuration.
  • load locks 530 and 532 are provided adjacent the track to exchange substrates with the robot.
  • a low pressure heating chamber 534 is located at the rear of the heating load lock 530 and a transfer chamber 536 is located near the back of the cooling load lock 532.
  • a first processing chamber 538 is positioned between the low pressure heating chamber 534 and the transfer chamber 536.
  • a second processing chamber 540 is positioned at the outboard side of the transfer chamber 536.
  • FIG. 8 shows a system similar to that of FIG. 7 but wherein the conveyor is replaced by an ACLS 535.
  • This system includes load locks 523 and 537, process chambers 525 and 529, a separate heating chamber 531, and a transfer chamber 527.
  • an island 120 is provided for processing.
  • the island 120 includes a process chamber 123, and associated load lock heating chamber 121 and load lock cooling chamber 125.
  • the island 120 may be otherwise similar to those of the embodiment of FIG. 2.
  • a conveyor 131 transports substrates to and from the island 120.
  • the sources and destinations of the substrates may include other processing islands which, in combination with island 120, perform sequential treatment processes on the substrates.
  • the conveyor 131 delivers substrates to heating chamber 121 and receives substrates from cooling chamber 125 via an atmospheric loading robot 128 A having an end effector 129A and an atmospheric unloading robot 128B having an end effector
  • Process chamber 123 may be, for example, a chemical vapor deposition (CVD) chamber, a physical vapor deposition (PVD) chamber such as for deposition of indium tin oxide (ITO), an etch chamber, a PECVD chamber, or other such semiconductor vacuum processing chambers as are known in the art.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • ITO indium tin oxide
  • etch chamber etch chamber
  • PECVD PECVD chamber
  • Each load lock chamber may be multifunctional. Process steps including heating, cooling, ashing, descumming, etc., may be provided for and employed in each load lock.
  • the load lock 121 may be used to heat and descum, while the load lock 125 may be used to cool and ash. If an ash step is employed, this requires a separate heating capability, necessitating a multi-functional load lock. Heating processes in such multifunctional load locks may include post- process anneals. Non-heating or cooling processes which may occur in a multifunctional load lock include inspections under either vacuum or atmospheric conditions. Such functions and structure are described in the application "Multi- Function Lock Chamber for a Substrate Processing System", incorporated by reference above.
  • FIG. 10 which shows a perspective view of the island of FIG. 9, various other components are evident.
  • Loading end effector 129A is shown positioned exterior to load lock heating chamber 121.
  • the end effector 129A enters heating chamber 121 via a loading slit or gate valve 132.
  • the substrate is received by a substrate transport structure (not shown) interior of heating chamber 121, and is maneuvered into a desired position for heating.
  • processing (which may include a pumpdown to vacuum to correspond to a vacuum in the processing chamber, as well as a heating step) is completed within heating chamber 121, the substrate is moved into process chamber 123 via a transporter or shuttle (not shown) which moves the substrate through a first transfer valve 134 between heating chamber 121 and process chamber 123.
  • a transporter or shuttle (not shown) which moves the substrate through a first transfer valve 134 between heating chamber 121 and process chamber 123.
  • the transport structure extracted and the valve closed processing may be commenced.
  • FIG. 10 a factory worker 100 is shown. The worker, the substrates, and the transfer mechanisms are protected against collision with each other by a set of machine guards 136.
  • the substrate is moved into cooling chamber 125 (which may also have been pumped down to vacuum) via the same or a second substrate transporter (not shown) through a second transfer valve 138. Cooling or other such processing may then be commenced. Once the cooling or other processing is complete, the processed substrate (shown as 127') is removed from cooling chamber 125 via unloading slit valve 140 and end effector 129B.
  • the substrate Prior to the substrate's introduction into the load lock heating chamber 121, the substrate may have been transported down conveyor 131 from a storage location as described below.
  • the conveyor system may be any suitable powered or gravity-operated type, including, for example, roller and linked belt conveyors.
  • the substrates may be moved on a series of discrete substrate holding elements, including first, second and third elements 142A-142C (collectively 142).
  • Substrates may be centered on the substrate holding elements using a plurality of stoppers 201 (only shown in connection with element 142A). These stoppers 201 may have the general shape of an inverted truncated cone, such as a frustum.
  • the substrate holding elements 142 may be continuously arrayed along the length of the conveyor, and may be evenly and fixedly spaced relative to each other or may be independently controllable to allow temporary interruptions in the movement of individual elements.
  • the substrates move on the elements in a downstream direction (here denoted as the x-direction 200) along a work flow path defined by the conveyor. Chambers 121, 123 and 125 are located adjacent the work flow path.
  • the directions orthogonal to the downstream direction 200 are designated as a horizontal or y-direction 201 (between the conveyor and the chambers) and a vertical upward or z-direction 202.
  • the substrate's movement is stopped adjacent the loading robot 128A. This may be done by stopping a substrate holding element in the location of element 142A in FIG. 10. As shown in FIG. 11, the stopped position is adjacent to the chamber 121 and directly over loading end effector 129A which has been previously appropriately withdrawn (FIG. 12) from the chamber 121 by a y-linear actuator 143 A (FIG. 10), lowered along the z-direction by a z-linear actuator 144A (FIG. 10), and rotated about the z-direction (compare FIGS. 12 and 13) by a z- rotary actuator 146A (FIG. 10).
  • Loading end effector 129A is then raised by z- linear actuator 144A to engage and cradle the substrate (FIG. 14). Loading end effector 129A is further raised to lift the substrate into an elevated position (FIG. 15) wherein loading end effector 129A holds the substrate above the element 142 A.
  • the z-rotary actuator 146A of robot 128 A is then caused to rotate the loading end effector 129 A 180° (FIG. 16) so that the substrate may be introduced into load lock heating chamber 121. Fine adjustments may be made by z-linear actuator 144A to adjust the height of the substrate so that the substrate may enter loading slit valve 132 unimpeded.
  • the loading slit valve 132 When the proper height is achieved, the loading slit valve 132 is opened and the substrate is moved by y-linear actuator 143 A in the y-direction (FIG. 17). This movement loads the substrate into load lock chamber 121 where it is received by a substrate transport structure (not shown). The empty end effector 129A may then be withdrawn from the chamber 121. Loading slit valve 132 is then closed and the heating and evacuation process begun.
  • the substrate may be returned to the conveyor by essentially reversing these steps with an unloading slit valve 140, the unloading end effector 129B, a y-linear actuator 143B, a z-linear actuator 144B, a z-rotary actuator 146B and a conveyor element in the position of third element 142C.
  • loading end effector 129 A engages the substrate held on substrate holding fixture 158 in the same way that the loading end effector
  • the loading end effector 129A may have the shape of a fork, and may in particular have the shape of a forked blade.
  • FIG. 18 shows an island 120' employing two process chambers 123A and 123B which may be used to process a substrate.
  • island 120' employs a load lock chamber 121 for introduction of substrates into the system.
  • the island also employs a load lock chamber 125 for extraction of substrates out of the system.
  • the introduction of a substrate is made via a loading end effector 129A'.
  • the extraction of a processed substrate is made via an unloading end effector 129B'.
  • a system such as is shown in Fig.
  • the island 18 may be used to deposit two films on a substrate by first depositing a film layer on the substrate in the first process chamber 123 A and then moving the substrate into the second process chamber 123B where a second film layer may be grown or deposited.
  • the remainder of the island system, including the movement of the substrates along conveyor 131, is similar to that described with respect to FIGS. 9 and 10.
  • the island 120' is also shown in perspective view in FIG. 19.
  • the loading end effector 129A' like end effector 129A, may enter a loading slit valve carrying a substrate.
  • Loading end effector 129A' is similarly supported by a z-linear actuator, a z-rotary actuator, and a y-linear actuator.
  • the system for extraction of a substrate includes an unloading end effector 129B', a z-linear actuator, a z-rotary actuator, and y-linear actuator.
  • the substrate is extracted from the load lock chamber 125 through an unloading slit valve.
  • FIG. 19 also shows a series of first, second, third, and fourth substrate holding elements formed as conveyor pallets 142A'-142D'. These pallets (collectively 142') are discussed below and compared relative to the frame-type holding elements 142 of FIG. 10. Also shown in FIG. 19 is a laminar flow hood 150 which performs a similar function as the clean tunnel 80 described above.
  • FIG. 19 also shows a laminar flow hood 150 which performs a similar function as the clean tunnel 80 described above.
  • substrates may be shuttled back and forth between process chambers by way of appropriate programming of the substrate transporter(s) within the system. Such substrate transporters are described in the application "Substrate Transfer
  • more than one film may be sequentially grown in a single process chamber.
  • a substrate may be passed through a process chamber without any film being grown or any processing performed.
  • island 120 The operation of island 120" is otherwise similar to that of island 120.
  • the substrate may be moved from load lock heating chamber 121 into a transfer chamber 122. From transfer chamber 122, the substrate may be moved into a first process chamber 123C for film deposition or other processing as a first step. The substrate may then be moved into a second process chamber 123D for growth or processing as a second step. The substrate may then be moved back into first process chamber 123C for deposition or processing as a third step. Following these three steps, which may be repeated as described above, the substrate is moved back into transfer chamber 122 and finally into load lock chamber 125. From load lock cooling chamber 125, the processed substrate may be removed from the system.
  • each conveyor substrate holding element 142 may be formed as a generally C-shaped structure having, and supported by, a lower arm 152 which engages a track element 154 of the conveyor 131.
  • the arm 152 extends generally transverse to the substrate work flow path.
  • an upright 156 extends vertically upward and joins a substrate holding fixture 158 which forms the top of the C-shaped structure.
  • the fixture 158 has a peripheral frame section 160 which is configured to extend alongside opposite edges of a substrate 127 and to wrap partially around the end of the substrate, leaving a gap 162 which defines an open distal end of the fixture.
  • the fixture has a central aperture 164 which is generally co-extensive with and slightly larger than the substrate 127.
  • the underside of the substrate is supported by a plurality of fingers 166 which project inwardly from frame 160.
  • the fingers have upper surfaces which are slightly vertically recessed from the upper surface of frame 160 so that the substrate 127 may be securely held in precise registration with the fixture, the edges and ends of the substrate constrained by the inward- facing surfaces 163 of the frame 160.
  • the fingers are sufficiently long and extend sufficiently into the aperture 164 so as to provide a necessary degree of support for a relatively thin and flexible substrate 127.
  • End effector 129A is generally formed as a forked blade, the degree to which the blade is forked being determined by the system requirements, particularly in terms of reducing weight.
  • the end effector is sized so as to pass vertically through central aperture 164 of the fixture 158 without interfering with the frame 160 or fingers 166.
  • a pair of upwardly projecting tabs 168 serve as stops to engage the end of the substrate and prevent the substrate from sliding off the distal end of the end effector during movement.
  • a notch 170 may be provided in the fixture 158 at the proximal end of the aperture 164 to permit passage of the tabs 168 during exchange of the substrate between the fixture 158 and the end effector 129A.
  • FIGS. 19 and 21 show an embodiment of the end effector 129A' as a substrate transfer frame.
  • the substrate transfer frames 129A' and 129B' are in many ways similar to fixture 158 and employ a peripheral frame member 270 having an open distal end and from which a plurality of substrate support tabs 177 project inwardly into a central aperture 179.
  • Substrate 127 shown in dotted lines, is supported by the substrate support tabs 177.
  • the aperture area of frame member 270 is made just larger than the area of the substrate so that the substrate fits within the frame member and is cradled by the substrate support tabs 177.
  • the frame member is coupled to the z-linear actuator by a connection 174.
  • the central aperture within the frame member 170 is slightly larger than the plan area of each of a plurality of pallets 158'.
  • the tabs pass through inwardly directed notches or recesses 161 in the pallet perimeter so that the upper surfaces of the tabs can engage the lower surface of the substrate 127 adjacent the substrate perimeter.
  • the frame may be further raised to lift the substrate into an elevated position above the pallet.
  • stoppers 301 may be employed to hold the substrate 127 on frame member 270.
  • stoppers 301 may have the general shape of an inverted truncated cone, such as a frustum.
  • pallets 158' may include stoppers to position and hold a substrate on the pallets 158' (not shown).
  • stoppers are configured such that they do not impede the movement of frame members 170 around the outside of the pallets 158' during substrate transfer.
  • substrates on pallets 158' may be supported by friction pads 401 such as plastic buttons. In this way, substrates may endure less stress than if they were supported directly on the pallets.
  • stoppers or pads may also be used on systems employing forked blade conveyor elements.
  • FIG. 22 shows another embodiment of an end effector formed as a substrate transfer fork 180.
  • a substrate 127 is shown in dotted lines supported by the tines 182 of the substrate transfer fork 180.
  • Such a fork may be useful where the conveyor has narrow pallets around which the tines of the fork may pass or where the conveyor supports the substrates along their edges such that the fork can pass between the support locations. In the latter case, the fork may be replaced by a blade.
  • Substrate transfer fork 180 is mounted to supporting z-linear actuator via a connection 184.
  • FIG. 23 shows how multiple islands may be employed in a "job shop" configuration.
  • substrates are moved by AGVs along an AGV aisle 220 and into a plurality of substrate stackers 221. From substrate stackers 221, substrates are moved into the conveyor system via a conveyor loader 222. Substrates are moved out of the system via a conveyor unloader 224. When substrates are moved into the system, they are placed on conveyor pallets 158 carried by a conveyor 226 which may be an endless loop discrete holding element conveyor similar to the conveyors described above. Any number of islands may be employed along the conveyor.
  • islands 230A-230J may be specifically for the growth of the active layers of thin film transistors (TFTs), and islands 230K-230N may be specifically for the growth of passivation layers.
  • TFTs thin film transistors
  • each process chamber assigned to the active-layers would be employed to deposit multiple layers sequentially.
  • Passivation islands 230K-230N could be used to deposit a passivation layer as a final step over the TFT.
  • the greater number of TFT islands may be provided to balance a longer processing time required by the active-layer islands relative to the passivation islands.
  • Appropriate control of the conveyor, the robots of each island, the loader and unloader and other elements of the system via a specifically programmed computer can choreograph the movement of substrates to and from the conveyor and between active-layer and passivation islands to maximize throughput. This is a good example of small, incremental TACT time balancing.
  • One type of function which may also be employed is a substrate buffer station 235. Such a buffer 235 may be placed, for example, upstream of an island for the purpose of holding substrates prior to their processing. The buffer station 235 provides a location where substrates may be temporarily stored.
  • substrates may be stored in a buffer 235 near the island so that substrates will be immediately available when the island is ready to process the next substrate.
  • Buffer chambers may also be employed upstream of each one of a number of islands to even further facilitate rapid processing.
  • Such buffer stations may have a number of shelves on which substrates are located and, if desired, heated or cooled.
  • an AGV aisle 320 is used to move substrates into a plurality of substrate stackers 321.
  • Substrate stackers 321 feed substrates to a plurality of conveyor loader/unloaders 322.
  • Loader/unloaders 322 move substrates to and extract substrates from conveyors 326.
  • Conveyors 326 move substrates to selected ones of islands.
  • islands 330A and 330B may be used to deposit sources and drains. In doing so, these dual process chamber islands may deposit three layers of titanium/aluminum/titanium.
  • Islands 330C and 330D may be used to grow gate layers such as titanium aluminum.
  • FIG. 24 also shows multiple conveyors being fed by a single AGV aisle. The conveyors deliver substrates to and receive substrates from processing islands in a variety of configurations.
  • the conveyor track may be of variable length and may be kept clean in a more simple manner than AGVs that link separate tools.
  • the cyclical layout of the system allows substrates to automatically return to the same cassette from which they were unloaded without need for additional effort or mechanisms.
  • inspection test stations may be employed for process monitoring, counting particulates, or for implementing "go/no go" decisions.
  • FIG. 25 shows an embodiment of a system, often termed the "mini-fab configuration", wherein a pair of adjacent first and second conveyors 426A and 426B are fed by the single AGV aisle 420.
  • the first and second conveyors each have one or more robot- fed inspection test stations 440.
  • the substrates are delivered to and removed from the conveyors by stackers 421 and loader/unloaders 422 as have been previously described.
  • a conveyor-to-conveyor transfer robot 460 which can transfer or bypass substrates directly between the conveyors so that processes may be sequentially performed on a given substrate by islands of the adjacent conveyors without having to return the given substrate to the bulk substrate delivery and removal system.
  • the conveyors may be positioned substantially adjacent to each other at the conveyor-to-conveyor transfer robot 460.
  • the transfer robot may be otherwise similar to the loaders used with the processing islands.
  • an intraconveyor transfer or bypass robot (not shown) may be provided in the interior of a conveyor.
  • the intraconveyor transfer robot transfers substrates between two remote locations on a single conveyor.
  • the intraconveyor transfer robot may be used for a variety of purposes including the reordering of substrates along the flow path, the stabilization of substrate flow along the conveyor, the avoidance of "log jams", or for other process purposes.
  • the conveyors may deliver substrates to and remove substrates from CVD process islands 430, substrate cleaning islands 442, etch/ash islands 444, CVD passivation island 446, and PVD process islands 448 and 450.
  • Systems, such as shown in Fig. 25, allow the mixing of various chamber types along the conveyor route to achieve wide flexibility in design. In this way, widely varying processes may be implemented to allow the growth of numerous types of films and devices. This is possible because the system maintains a substantially uniform pitch regardless of the number of process chambers configured together in a single island.
  • a number of substrate holding elements 158 are shown on a continuous conveyor substrate handling system.
  • all holding elements 158 index forward from one stop position to the next stop position simultaneously.
  • the substrate holding elements are spaced such that when one is servicing a load lock of processing island 230B, another can be servicing the corresponding load lock of processing island 230C.
  • a substatially uniform pitch i.e. , the centerline-to-centerline distance between adjacent chambers
  • TACT time is optimized. This is especially important in a conveyor system where multiple substrates and processing islands must be appropriately indexed so that loads and unloads occur substantially simutaneously at all desired load lock positions.
  • the distance between an entrance or first load lock and processing chamber may be substantially equal to the pitch between substrate holding elements 158.
  • the pitch between adjacent chambers (PI) should be substantially the same or an integar multiple of the pitch between adjacent substrate holding elements 158.
  • PI is approximatelyequal to P2.
  • the pitch may preferably be uniform between different processing islands, even if the processing islands perform different processes, e.g. , PVD, CVD, etch, etc.
  • each chamber whether a load lock, a processing chamber, a vacuum or atmospheric inspection station, etc., may employ identical or similar structural connections and interfaces. As such, any combination of chambers may be structurally combined and attached together.
  • etch/ash chambers have been disclosed, a descum chamber 425 may also be advantageously included to, e.g., remove undissolved pieces of resist or dried developer left on the surface of films (see Fig. 25). Such chambers may employ oxygen-rich plasmas to accomplish descumming.
  • a variety of substrate transfer or holding moieties may be used in appropriate combinations including the illustrated frames, forks and pallets. Accordingly, other embodiments are within the scope of the following claims.

Abstract

The invention provides an apparatus and method for performing a process on a substrate. At least two types of structures may be used to provide a flow path for a substrate so that the substrate may be moved from one processing or loading position to another. The first is a conveyor. The second is a track. The flow path may be a closed continuous loop. Each processing island has a valve for introduction and extraction of the substrate into and out of an interior of the island. The processing island may include load locks, and may include in conjunction therewith an inspection station, a CVD chamber, a PECVD chamber, a PVD chamber, a post-anneal chamber, a cleaning chamber, a descumming chamber, an etch chamber, or a combination of such chambers.

Description

MODULAR SUBSTRATE PROCESSING SYSTEM
RELATED APPLICATIONS
This application is a continuation-in-part of U.S. Patent Application Serial No. 08/946,922, entitled MODULAR CLUSTER PROCESSING SYSTEM, filed October 8, 1997.
The present application is also related to the following U.S. patent applications which are being filed concurrently with this application: (1) "Method and Apparatus for Substrate Transfer and Processing" [attorney docket 2519 US/AKT (05542/235001)]; (2) "Multi-Function Chamber For A Substrate Processing System," [attorney docket 2712/US/AKT (05542/268001)]; (3) "An Automated Substrate Processing System," [attorney docket 2429/US/AKT (05542/245001)]; (4) "Substrate Transfer Shuttle Having a Magnetic Drive," [attorney docket 2638/US/AKT (05542/264001)]; (5) "Substrate Transfer Shuttle," [attorney docket 2688/US/AKT (05542/265001)]; (6) "In-Situ Substrate Transfer Shuttle," [attorney docket 2703/US/AKT (05542/266001)]; and (7) "Isolation Valves", [attorney docket 2157/US/AKT (05542/226001)].
The foregoing patent applications, which are assigned to the assignee of the present application, are incorporated herein by reference in their entirety.
BACKGROUND The invention relates to substrate processing, and more particularly, to the handling of substrates in and around "processing islands", which may include just a processing chamber, a processing chamber with load locks, or a set of processing chambers with or without load locks.
Most semiconductor processes are automated. For example, automatic temperature controllers are used to heat a substrate to a predetermined temperature for a predetermined period of time as dictated by a process-control computer. Most processes are run by such a computer according to a "recipe" input by an operator. One level of automation involves the loading and unloading of substrates.
A cassette of typically 20 to 25 substrates is often used for such operations. Some process equipment employs a buffer storage capability for cassettes to increase efficiency by always having fresh substrates available for processing and a place to unload processed substrates. Automatic guided vehicles ("AGV's) may also be used in this type of automation. AGVs travel along the aisles of a production line and dispense cassettes of substrates as required. This technique is useful for production lines in which the equipment is organized in rows. Besides AGVs, overhead rails may also be employed to transport cassettes of substrates.
Another level of automation employs sequential performance of specific processing steps. Such processing steps may involve separate processing machines or stations in an assembly line-like setting. "Clustering" combines two or more process steps in a single unit by using more than one process chamber surrounding a central loading chamber having a loading robot. Two or more sequential processes performed in a cluster system are referred to as "integrated processes". For example, a substrate may be placed in one process chamber for etching, a second process chamber for cleaning, and a third process chamber for metal deposition. Clustering also allows improved throughput by parallel processing multiple wafers in a single process step.
An improved cluster system is shown in FIG. 1. This system 20 includes a vacuum robot 22 in a chamber surrounded by processing chambers 24A-24D, load lock cooling chambers 26A and 26B, and heating chamber 28. The chambers 26A, 26B and 28 each contain a substrate cassette for holding a plurality of substrates. Substrates may be delivered to or removed from the chambers 26A and 26B. Specifically, the substrates may be exchanged for substrates in a plurality of cassettes 30 by an atmospheric exchange system 32. The glass substrates can have dimensions, for example, of 550 mm by 650 mm. The trend is toward even larger substrate sizes, such as 650 mm by 830 mm and larger, to allow more displays to be formed on the substrate or to allow larger displays to be produced. The larger sizes place even greater demands on the capabilities of the processing systems. To facilitate clustering, robotic systems have been used to transfer substrates from one processing station to another. Because of the high cost of robotics, including their associated controls and programming, one robot is often used to service a number of machines. Although such use is flexible, allowing accommodations to different or changing physical environments or processes, the robots are still expensive to acquire. They represent a single failure point which can affect an entire processing system. Although robots can be used to service a number of stations, they must service those stations sequentially, thus limiting the efficient use of the stations.
Yet another level of automation is providing for two or more sequential process steps in a single process chamber. This desirably eliminates an unloading and loading step, increasing cleanliness and throughput. For vacuum processes, time and cleanliness are favorably affected when more than one process can be performed with only one pump-down of a chamber.
Drawbacks of clustering include a greater reliance on interlocks, electronics, and software than is required for individual tools. Downtime also affects a larger part of the production capacity than is true for individual tools. In some cases, preferred cluster modules can only be supplied by different vendors, leading to difficulties in compatibility.
Even worse, the addition of a cluster tool in a factory requires a larger incremental factory size due to the floor space required for several process chambers. In other words, the minimum incremental factory size is larger for a cluster tool than for a single process chamber. Accordingly, cluster tools are currently arranged in a "job shop" configuration where all the process chambers perform the same or similar processes. Such a configuration is acceptable from a cost standpoint, but is practical only in large increments of factory capacity. A "mini-fab" factory configuration is not suitable for cluster tools because of the large incremental capacity of multi-chamber cluster tools.
A further drawback of cluster tools is a potentially inefficient matching of "TACT" times. The "TACT" time is the total actual cycle time and refers to the time period between the introduction of the substrate into the process system and its subsequent removal from the system. The TACT times of the various pieces of process equipment must substantially match in order for the factory to operate in an efficient serial sequence. As the mismatch in TACT times increases, the number of substrates required to keep the factory running likewise increases, along with the cost of operation. TACT times of cluster tools are less cost-effectively matched to one another, because cluster tools are purchased in larger per-system capacity increments.
SUMMARY
The invention provides an apparatus and method for performing a process on a substrate. At least two types of structures may be used to provide a flow path for a substrate so that the substrate may be moved from one processing or loading position to another. The first is a conveyor. The second is a track. The flow path may be a closed continuous loop.
In the conveyor system, a substrate transfer mechanism is provided to remove the substrate from the conveyor and to place another substrate -on the conveyor. At least one processing island is adjacent the flow path. The conveyor may include a number of substrate holding elements such as pallets. The substrate transfer mechanism may be a robot having end effectors such as forks to lift, support, and move the substrate to and from the conveyor to the island.
Each processing island has at least one valve for introduction and extraction of the substrate into and out of an interior of the island. The processing island may include at least one and often two load locks, and may include in conjunction therewith an inspection station, a CVD chamber, a PECVD chamber, a PVD chamber, a post-anneal chamber, a cleaning chamber, a descumming chamber, an etch chamber, or a combination of such chambers. The load locks may be employed to heat and cool the substrates.
In the track system, a substrate exchange apparatus moves along a track to perform exchange of substrates from a substrate delivery and removal system to a processing island. The substrate delivery and removal system may include a number of cassettes to hold substrates and a number of automatic guided vehicles to deliver cassettes to and from a cassette loading system from which substrates may be retrieved by the substrate exchange apparatus. In other aspects, several flow path conveyors may be provided, and a bypass robot may be employed to transfer substrates from one flow path to another. Steps of the method include positioning a substrate on a conveyor, moving the substrate to a position adjacent a processing island load lock. The substrate is removed from the conveyor and introduced into the load lock. The substrate is moved from the load lock into the processing chamber and therein processed. The substrate is then moved into a load lock, which may be the same or a different load lock than the above load lock. The substrate is extracted from the load lock and placed on the conveyor. During the time of the above process, at least one other substrate is loaded onto the conveyor. The process may then be repeated any number of times. Advantages of the invention include one or more of the following. The invention allows for small, cost-effective sizing of factory capacity. Factories employing the invention can be efficiently and cost-effectively configured in any of several manufacturing flow configurations, i.e. , job shop, ballroom, or mini-fab. The system allows for cost-effective matching of TACT time among some of the most expensive pieces of process equipment, including chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), etch, and photolithographic equipment. The invention allows for complete modularity in the design of fabrication processes. The chambers intended for use in the present invention may have the same or similar interfaces, allowing the same to be connected together in any combination whatsoever. In the present invention, if one processing island becomes fully or partially disabled due to malfunctions, etc., the system may continue to operate because the process may simply be reprogrammed to bypass the faulty island. The process of the disabled island may be reassigned to a different island while the disabled island is taken off-line and repaired.
BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a top plan schematic view of a prior art cluster tool. FIG. 2 is a top plan schematic view of a single aisle of a fab system according to the present invention. FIG. 3 is a schematic longitudinal cross-sectional view of the aisle of FIG.
2. FIGS. 4-8 are top plan schematic views of alternate tracked robot systems according to the present invention.
FIG. 9 is a top plan schematic view of an alternate system according to the present invention. FIG. 10 is a perspective view of the system of FIG. 9.
FIGS. 11-17 are views of a robot end effector and conveyor substrate holding element in various relative orientations.
FIG. 18 is a top plan schematic view of an alternate system according to the present invention. FIG. 19 is a perspective view of the conveyor system of FIG. 18.
FIG. 20 is a top plan schematic view of still another system according to the present invention.
FIG. 21 is a top plan view of a substrate transfer element according to the present invention. FIG. 22 is a top plan view of an alternate embodiment of a substrate transfer element according to the present invention.
FIG. 23 is a top plan schematic view of a conveyor system according to an embodiment of the present invention, as it may be employed in a factory setting including numerous islands, most islands including a processing chamber and two load lock chambers.
FIG. 24 is a top plan schematic view of a multiple conveyor system according to the present invention as fed by a single AGV aisle.
FIG. 25 is a top plan schematic view of a two conveyor system according to the present invention, fed by a single AGV aisle and linked by a conveyor-to- conveyor transfer robot.
Like reference numbers and designations in the various drawings indicate like elements.
DETAILED DESCRIPTION FIG. 2 shows an aisle 40 of a fabrication system according to the present invention. The aisle includes first through fourth islands 42A-42D, respectively, on opposite sides of a track 44. The track has first (proximal) and second (distal) ends 46 and 48, respectively. Each island includes a load lock chamber 50, often used for heating, a load lock chamber 52, often used for cooling, and at least one processing chamber 54.
The load locks allow a staged vacuum to occur. That is, the process chamber vacuum need not be breached for substrates to be loaded and unloaded. Since the load locks are independently evacuated, pumps servicing the process chamber need only pump on a chamber ( . e. , either of the load locks) that is already at a vacuum. That is, a substrate is loaded into the load locks which are then pumped down to a vacuum. A valve or set of valves between the load lock and the processing chamber is opened to allow transfer of the substrate between the two. When this happens, the processing chamber is subjected to the vacuum of the load lock. Had the vacuum not been staged, i. e. , had the load lock not been pumped down, the exposure of the process chamber to such a pressure could lead to contamination of the process chamber. Such a capability is particularly important for, e.g., plasma vapor deposition (PVD), which may often require the lowest pressure of any process.
The processing chamber 54 is in controlled communication with the load lock chambers by means of gate or slit valves 56 and 58, respectively, at the entrance and exit of the processing chamber. At the first end 46 of the track 44 is a bulk substrate delivery and removal system which, in the illustrated embodiment, is formed as an automatic cassette loading system ("ACLS") 60. AGVs 62 which move along an AGV aisle 66 are provided to deliver substrate cassettes to and remove substrate cassettes from ACLS 60. The ACLS includes an inboard cassette holding fixture 68A, and outboard cassette holding fixtures 68B and 68C positioned at first and second sides of the inboard cassette holding fixture 68A. The inboard holding fixture 68A is fixed in a position adjacent the AGV aisle. The cassettes each have features for holding a vertical array of substrates in a parallel spaced- apart relation. The outboard holding fixtures 68B and 68C are movable (via translation and rotation) between the initial or cassette exchange positions (shown in broken lines) adjacent the AGV aisle 66 for exchanging cassettes with AGVs 62 and second or substrate exchange positions (shown in solid lines) for exchanging individual substrates in their cassettes with a substrate exchange robot 70. In the illustrated embodiment, the robot is an "atmospheric" robot, operating in the atmosphere of the factory, at substantially ambient pressure.
The robot 70 (described in further detail below) is movable along the track 44 between the first and second ends 46 and 48. When located in a first position at the first end 46, the robot 70 can retrieve and return substrates to and from the cassettes on holding fixtures 68A-68C. With the robot 70 in the first position, the inboard holding fixture 68A and the outboard holding fixtures 68B and 68C, when in their second positions, each face the robot to facilitate exchange of substrates with the robot. The robot may be moved to a plurality of positions along the track where it may exchange substrates with the process islands. In a first loading position, shown in broken lines and designated with the numeral 70', the robot may load a substrate 72 into the entry load lock chamber 50 of the first island 42 A through a gate or slit valve 74. In the same position, but in an orientation rotated 180° therefrom about a vertical axis, the robot may load a substrate into the entry load lock of island 42B. Another such loading or insertion position and pair of loading orientations are associated with the loading chambers of islands 42C and 42D. Similarly, an unloading or extraction position and a pair of unloading orientations are associated with each opposite pair of islands 42A and 42B, and 42C and 42D. The robot, shown in broken lines and designated with the numeral 70", is in the unloading position for the islands 42C and 42D, and in the unloading orientation specifically for the island 42D where the robot can extract a substrate through a gate valve 76 on exit load lock or unloading chamber 52.
A clean tunnel 80, also shown in cross-section in FIG. 3, encompasses the track 44 and ACLS 60 and may extend over a portion of AGV aisle 66. The clean tunnel extends to the load lock chambers of the various islands and provides a clean environment through which the robot may transport substrates between the islands and the ACLS. All along its roof, the clean tunnel may have filtered fans 82 (Fig. 3) for introducing clean air into the clean tunnel to maintain the clean tunnel at a slight positive pressure, thereby preventing entry of unwanted contaminant particles through various tunnel openings.
Referring back to FIG. 2, the track 44 extends through the distal end of the clean tunnel 80. A sufficient length of track is provided between the end of the clean tunnel and the distal end 48 of the track to provide a service/maintenance position for the robot, shown in broken lines and designated 70'". With the robot in the service/maintenance position at the distal end 48 of the track, technicians have substantially unimpeded access to the robot and can perform service on the robot including cleaning or replacement of robot end effector.
This modular design allows the track (which may also be a conveyor as described below) to be of any length desired and to accommodate any number of processing islands to achieve a desired process. Advantageously, as shown in Fig. 2, the conveyor or the track may be made of identical modular track segments 71 which may be coupled together to extend the conveyor or track any desired distance. The coupling mechanisms may vary.
As shown in FIG. 3, the track 44 is securely mounted to the facility floor 99. The robot is coupled to the track so as to be movable along the length of the track, but substantially immovable transverse to the track and not rotatable about the length of the track so as to prevent the robot from tipping over. The robot includes a base 84 having a central vertical axis 86 and a body 88 extending upward from the base 84. The body may be rotated about the axis 86 by a rotary actuator (not shown). An end effector, in the form of a lifting fork 90, is coupled to the body by an arm 92. At its proximal end, the arm 92 is coupled to a vertical linear actuator (not shown) to permit the arm and lifting fork to be raised and lowered. Optionally, as shown in FIG. 2, the robot may have a pair of arms 92A and 92B each with an end effector 90A and 90B, respectively. Such a configuration allows greater speed and flexibility in the operation of the robot as may be dictated by the process requirements. Referring to Fig. 2, in a lowered position, the fork 90 may be inserted beneath a substrate 72 in a cassette or in a load lock chamber. When raised to an intermediate position, the upper surface of the fork or, more particularly, pads (not shown) along the upper surface of the fork tines, engage the lower surface of the substrate. When further elevated to a raised position, the fork lifts the substrate out of engagement with the cassette or load lock chamber. With respect to a cassette, if the vertical range of the robot actuator is insufficient to address each location along the height of a cassette, the cassette holding fixtures may include elevators, capable of raising and lowering their associated cassettes to facilitate access by the robot. Alternatively, the robot end effector may be made to move primarily horizontally such that the elevators provide most of the vertical translation. The arm 92A may be articulated to reciprocate the fork 90A along its central axis 94 (Fig. 2) between extended and retracted positions to insert and extract a substrate, respectively. A largely extended position is shown in FIG. 2 for arm 92A, and a largely retracted position is shown in arm 92B of the robot in position 70". With the fork in the largely retracted position, the substrate is substantially centered over the track in a relatively compact configuration so that the robot may move along the track carrying the substrate without colliding with adjacent structures.
It can be seen how, via rotation of the body 88, the robot can be made to align the fork 90A (and its axis 94), for example, with the longitudinal axis of the cassette holding fixture 68A or the outboard fixtures 68B and 68C when the latter fixtures are in their substrate exchange positions. Such rotation can further be used to alternate the robot between addressing load lock chambers on one side of the track and load lock chambers on the other side of the track.
Other bulk substrate delivery and removable systems such as belt or pallet type conveyors may be used in place of the AGV/ACLS system. Furthermore, multiple aisles 40 are preferably associated with a single AGV aisle 66 or conveyor system to maximize fab efficiency.
FIG. 4 shows a system having an aisle 510 which may be otherwise similar to aisle 40. Rather than having an AGV/ACLS substrate delivery and removal system, the aisle has a conveyor system 512 which, in the illustrated embodiment, comprises frame-type substrate holding elements 514 as will be described in greater detail below. A robot 516 may exchange a substrate with a particular frame which, at a given point in time, is located at the proximal end of the track 518 which is positioned perpendicular to the conveyor. The robot may move along the track and interact with the islands as has been previously described with reference to robot 70. Specifically, these islands include load lock chambers 501 and 507, process chambers 503 and 508, and load lock chambers 505 and 509.
FIG. 5 shows a robot 520 which is movable along a track 522 alongside and parallel to the conveyor. The robot 520 can exchange substrates with substrate holding elements of the conveyor at plural locations along the conveyor adjacent to the track 522. These locations include those corresponding to a load lock chamber 515, a process chamber 513, and a load lock chamber 511.
FIG. 6 shows a system similar to that of FIG. 5. In this embodiment, the conveyor is replaced with an ACLS system 533. The ACLS system can exchange substrates at various locations including at a load lock chamber 517, a process chamber 519, and a load lock chamber 521.
FIG. 7 shows a system having a conveyor, robot, and track similar to that of FIG. 5 but with an optional island configuration. In this embodiment, load locks 530 and 532, respectively, are provided adjacent the track to exchange substrates with the robot. A low pressure heating chamber 534 is located at the rear of the heating load lock 530 and a transfer chamber 536 is located near the back of the cooling load lock 532. A first processing chamber 538 is positioned between the low pressure heating chamber 534 and the transfer chamber 536. A second processing chamber 540 is positioned at the outboard side of the transfer chamber 536.
FIG. 8 shows a system similar to that of FIG. 7 but wherein the conveyor is replaced by an ACLS 535. This system includes load locks 523 and 537, process chambers 525 and 529, a separate heating chamber 531, and a transfer chamber 527.
Referring to FIG. 9, in a further embodiment, an island 120 is provided for processing. The island 120 includes a process chamber 123, and associated load lock heating chamber 121 and load lock cooling chamber 125. The island 120 may be otherwise similar to those of the embodiment of FIG. 2. A conveyor 131 transports substrates to and from the island 120. The sources and destinations of the substrates may include other processing islands which, in combination with island 120, perform sequential treatment processes on the substrates. For example, the conveyor 131 delivers substrates to heating chamber 121 and receives substrates from cooling chamber 125 via an atmospheric loading robot 128 A having an end effector 129A and an atmospheric unloading robot 128B having an end effector
129B, respectively. As illustrated in FIG. 9, an unprocessed substrate 127 may be loaded into heating chamber 121 by the loading end effector 129A and a processed substrate 127' may be removed from cooling chamber 125 by the unloading end effector 129B. Process chamber 123 may be, for example, a chemical vapor deposition (CVD) chamber, a physical vapor deposition (PVD) chamber such as for deposition of indium tin oxide (ITO), an etch chamber, a PECVD chamber, or other such semiconductor vacuum processing chambers as are known in the art. Each load lock chamber may be multifunctional. Process steps including heating, cooling, ashing, descumming, etc., may be provided for and employed in each load lock. Typically, the load lock 121 may be used to heat and descum, while the load lock 125 may be used to cool and ash. If an ash step is employed, this requires a separate heating capability, necessitating a multi-functional load lock. Heating processes in such multifunctional load locks may include post- process anneals. Non-heating or cooling processes which may occur in a multifunctional load lock include inspections under either vacuum or atmospheric conditions. Such functions and structure are described in the application "Multi- Function Lock Chamber for a Substrate Processing System", incorporated by reference above.
Referring to FIG. 10, which shows a perspective view of the island of FIG. 9, various other components are evident. Loading end effector 129A is shown positioned exterior to load lock heating chamber 121. When loading a substrate 127 into heating chamber 121, the end effector 129A enters heating chamber 121 via a loading slit or gate valve 132. The substrate is received by a substrate transport structure (not shown) interior of heating chamber 121, and is maneuvered into a desired position for heating. Once processing (which may include a pumpdown to vacuum to correspond to a vacuum in the processing chamber, as well as a heating step) is completed within heating chamber 121, the substrate is moved into process chamber 123 via a transporter or shuttle (not shown) which moves the substrate through a first transfer valve 134 between heating chamber 121 and process chamber 123. Once the substrate is in process chamber 123, the transport structure extracted and the valve closed, processing may be commenced. In FIG. 10, a factory worker 100 is shown. The worker, the substrates, and the transfer mechanisms are protected against collision with each other by a set of machine guards 136. Following processing, the substrate is moved into cooling chamber 125 (which may also have been pumped down to vacuum) via the same or a second substrate transporter (not shown) through a second transfer valve 138. Cooling or other such processing may then be commenced. Once the cooling or other processing is complete, the processed substrate (shown as 127') is removed from cooling chamber 125 via unloading slit valve 140 and end effector 129B.
Prior to the substrate's introduction into the load lock heating chamber 121, the substrate may have been transported down conveyor 131 from a storage location as described below. The conveyor system may be any suitable powered or gravity-operated type, including, for example, roller and linked belt conveyors. The substrates may be moved on a series of discrete substrate holding elements, including first, second and third elements 142A-142C (collectively 142). Substrates may be centered on the substrate holding elements using a plurality of stoppers 201 (only shown in connection with element 142A). These stoppers 201 may have the general shape of an inverted truncated cone, such as a frustum. The substrate holding elements 142 may be continuously arrayed along the length of the conveyor, and may be evenly and fixedly spaced relative to each other or may be independently controllable to allow temporary interruptions in the movement of individual elements. The substrates move on the elements in a downstream direction (here denoted as the x-direction 200) along a work flow path defined by the conveyor. Chambers 121, 123 and 125 are located adjacent the work flow path. The directions orthogonal to the downstream direction 200 are designated as a horizontal or y-direction 201 (between the conveyor and the chambers) and a vertical upward or z-direction 202.
For a given processing chamber to perform an operation on a particular substrate, the substrate's movement is stopped adjacent the loading robot 128A. This may be done by stopping a substrate holding element in the location of element 142A in FIG. 10. As shown in FIG. 11, the stopped position is adjacent to the chamber 121 and directly over loading end effector 129A which has been previously appropriately withdrawn (FIG. 12) from the chamber 121 by a y-linear actuator 143 A (FIG. 10), lowered along the z-direction by a z-linear actuator 144A (FIG. 10), and rotated about the z-direction (compare FIGS. 12 and 13) by a z- rotary actuator 146A (FIG. 10). Loading end effector 129A is then raised by z- linear actuator 144A to engage and cradle the substrate (FIG. 14). Loading end effector 129A is further raised to lift the substrate into an elevated position (FIG. 15) wherein loading end effector 129A holds the substrate above the element 142 A. The z-rotary actuator 146A of robot 128 A is then caused to rotate the loading end effector 129 A 180° (FIG. 16) so that the substrate may be introduced into load lock heating chamber 121. Fine adjustments may be made by z-linear actuator 144A to adjust the height of the substrate so that the substrate may enter loading slit valve 132 unimpeded. When the proper height is achieved, the loading slit valve 132 is opened and the substrate is moved by y-linear actuator 143 A in the y-direction (FIG. 17). This movement loads the substrate into load lock chamber 121 where it is received by a substrate transport structure (not shown). The empty end effector 129A may then be withdrawn from the chamber 121. Loading slit valve 132 is then closed and the heating and evacuation process begun. After processing, with the substrate in load lock chamber 125, the substrate may be returned to the conveyor by essentially reversing these steps with an unloading slit valve 140, the unloading end effector 129B, a y-linear actuator 143B, a z-linear actuator 144B, a z-rotary actuator 146B and a conveyor element in the position of third element 142C.
It should be noted that loading end effector 129 A engages the substrate held on substrate holding fixture 158 in the same way that the loading end effector
129 A engages a substrate held on a substrate holding cassette. Such cassettes are known and are disclosed, e.g., in U.S. Patent No. 5,674,786, entitled "Method of Heating and Cooling Large Area Glass Substrates", to Turner, White and Berkstresser, issued 10/7/97 and U.S. Patent No. 5,607,009, entitled "Method of Heating and Cooling Large Area Substrates and Apparatus Therefor", to Turner, White and Berkstresser, incorporated herein by reference in their entirety. As shown, the loading end effector 129A may have the shape of a fork, and may in particular have the shape of a forked blade. By using a loading end effector 129A of this design, a bridge is made to older manufacturing lines which then may more easily and cost-effectively integrate the system of the present invention.
FIG. 18 shows an island 120' employing two process chambers 123A and 123B which may be used to process a substrate. In a fashion similar to island 120 of FIG. 9, island 120' employs a load lock chamber 121 for introduction of substrates into the system. The island also employs a load lock chamber 125 for extraction of substrates out of the system. The introduction of a substrate is made via a loading end effector 129A'. The extraction of a processed substrate is made via an unloading end effector 129B'. A system such as is shown in Fig. 18 may be used to deposit two films on a substrate by first depositing a film layer on the substrate in the first process chamber 123 A and then moving the substrate into the second process chamber 123B where a second film layer may be grown or deposited. The remainder of the island system, including the movement of the substrates along conveyor 131, is similar to that described with respect to FIGS. 9 and 10. The island 120' is also shown in perspective view in FIG. 19. As shown, the loading end effector 129A', like end effector 129A, may enter a loading slit valve carrying a substrate. Loading end effector 129A' is similarly supported by a z-linear actuator, a z-rotary actuator, and a y-linear actuator. The system for extraction of a substrate includes an unloading end effector 129B', a z-linear actuator, a z-rotary actuator, and y-linear actuator. In a similar fashion to that described above, the substrate is extracted from the load lock chamber 125 through an unloading slit valve. FIG. 19 also shows a series of first, second, third, and fourth substrate holding elements formed as conveyor pallets 142A'-142D'. These pallets (collectively 142') are discussed below and compared relative to the frame-type holding elements 142 of FIG. 10. Also shown in FIG. 19 is a laminar flow hood 150 which performs a similar function as the clean tunnel 80 described above. FIG. 20 shows an island 120" that may be used for the deposition of a three-layer film on a substrate. It should be noted that, in all of these embodiments, a greater or fewer number of film layers may be grown than is described. For example, substrates may be shuttled back and forth between process chambers by way of appropriate programming of the substrate transporter(s) within the system. Such substrate transporters are described in the application "Substrate Transfer
Shuttle", incorporated by reference above. Alternatively, more than one film may be sequentially grown in a single process chamber. Also, a substrate may be passed through a process chamber without any film being grown or any processing performed.
The operation of island 120" is otherwise similar to that of island 120. The substrate may be moved from load lock heating chamber 121 into a transfer chamber 122. From transfer chamber 122, the substrate may be moved into a first process chamber 123C for film deposition or other processing as a first step. The substrate may then be moved into a second process chamber 123D for growth or processing as a second step. The substrate may then be moved back into first process chamber 123C for deposition or processing as a third step. Following these three steps, which may be repeated as described above, the substrate is moved back into transfer chamber 122 and finally into load lock chamber 125. From load lock cooling chamber 125, the processed substrate may be removed from the system.
The design of the conveyor substrate holding elements and robot end effectors may vary. Returning to FIG. 10, each conveyor substrate holding element 142 may be formed as a generally C-shaped structure having, and supported by, a lower arm 152 which engages a track element 154 of the conveyor 131. The arm 152 extends generally transverse to the substrate work flow path. At the distal end of the arm 152, an upright 156 extends vertically upward and joins a substrate holding fixture 158 which forms the top of the C-shaped structure. With further reference to FIG. 16, the fixture 158 has a peripheral frame section 160 which is configured to extend alongside opposite edges of a substrate 127 and to wrap partially around the end of the substrate, leaving a gap 162 which defines an open distal end of the fixture. The fixture has a central aperture 164 which is generally co-extensive with and slightly larger than the substrate 127. When the substrate is held by the fixture 158 (FIG. 12) the underside of the substrate is supported by a plurality of fingers 166 which project inwardly from frame 160. The fingers have upper surfaces which are slightly vertically recessed from the upper surface of frame 160 so that the substrate 127 may be securely held in precise registration with the fixture, the edges and ends of the substrate constrained by the inward- facing surfaces 163 of the frame 160. Advantageously, the fingers are sufficiently long and extend sufficiently into the aperture 164 so as to provide a necessary degree of support for a relatively thin and flexible substrate 127. Furthermore, the fingers are sufficiently narrow so that, should a substrate break, its various pieces will fall through the spaces between the fingers, without leaving any shards or other debris on top of the fingers so as to interfere with processing of subsequent substrates. End effector 129A is generally formed as a forked blade, the degree to which the blade is forked being determined by the system requirements, particularly in terms of reducing weight. The end effector is sized so as to pass vertically through central aperture 164 of the fixture 158 without interfering with the frame 160 or fingers 166. At the distal end of the end effector, a pair of upwardly projecting tabs 168 serve as stops to engage the end of the substrate and prevent the substrate from sliding off the distal end of the end effector during movement. A notch 170 may be provided in the fixture 158 at the proximal end of the aperture 164 to permit passage of the tabs 168 during exchange of the substrate between the fixture 158 and the end effector 129A. FIGS. 19 and 21 show an embodiment of the end effector 129A' as a substrate transfer frame. The substrate transfer frames 129A' and 129B' are in many ways similar to fixture 158 and employ a peripheral frame member 270 having an open distal end and from which a plurality of substrate support tabs 177 project inwardly into a central aperture 179. Substrate 127, shown in dotted lines, is supported by the substrate support tabs 177. The aperture area of frame member 270 is made just larger than the area of the substrate so that the substrate fits within the frame member and is cradled by the substrate support tabs 177. The frame member is coupled to the z-linear actuator by a connection 174. With further reference to the pallet configuration shown in FIG. 19, the central aperture within the frame member 170 is slightly larger than the plan area of each of a plurality of pallets 158'. As the frame member is raised from below a pallet, the tabs pass through inwardly directed notches or recesses 161 in the pallet perimeter so that the upper surfaces of the tabs can engage the lower surface of the substrate 127 adjacent the substrate perimeter. The frame may be further raised to lift the substrate into an elevated position above the pallet. In a way similar to that of stoppers 201 in the embodiment of FIG. 10, a plurality of stoppers 301 may be employed to hold the substrate 127 on frame member 270. Like stoppers 201, stoppers 301 may have the general shape of an inverted truncated cone, such as a frustum.
It is further noted that pallets 158' may include stoppers to position and hold a substrate on the pallets 158' (not shown). Of course, such stoppers are configured such that they do not impede the movement of frame members 170 around the outside of the pallets 158' during substrate transfer. Furthermore, substrates on pallets 158' may be supported by friction pads 401 such as plastic buttons. In this way, substrates may endure less stress than if they were supported directly on the pallets. Such stoppers or pads may also be used on systems employing forked blade conveyor elements.
FIG. 22 shows another embodiment of an end effector formed as a substrate transfer fork 180. In particular, a substrate 127 is shown in dotted lines supported by the tines 182 of the substrate transfer fork 180. Such a fork may be useful where the conveyor has narrow pallets around which the tines of the fork may pass or where the conveyor supports the substrates along their edges such that the fork can pass between the support locations. In the latter case, the fork may be replaced by a blade. Substrate transfer fork 180 is mounted to supporting z-linear actuator via a connection 184.
FIG. 23 shows how multiple islands may be employed in a "job shop" configuration. In FIG. 23, substrates are moved by AGVs along an AGV aisle 220 and into a plurality of substrate stackers 221. From substrate stackers 221, substrates are moved into the conveyor system via a conveyor loader 222. Substrates are moved out of the system via a conveyor unloader 224. When substrates are moved into the system, they are placed on conveyor pallets 158 carried by a conveyor 226 which may be an endless loop discrete holding element conveyor similar to the conveyors described above. Any number of islands may be employed along the conveyor.
When the system shown in FIG. 23 is used for CVD, islands 230A-230J may be specifically for the growth of the active layers of thin film transistors (TFTs), and islands 230K-230N may be specifically for the growth of passivation layers. Thus, in this system, each process chamber assigned to the active-layers (islands 230A-230J) would be employed to deposit multiple layers sequentially. Passivation islands 230K-230N could be used to deposit a passivation layer as a final step over the TFT. As illustrated, the greater number of TFT islands may be provided to balance a longer processing time required by the active-layer islands relative to the passivation islands. Appropriate control of the conveyor, the robots of each island, the loader and unloader and other elements of the system via a specifically programmed computer can choreograph the movement of substrates to and from the conveyor and between active-layer and passivation islands to maximize throughput. This is a good example of small, incremental TACT time balancing. One type of function which may also be employed is a substrate buffer station 235. Such a buffer 235 may be placed, for example, upstream of an island for the purpose of holding substrates prior to their processing. The buffer station 235 provides a location where substrates may be temporarily stored. For example, if an island is occasionally called upon to perform a time-intensive process or requires operator assistance or service, substrates may be stored in a buffer 235 near the island so that substrates will be immediately available when the island is ready to process the next substrate. Buffer chambers may also be employed upstream of each one of a number of islands to even further facilitate rapid processing. Such buffer stations may have a number of shelves on which substrates are located and, if desired, heated or cooled.
Referring to FIG. 24, the invention is shown employed within a PVD job shop configuration. As above, an AGV aisle 320 is used to move substrates into a plurality of substrate stackers 321. Substrate stackers 321 feed substrates to a plurality of conveyor loader/unloaders 322. Loader/unloaders 322 move substrates to and extract substrates from conveyors 326. Conveyors 326 move substrates to selected ones of islands. As an example, again for the growth of TFTs, islands 330A and 330B may be used to deposit sources and drains. In doing so, these dual process chamber islands may deposit three layers of titanium/aluminum/titanium. Islands 330C and 330D may be used to grow gate layers such as titanium aluminum. In using dual process chamber islands 330C and 330D to grow two layers, one of the process chambers may be dormant in one step as mentioned above. Finally, islands 330E-330G may be used to deposit the pixel layer, such as to deposit ITO above the TFT. FIG. 24 also shows multiple conveyors being fed by a single AGV aisle. The conveyors deliver substrates to and receive substrates from processing islands in a variety of configurations. These systems of FIGS. 23 and 24, as well as similar systems, have a number of advantages over known systems. First, a variable number of process chambers can be cost-effectively implemented. This allows for incrementing factory capacity in small amounts. TACT time balancing may also be easily facilitated. Second, the conveyor track may be of variable length and may be kept clean in a more simple manner than AGVs that link separate tools. Third, the cyclical layout of the system allows substrates to automatically return to the same cassette from which they were unloaded without need for additional effort or mechanisms. Finally, it is relatively easy to implement inspection and/or test stations along the conveyor route. Such inspection test stations may be employed for process monitoring, counting particulates, or for implementing "go/no go" decisions. FIG. 25 shows an embodiment of a system, often termed the "mini-fab configuration", wherein a pair of adjacent first and second conveyors 426A and 426B are fed by the single AGV aisle 420. The first and second conveyors each have one or more robot- fed inspection test stations 440. The substrates are delivered to and removed from the conveyors by stackers 421 and loader/unloaders 422 as have been previously described. Of particular note is the inclusion of a conveyor-to-conveyor transfer robot 460 which can transfer or bypass substrates directly between the conveyors so that processes may be sequentially performed on a given substrate by islands of the adjacent conveyors without having to return the given substrate to the bulk substrate delivery and removal system. To facilitate this, the conveyors may be positioned substantially adjacent to each other at the conveyor-to-conveyor transfer robot 460. The transfer robot may be otherwise similar to the loaders used with the processing islands. Furthermore, an intraconveyor transfer or bypass robot (not shown) may be provided in the interior of a conveyor. The intraconveyor transfer robot transfers substrates between two remote locations on a single conveyor. The intraconveyor transfer robot may be used for a variety of purposes including the reordering of substrates along the flow path, the stabilization of substrate flow along the conveyor, the avoidance of "log jams", or for other process purposes.
In varying combinations the conveyors may deliver substrates to and remove substrates from CVD process islands 430, substrate cleaning islands 442, etch/ash islands 444, CVD passivation island 446, and PVD process islands 448 and 450. Systems, such as shown in Fig. 25, allow the mixing of various chamber types along the conveyor route to achieve wide flexibility in design. In this way, widely varying processes may be implemented to allow the growth of numerous types of films and devices. This is possible because the system maintains a substantially uniform pitch regardless of the number of process chambers configured together in a single island.
Referring back to the illustrated embodiment of Fig. 23, a number of substrate holding elements 158 are shown on a continuous conveyor substrate handling system. In this preferred embodiment, all holding elements 158 index forward from one stop position to the next stop position simultaneously. As can be seen, the substrate holding elements are spaced such that when one is servicing a load lock of processing island 230B, another can be servicing the corresponding load lock of processing island 230C. In this way, a substatially uniform pitch (i.e. , the centerline-to-centerline distance between adjacent chambers) is maintained and TACT time is optimized. This is especially important in a conveyor system where multiple substrates and processing islands must be appropriately indexed so that loads and unloads occur substantially simutaneously at all desired load lock positions. Further, the distance between an entrance or first load lock and processing chamber may be substantially equal to the pitch between substrate holding elements 158. Of course, because some processing islands may have more or less than three chambers in total, it would suffice to have the pitch of the load locks not be exactly the same, but rather a multiple of the pitch of the substrate holding elements. In other words, the pitch between adjacent chambers (PI), whether load lock or processing, should be substantially the same or an integar multiple of the pitch between adjacent substrate holding elements 158. In a preferred embodiment, PI is approximatelyequal to P2. Furthermore, the pitch may preferably be uniform between different processing islands, even if the processing islands perform different processes, e.g. , PVD, CVD, etch, etc. Thus, typically, at a given moment in time while the conveyor is stopped substrate holding fixtures are substantially aligned in front of all substrate transfer mechanisms.
For further modularity, each chamber, whether a load lock, a processing chamber, a vacuum or atmospheric inspection station, etc., may employ identical or similar structural connections and interfaces. As such, any combination of chambers may be structurally combined and attached together.
It is possible to envision conveyor systems which have independently movable pallets or forks to hold the substrates and therefore would not require a substantially uniform pitch. However, these kind of transport systems are more complex, less reliable and therefore somewhat less desirable.
Various functions and their associated structures may be combined or further divided from those illustrated herein. For example, while etch/ash chambers have been disclosed, a descum chamber 425 may also be advantageously included to, e.g., remove undissolved pieces of resist or dried developer left on the surface of films (see Fig. 25). Such chambers may employ oxygen-rich plasmas to accomplish descumming. A variety of substrate transfer or holding moieties may be used in appropriate combinations including the illustrated frames, forks and pallets. Accordingly, other embodiments are within the scope of the following claims.
A number of embodiments of the present invention have been described. Nevertheless, it will be understood that various modifications may be made without departing from the spirit and scope of the invention. Various other types of process equipment, e.g., those involved in photolithographic techniques, may be combined into systems other than those specifically shown.

Claims

WHAT IS CLAIMED IS:
1. An apparatus for performing a process on a substrate, comprising: a conveyor to support the substrate along a work flow path; a substrate transfer mechanism configured and arranged to remove the substrate from and place another substrate on said conveyor; and at least one processing island located along said flow path, each processing island having a valve for introduction and extraction of the substrate into and out of an interior thereof.
2. The apparatus of claim 1, wherein said processing island is an inspection station for inspection of the substrate.
3. The apparatus of claim 1, wherein each processing island includes a load lock chamber and a processing chamber.
4. The apparatus of claim 3, wherein said load lock chamber is a heating or cooling or heating/cooling chamber.
5. The apparatus of claim 3, wherein said processing chamber includes one or more chambers configured to perform at least one of a CVD process, a PECVD process, an etching process, a cleaning process, a descumming process, a PVD process, a post-anneal process, or a combination thereof.
6. The apparatus of claim 3, wherein each processing island includes two or three processing chambers.
7. The apparatus of claim 1, wherein said conveyor includes a plurality of substrate holding elements.
8. The apparatus of claim 1, further including at least one substrate stacker to hold substrates prior to or after processing.
9. The apparatus of claim 1 , wherein said substrate transfer mechanism includes an end effector for supporting the substrate, a horizontal linear actuator for horizontally translating the end effector, and a vertical linear actuator for vertically translating the end effector.
10. The apparatus of claim 9, wherein the end effector is fork-shaped.
1 1. The apparatus of claim 9, wherein said substrate transfer mechanism further includes a vertical rotary actuator for rotating the end effector about a vertical axis.
12. The apparatus of claim 1, wherein said substrate transfer mechanism is moveable, from a position directly below the substrate on said conveyor when the substrate is in a stopped position adjacent said processing island, to a position engaging the substrate, and then to a final position wherein the substrate is positioned above the conveyor.
13. The apparatus of claim 1, wherein each processing island includes an entry load lock chamber, a processing chamber and an exit load lock chamber.
14. The apparatus of claim 13, wherein said entry load lock chamber is a heating chamber and said exit load lock chamber is a cooling chamber.
15. An apparatus for performing a thin film process on a substrate, comprising: a conveyor for supporting a substrate as it moves along a flow path; a plurality of processing islands, each including: an exterior, an interior, and at least one valve for exchange of the substrate between the exterior and the interior; and a substrate exchange apparatus configured and arranged to retrieve the substrate from the conveyor, introduce the substrate into the interior of a selected processing island, extract the substrate from the interior of the selected processing island, and replace the substrate on the conveyor.
16. The apparatus of claim 15, wherein each one of said plurality of processing islands includes: a first load lock chamber having a first valve for introduction of the substrate therein; a processing chamber in communication with said first load lock chamber; and a second load lock chamber in communication with said processing chamber and having a second valve for extraction of the substrate therefrom.
17. The apparatus of claim 16, wherein said first load lock chamber is a heating chamber and said second load lock chamber is a cooling chamber.
18. The apparatus of claim 15, wherein the substrate exchange apparatus includes: a first robot for retrieving the substrate from the conveyor and introducing the substrate into the interior of the selected processing island; and a second robot for extracting the substrate from the interior of the selected processing island and positioning the substrate on the conveyor.
19. The apparatus of claim 15, wherein the substrate exchange apparatus includes: a loader having an end effector for retrieving the substrate from the conveyor and introducing the substrate into the interior of the selected processing island; and an unloader having an end effector for extracting the substrate from the interior of the selected processing island and positioning the substrate on the conveyor.
20. The apparatus of claim 19, wherein the end effector has the shape of a fork.
21. The apparatus of claim 15, wherein the flow path is a continuous loop.
22. The apparatus of claim 15, wherein the conveyor has a plurality of individual holding elements, each for holding a substrate.
23. The apparatus of claim 22, wherein the pitch between adjacent individual holding elements and the pitch between adjacent chambers in a processing island is substantially uniform.
24. The apparatus of claim 23, wherein the pitch between adjacent individual holding elements is substantially equal to the pitch between adjacent chambers in each of said plurality of processing islands.
25. The apparatus of claim 23, wherein the pitch between adjacent individual holding elements is substantially equal to the pitch between adjacent chambers in at least one of said plurality of processing islands.
26. The apparatus of claim 22, wherein each holding element is formed as a pallet configured to centrally support a substrate, and the substrate exchange apparatus includes at least one frame for supporting the substrate about a perimeter thereof, the frame configured to be moved from a first position below the pallet to a second position above the pallet for acquiring the substrate from the pallet, the frame having a perimeter gap to permit passage of a pallet support element during exchange of the substrate between the pallet and the frame.
27. The apparatus of claim 22, wherein each holding element has a C-shaped structure with a first substrate holding moiety forming a top of the C-shaped structure.
28. An apparatus for performing thin film processing on substrates, comprising: at least one processing islands, each processing island having: an exterior, an interior, and at least one valve for exchange of a selected one of the substrates between the exterior and the interior; a substrate delivery and removal system; and a substrate exchange apparatus for retrieving the selected one of the substrates from the substrate delivery and removal system, introducing the selected one of the substrates into the interior of a processing island, extracting the selected one of the substrates from the processing island, and returning the selected one of the substrates to the delivery and removal system; wherein the substrate exchange apparatus is moveable between a first position for retrieving the selected one of the substrates from the delivery and removal system, and a second position for returning the selected one of the substrates to the delivery and removal system.
29. The apparatus of claim 28, wherein said processing island is an inspection station for inspection of the selected one of the substrates.
30. The apparatus of claim 28, further comprising a track extending between at least the first position and a second position for returning the selected one of the substrates to the delivery and removal system, the track passing adjacent to each of said processing islands, the substrate exchange apparatus moveable along the track between the first position and the second position.
31. The apparatus of claim 30, wherein the track has a first terminus at the first position and a second terminus at the second position.
32. The apparatus of claim 30, wherein the track has a first side and a second side, and the processing islands lie along the first and second sides of the track.
33. The apparatus of claim 28, wherein the substrate delivery and removal system includes: a plurality of cassettes each to hold a plurality of substrates; and a cassette loading system for positioning the substrates to be retrieved by the substrate exchange apparatus.
34. The apparatus of claim 28, wherein the substrate delivery and removal system includes a conveyor for supporting the selected ones of substrates along a flow path, the conveyor having a plurality of substrate holding elements.
35. The apparatus of claim 28, wherein each of said plurality of processing islands includes: a first load lock chamber having a first valve for introduction of the selected one of the substrates therein; and at least one processing chamber; wherein for each processing island, said substrate exchange apparatus may be moved to at least one exchange position, including an insertion position for introducing the selected one of the substrates into the first load lock chamber.
36. The apparatus of claim 35, wherein said processing chamber includes one or more chambers configured to perform at least one of a CVD process, a PECVD process, an etching process, a cleaning process, a descumming process, a PVD process, a post-anneal process, or a combination thereof.
37. The apparatus of claim 35, wherein the substrate exchange apparatus is moveable along the track to a service position wherein the substrate exchange apparatus is accessible for maintenance or replacement, which service position is located beyond all of said at least one exchange positions.
38. An apparatus for performing thin film processing on substrates, comprising: a substrate delivery and removal system; a processing island having: a first load lock chamber having a first valve for introduction of substrates into the first load lock chamber; at least one processing chamber; and a second load lock chamber having a second valve for extraction of substrates from the second load lock chamber; a substrate exchange apparatus for retrieving substrates from the delivery and removal system, introducing substrates into the first load lock chamber, extracting substrates from the second load lock chamber, and returning substrates to the delivery and removal system, the substrate exchange apparatus moveable between: a first position for retrieving substrates from the delivery and removal system; an introduction position, remote of the first position, for introducing substrates into the interior of the first load lock chamber; and an extraction position, remote of said first position and said introduction position, for extracting substrates from the second load lock chamber.
39. The apparatus of claim 38, further comprising a track extending among at least the first position, the introduction position and the extraction position, and passing adjacent to said processing island, the substrate exchange apparatus moveable along the track.
40. An apparatus for performing thin film processing on substrates, comprising: first and second conveyors for supporting substrates as they move in respective first and second flow paths; a plurality of processing islands associated with the first and second flow paths and each processing island including: an exterior, an interior, and at least one valve for exchange of substrates between the exterior and the interior; an apparatus associated with each processing island for retrieving substrates from the conveyor, introducing substrates to the interior of the processing island, extracting substrates from the processing island, and transferring substrates to the conveyor; and at least one bypass robot for acquiring substrates from a first location along the first flow path and transferring substrates to a second location along the second flow path.
41. The apparatus of claim 40, wherein the bypass robot includes: an end effector for engaging substrates; a first actuator for vertically translating the end effector; a second actuator for rotating the end effector about a vertical axis; and a third actuator for horizontally translating the end effector.
42. An apparatus for performing thin film processing on substrates, comprising: a plurality of processing islands, each processing island including: an exterior, an interior, and at least one valve for exchange of a selected one of the substrates between the exterior and the interior; a substrate delivery and removal system; a substrate exchange apparatus for retrieving the substrates from the substrate delivery and removal system, introducing the substrates into the interior of a processing island, extracting the substrates from the processing island, and returning the selected one of the substrates to the delivery and removal system; and at least one substrate buffer chamber to store the substrates prior to or after processing.
43. An apparatus for performing thin film processing on substrates, comprising: a plurality of processing islands, each processing island including: an exterior, an interior, and at least one valve for exchange substrates between the exterior and the interior; a substrate delivery and removal system including a track passing adjacent to each of said processing islands; a substrate exchange apparatus for retrieving substrates from the substrate delivery and removal system, introducing substrates into the interior of a processing island, extracting substrates from the processing island, and returning substrates to the delivery and removal system, the substrate exchange apparatus moveable along the track, and wherein the track includes at least two modular tracks with conjunctible interfaces, such that the track may be configured or extended by combining a plurality of said modular tracks.
44. An apparatus for performing a process on a substrate, comprising: a conveyor for supporting a substrate as it moves along a flow path; a plurality of processing islands located adjacent to said flow path, each processing island including a load lock heating chamber through which a substrate may be introduced into said processing island and in which a substrate can be heated, a processing chamber in which a process may be performed on the substrate after it is heated, and a load lock cooling chamber in which the substrate may be cooled after it has been subjected to the process in said processing chamber; and a substrate transfer mechanism configured and arranged to transfer the substrate between said conveyor and selected ones of said processing islands.
45. An apparatus for performing a process on a number of substrates, comprising: a conveyor to support the number of substrates along a work flow path; a plurality of substrate transfer mechanisms configured and arranged to remove the substrates from and place the substrates on said conveyor; and a plurality of processing islands located along said flow path, at least one of said plurality of processing islands having at least two chambers and further having a valve for introduction and extraction of one of the number of substrates into and out of an interior thereof, and such that the pitch between adjacent substrates on the conveyor and the pitch between adjacent chambers in said at least one processing island is substantially uniform.
46. A method for processing a plurality of substrates, comprising the steps of:
(a) positioning one of a plurality of substrates onto a conveyor;
(b) moving the substrate on said conveyor to a position adjacent a processing island having a first load lock and a second load lock;
(c) removing the substrate from the conveyor;
(d) introducing the substrate into the first load lock;
(e) moving the substrate from the first load lock into a processing chamber; (f) processing the substrate in said processing chamber;
(g) moving the substrate into the second load lock;
(h) extracting the substrate from the second load lock and placing it on said conveyor; and
(i) during the time of the steps (a)-(h), positioning at least one other substrate onto said conveyor.
PCT/US1998/021386 1997-10-08 1998-10-08 Modular substrate processing system WO1999018603A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP98952210A EP1027724A1 (en) 1997-10-08 1998-10-08 Modular substrate processing system
JP2000515290A JP2001519598A (en) 1997-10-08 1998-10-08 Modular substrate processing system
KR1020007003773A KR100571104B1 (en) 1997-10-08 1998-10-08 Modular Substrate Processing System

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US94692297A 1997-10-08 1997-10-08
US08/946,922 1997-10-08
US09/082,483 1998-05-20
US09/082,483 US6235634B1 (en) 1997-10-08 1998-05-20 Modular substrate processing system

Publications (1)

Publication Number Publication Date
WO1999018603A1 true WO1999018603A1 (en) 1999-04-15

Family

ID=26767506

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1998/021386 WO1999018603A1 (en) 1997-10-08 1998-10-08 Modular substrate processing system

Country Status (6)

Country Link
US (1) US6235634B1 (en)
EP (1) EP1027724A1 (en)
JP (1) JP2001519598A (en)
KR (1) KR100571104B1 (en)
TW (1) TW426886B (en)
WO (1) WO1999018603A1 (en)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001033615A2 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
EP1132947A2 (en) * 2000-03-02 2001-09-12 Applied Materials, Inc. Fabrication system with extensible equipment sets
WO2001080289A1 (en) * 2000-04-13 2001-10-25 Nanophotonics Ag Modular substrate measurement system
WO2001088963A1 (en) * 2000-05-16 2001-11-22 Infineon Technologies Sc300 Gmbh & Co.Kg Device and method for treating, storing and loading supports for disk-shaped articles
EP1182695A2 (en) * 2000-08-22 2002-02-27 Asm Japan K.K. Semiconductor processing module and apparatus
WO2002035604A1 (en) * 2000-10-26 2002-05-02 Tokyo Electron Limited Treated substrate transfer system in semiconductor treatment equipment
WO2002073664A1 (en) * 2001-03-10 2002-09-19 Ips, Ltd Automatic continue wafer processing system and method for using the same
US6487792B2 (en) 2000-05-08 2002-12-03 Tokyo Electron Limited Method and apparatus for agitation of workpiece in high pressure environment
KR100408161B1 (en) * 2001-03-09 2003-12-01 정광호 Apparatus for manufacturing Multi-Layered Thin Film for mass-production
EP1376661A1 (en) * 2001-03-28 2004-01-02 Kabushiki Kaisha Toshiba Processing apparatus and processing system for semiconductor device
EP1184895A3 (en) * 2000-09-01 2006-01-25 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus and substrate inspection method
US7030401B2 (en) 2000-04-13 2006-04-18 Nanophotonics Ag Modular substrate measurement system
US7077614B1 (en) 1998-10-14 2006-07-18 Asm International N.V. Sorting/storage device for wafers and method for handling thereof
EP1758160A1 (en) * 2005-08-26 2007-02-28 Asys Automatic Systems Gmbh & Co. Kg Installation of modular construction for the working of flat substrates
WO2008041169A2 (en) * 2006-10-06 2008-04-10 Dynamic Micro Systems Redundantable robot assembly for workpiece transfer
CN100403145C (en) * 2005-01-31 2008-07-16 中华映管股份有限公司 Factory production working system and its operating method
US7771150B2 (en) 2005-08-26 2010-08-10 Jusung Engineering Co., Ltd. Gate valve and substrate-treating apparatus including the same
US8182198B2 (en) * 2006-10-06 2012-05-22 Dynamic Micro Systems Semiconductor Equipment Gmbh Redundantable robot assembly for workpiece transfer
WO2012162914A1 (en) * 2011-06-03 2012-12-06 深圳市华星光电技术有限公司 Substrate transfer system and substrate transfer method
US9122272B2 (en) 2006-10-06 2015-09-01 Dynamic Micro Systems Redundantable robot assembly for workpiece transfer
WO2016172003A1 (en) * 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
EP2609619B1 (en) * 2010-10-15 2020-05-27 EV Group GmbH Device and method for processing wafers
US11011401B2 (en) 2017-11-28 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Modular pressurized workstation

Families Citing this family (193)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2644912B2 (en) * 1990-08-29 1997-08-25 株式会社日立製作所 Vacuum processing apparatus and operating method thereof
US7089680B1 (en) * 1990-08-29 2006-08-15 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
TW539918B (en) * 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6849153B2 (en) * 1998-04-16 2005-02-01 Siemens Aktiengesellschaft Removal of post-rie polymer on A1/CU metal line
KR100265287B1 (en) * 1998-04-21 2000-10-02 윤종용 Multi-chamber system for etching equipment for manufacturing semiconductor device
US20080209758A9 (en) * 2005-06-27 2008-09-04 Dominique Thifault Pocket ventilator
US6079693A (en) 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
WO2000010200A1 (en) * 1998-08-11 2000-02-24 Ebara Corporation Wafer plating method and apparatus
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
US20050229725A1 (en) * 1999-01-17 2005-10-20 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US7278812B2 (en) * 1999-01-27 2007-10-09 Shinko Electric Co., Ltd. Conveyance system
JP2000286318A (en) * 1999-01-27 2000-10-13 Shinko Electric Co Ltd Transfer system
DE19907601A1 (en) * 1999-02-22 2000-08-31 Angew Solarenergie Ase Gmbh Method and arrangement for the continuous treatment of objects
US6443686B1 (en) * 1999-03-05 2002-09-03 Pri Automation, Inc. Material handling and transport system and process
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US8531678B2 (en) * 1999-07-09 2013-09-10 Nova Measuring Instruments, Ltd. Method and system for measuring patterned structures
US6748960B1 (en) * 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
US6402508B2 (en) * 1999-12-09 2002-06-11 Tokyo Electron Limited Heat and cooling treatment apparatus and substrate processing system
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6577923B1 (en) * 1999-12-23 2003-06-10 Applied Materials, Inc. Apparatus and method for robotic alignment of substrates
WO2001066817A1 (en) * 2000-03-09 2001-09-13 Semix Incorporated Wafer processing apparatus and method
US8382902B2 (en) * 2000-04-12 2013-02-26 Seagate Technology Llc Single disc vapor lubrication
US6613151B1 (en) * 2000-04-12 2003-09-02 Seagate Technology Llc Single disc vapor lubrication
KR100750018B1 (en) * 2000-07-26 2007-08-16 동경 엘렉트론 주식회사 High pressure processing chamber for semiconductor substrate
JP5021112B2 (en) * 2000-08-11 2012-09-05 キヤノンアネルバ株式会社 Vacuum processing equipment
US6630053B2 (en) * 2000-08-22 2003-10-07 Asm Japan K.K. Semiconductor processing module and apparatus
KR20030032034A (en) * 2000-09-15 2003-04-23 어플라이드 머티어리얼스, 인코포레이티드 Double dual slot load lock for process equipment
US6962471B2 (en) * 2000-10-26 2005-11-08 Leica Microsystems Jena Gmbh Substrate conveying module and system made up of substrate conveying module and workstation
US6491451B1 (en) * 2000-11-03 2002-12-10 Motorola, Inc. Wafer processing equipment and method for processing wafers
US6936134B2 (en) * 2000-11-14 2005-08-30 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP2002164409A (en) * 2000-11-29 2002-06-07 Tokyo Electron Ltd Transfer apparatus, substrate processing apparatus and substrate processing system
DE10101014A1 (en) * 2001-01-05 2002-07-11 Zeiss Carl Coating of optical elements, especially for use with ultraviolet light
KR100378259B1 (en) * 2001-01-20 2003-03-29 주승기 Method and apparatus for fabricating a thin film transistor including crystalline active layer
US20020189543A1 (en) * 2001-04-10 2002-12-19 Biberger Maximilian A. High pressure processing chamber for semiconductor substrate including flow enhancing features
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
WO2003030224A2 (en) * 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
WO2003024673A1 (en) * 2001-09-12 2003-03-27 Takehide Hayashi Robot hand with positioning function for semiconductor wafer and liquid crystal glass substrate
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US20040040660A1 (en) * 2001-10-03 2004-03-04 Biberger Maximilian Albert High pressure processing chamber for multiple semiconductor substrates
WO2003043060A2 (en) * 2001-11-13 2003-05-22 Fsi International, Inc. Reduced footprint tool for automated processing of substrates
KR100430336B1 (en) * 2001-11-16 2004-05-03 정광호 Apparatus for manufacturing organic electro-luminescent light emitting devices for mass production
US7006888B2 (en) 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
US7078690B2 (en) * 2002-02-04 2006-07-18 Applied Materials, Israel, Ltd. Monitoring of contact hole production
US7038224B2 (en) * 2002-07-30 2006-05-02 Applied Materials, Israel, Ltd. Contact opening metrology
AU2003215238A1 (en) * 2002-02-15 2003-09-09 Supercritical Systems Inc. Pressure enchanced diaphragm valve
JP2003243481A (en) * 2002-02-21 2003-08-29 Asm Japan Kk Semiconductor manufacturing apparatus and maintenance method
JP3902027B2 (en) * 2002-03-01 2007-04-04 大日本スクリーン製造株式会社 Substrate processing equipment
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
WO2004010476A2 (en) * 2002-07-22 2004-01-29 Brooks Automation, Inc. Substrate processing apparatus
US7473911B2 (en) * 2002-07-30 2009-01-06 Applied Materials, Israel, Ltd. Specimen current mapper
US7684895B2 (en) * 2002-08-31 2010-03-23 Applied Materials, Inc. Wafer loading station that automatically retracts from a moving conveyor in response to an unscheduled event
US7243003B2 (en) * 2002-08-31 2007-07-10 Applied Materials, Inc. Substrate carrier handler that unloads substrate carriers directly from a moving conveyor
US6955197B2 (en) * 2002-08-31 2005-10-18 Applied Materials, Inc. Substrate carrier having door latching and substrate clamping mechanisms
US7234584B2 (en) * 2002-08-31 2007-06-26 Applied Materials, Inc. System for transporting substrate carriers
US7506746B2 (en) * 2002-08-31 2009-03-24 Applied Materials, Inc. System for transporting substrate carriers
US7930061B2 (en) * 2002-08-31 2011-04-19 Applied Materials, Inc. Methods and apparatus for loading and unloading substrate carriers on moving conveyors using feedback
US20040081546A1 (en) 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
US7258520B2 (en) * 2002-08-31 2007-08-21 Applied Materials, Inc. Methods and apparatus for using substrate carrier movement to actuate substrate carrier door opening/closing
US20050095110A1 (en) * 2002-08-31 2005-05-05 Lowrance Robert B. Method and apparatus for unloading substrate carriers from substrate carrier transport system
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6722642B1 (en) 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
MXPA05007215A (en) * 2003-01-02 2005-09-12 Univ Loma Linda Med Configuration management and retrieval system for proton beam therapy system.
US7578647B2 (en) * 2003-01-27 2009-08-25 Applied Materials, Inc. Load port configurations for small lot size substrate carriers
US7611318B2 (en) * 2003-01-27 2009-11-03 Applied Materials, Inc. Overhead transfer flange and support for suspending a substrate carrier
US7221993B2 (en) * 2003-01-27 2007-05-22 Applied Materials, Inc. Systems and methods for transferring small lot size substrate carriers between processing tools
EP1750299B1 (en) * 2003-01-27 2008-06-11 Applied Materials, Inc. Apparatus for transporting substrate carriers
US7778721B2 (en) * 2003-01-27 2010-08-17 Applied Materials, Inc. Small lot size lithography bays
US20090308030A1 (en) * 2003-01-27 2009-12-17 Applied Materials, Inc. Load port configurations for small lot size substrate carriers
US7077264B2 (en) * 2003-01-27 2006-07-18 Applied Material, Inc. Methods and apparatus for transporting substrate carriers
US20040154647A1 (en) * 2003-02-07 2004-08-12 Supercritical Systems, Inc. Method and apparatus of utilizing a coating for enhanced holding of a semiconductor substrate during high pressure processing
JP2004282002A (en) * 2003-02-27 2004-10-07 Tokyo Electron Ltd Substrate treating apparatus and substrate treating method
JP2004297040A (en) * 2003-03-12 2004-10-21 Seiko Epson Corp Transfer device, transport device, and transfer method
US6990721B2 (en) * 2003-03-21 2006-01-31 Brooks Automation, Inc. Growth model automated material handling system
US7270137B2 (en) * 2003-04-28 2007-09-18 Tokyo Electron Limited Apparatus and method of securing a workpiece during high-pressure processing
US20050034660A1 (en) * 2003-08-11 2005-02-17 Supercritical Systems, Inc. Alignment means for chamber closure to reduce wear on surfaces
JP4447279B2 (en) * 2003-10-15 2010-04-07 キヤノンアネルバ株式会社 Deposition equipment
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP2005228771A (en) * 2004-02-10 2005-08-25 Shinko Electric Co Ltd Substrate transfer method and its apparatus
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US8639489B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US8696298B2 (en) * 2003-11-10 2014-04-15 Brooks Automation, Inc. Semiconductor manufacturing process modules
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
EP1684951B1 (en) * 2003-11-10 2014-05-07 Brooks Automation, Inc. System for handling workpieces in a vacuum-based semiconductor handling system
US8639365B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US8313277B2 (en) 2003-11-10 2012-11-20 Brooks Automation, Inc. Semiconductor manufacturing process modules
TWI367192B (en) * 2003-11-13 2012-07-01 Applied Materials Inc Calibration of high speed loader to substrate transport system
KR100598919B1 (en) * 2003-12-24 2006-07-10 세메스 주식회사 Wet station with double bath and method to operating for double bath thereof
US7151590B2 (en) * 2004-02-24 2006-12-19 Asml Netherlands B.V. Transport system for a lithographic apparatus and device manufacturing method
KR101039231B1 (en) * 2004-03-24 2011-06-07 주성엔지니어링(주) Apparutus for manufacturing substrate
US7905960B2 (en) * 2004-03-24 2011-03-15 Jusung Engineering Co., Ltd. Apparatus for manufacturing substrate
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
US20060065288A1 (en) * 2004-09-30 2006-03-30 Darko Babic Supercritical fluid processing system having a coating on internal members and a method of using
KR100852378B1 (en) * 2004-10-25 2008-08-18 도쿄엘렉트론가부시키가이샤 Carrying system, substrate treating device, and carrying method
US7440091B2 (en) * 2004-10-26 2008-10-21 Applied Materials, Inc. Sensors for dynamically detecting substrate breakage and misalignment of a moving substrate
CN101422052B (en) * 2004-11-09 2011-10-05 罗伯特·博世有限公司 Public address system
TWI278416B (en) * 2004-12-09 2007-04-11 Au Optronics Corp Cassette stocker
US20060130966A1 (en) * 2004-12-20 2006-06-22 Darko Babic Method and system for flowing a supercritical fluid in a high pressure processing system
US20060134332A1 (en) * 2004-12-22 2006-06-22 Darko Babic Precompressed coating of internal members in a supercritical fluid processing system
US7140393B2 (en) * 2004-12-22 2006-11-28 Tokyo Electron Limited Non-contact shuttle valve for flow diversion in high pressure systems
US7434590B2 (en) * 2004-12-22 2008-10-14 Tokyo Electron Limited Method and apparatus for clamping a substrate in a high pressure processing system
US20060135047A1 (en) * 2004-12-22 2006-06-22 Alexei Sheydayi Method and apparatus for clamping a substrate in a high pressure processing system
US7828929B2 (en) * 2004-12-30 2010-11-09 Research Electro-Optics, Inc. Methods and devices for monitoring and controlling thin film processing
US20060177288A1 (en) * 2005-02-09 2006-08-10 Parker N W Multiple loadlocks and processing chamber
US7435447B2 (en) * 2005-02-15 2008-10-14 Tokyo Electron Limited Method and system for determining flow conditions in a high pressure processing system
US7467916B2 (en) 2005-03-08 2008-12-23 Asm Japan K.K. Semiconductor-manufacturing apparatus equipped with cooling stage and semiconductor-manufacturing method using same
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US9099506B2 (en) * 2005-03-30 2015-08-04 Brooks Automation, Inc. Transfer chamber between workstations
US20060235717A1 (en) * 2005-04-18 2006-10-19 Solaria Corporation Method and system for manufacturing solar panels using an integrated solar cell using a plurality of photovoltaic regions
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US7524383B2 (en) * 2005-05-25 2009-04-28 Tokyo Electron Limited Method and system for passivating a processing chamber
KR100959680B1 (en) * 2005-08-01 2010-05-26 엘아이지에이디피 주식회사 System for transferring the substrate
KR100634869B1 (en) * 2005-05-30 2006-10-17 삼성전자주식회사 Apparatus for attaching multi-die
US20060283495A1 (en) * 2005-06-06 2006-12-21 Solaria Corporation Method and system for integrated solar cell using a plurality of photovoltaic regions
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
CN101167173B (en) * 2005-06-10 2011-06-22 应用材料股份有限公司 Linear vacuum deposition system
US7296673B2 (en) * 2005-06-10 2007-11-20 Applied Materials, Inc. Substrate conveyor system
US7438175B2 (en) 2005-06-10 2008-10-21 Applied Materials, Inc. Linear vacuum deposition system
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US7588669B2 (en) * 2005-07-20 2009-09-15 Ascentool, Inc. Single-process-chamber deposition system
US20080178922A1 (en) * 2005-07-26 2008-07-31 Solaria Corporation Method and system for manufacturing solar panels using an integrated solar cell using a plurality of photovoltaic regions
US20070048451A1 (en) * 2005-08-26 2007-03-01 Applied Materials, Inc. Substrate movement and process chamber scheduling
US7534080B2 (en) * 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US20070078398A1 (en) * 2005-08-27 2007-04-05 Dextradeur Alan J Multi-branched anti-reflux valve
KR101173567B1 (en) 2005-08-30 2012-08-13 주성엔지니어링(주) Inline type substrate manufacturing system exchanging substrate at atmospheric pressure
US20070108041A1 (en) * 2005-11-11 2007-05-17 Guo George X Magnetron source having increased usage life
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7638022B2 (en) * 2006-02-27 2009-12-29 Ascentool, Inc Magnetron source for deposition on large substrates
KR100780054B1 (en) * 2006-03-06 2007-11-29 (주) 디오브이 cassette mover structure of organic electroluminescent devices
KR100722801B1 (en) * 2006-03-06 2007-05-30 (주) 디오브이 cassette mover structure of organic electroluminescent devices
WO2007112454A2 (en) * 2006-03-28 2007-10-04 Stratusys Inc. Apparatus and method for processing substrates using one or more vacuum transfer chamber units
US20070258796A1 (en) * 2006-04-26 2007-11-08 Englhardt Eric A Methods and apparatus for transporting substrate carriers
JP4726070B2 (en) * 2006-05-23 2011-07-20 東京エレクトロン株式会社 Substrate processing apparatus, apparatus inspection method, apparatus inspection program, and recording medium recording the program
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US20080121620A1 (en) * 2006-11-24 2008-05-29 Guo G X Processing chamber
US8236152B2 (en) * 2006-11-24 2012-08-07 Ascentool International Ltd. Deposition system
WO2008085158A2 (en) * 2007-01-09 2008-07-17 Tandis Inc. Contactless conveyor system for the production of smart cards
WO2008088109A1 (en) * 2007-01-16 2008-07-24 Tes Co., Ltd A loadlock chamber having dual-arm and a transportation system for processing semiconductor material using a loadlock chamber having dual-arm
US20080190394A1 (en) * 2007-02-13 2008-08-14 Edelmayer Thomas C Cylinder head with multiple oil passages and method for manufacture
US20080219810A1 (en) * 2007-03-05 2008-09-11 Van Der Meulen Peter Semiconductor manufacturing process modules
US8152975B2 (en) 2007-03-30 2012-04-10 Ascentool International Deposition system with improved material utilization
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
TWI333930B (en) * 2007-06-15 2010-12-01 King Yuan Electronics Co Ltd Tray transportation device
JP5006122B2 (en) * 2007-06-29 2012-08-22 株式会社Sokudo Substrate processing equipment
US20100047954A1 (en) * 2007-08-31 2010-02-25 Su Tzay-Fa Jeff Photovoltaic production line
CN101796481B (en) 2007-08-31 2012-07-04 应用材料公司 Photovoltaic production line
JP5504164B2 (en) 2007-10-22 2014-05-28 アプライド マテリアルズ インコーポレイテッド Method and apparatus for transferring a substrate carrier
JP5128918B2 (en) 2007-11-30 2013-01-23 株式会社Sokudo Substrate processing equipment
JP5179170B2 (en) 2007-12-28 2013-04-10 株式会社Sokudo Substrate processing equipment
JP5001828B2 (en) 2007-12-28 2012-08-15 株式会社Sokudo Substrate processing equipment
EP2261394A4 (en) * 2008-03-31 2013-05-01 Fuji Electric Co Ltd Production equipment and method of thin-film laminate
US20100012481A1 (en) * 2008-07-21 2010-01-21 Guo G X Deposition system having improved material utilization
US8500962B2 (en) 2008-07-21 2013-08-06 Ascentool Inc Deposition system and methods having improved material utilization
US8276959B2 (en) 2008-08-08 2012-10-02 Applied Materials, Inc. Magnetic pad for end-effectors
JP5449876B2 (en) * 2008-08-28 2014-03-19 東京応化工業株式会社 Transport device
US9214372B2 (en) * 2008-08-28 2015-12-15 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device and coating device
US8919756B2 (en) * 2008-08-28 2014-12-30 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device, and coating device
US8309374B2 (en) * 2008-10-07 2012-11-13 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
CN101768731B (en) * 2008-12-29 2012-10-17 K.C.科技股份有限公司 Atomic layer deposition apparatus
CN102725859B (en) * 2009-02-04 2016-01-27 应用材料公司 Metering and the detection cover group of solar energy production line
WO2010094804A1 (en) * 2009-02-22 2010-08-26 Mapper Lithography Ip B.V. Lithography machine and substrate handling arrangement
JP5433290B2 (en) * 2009-04-20 2014-03-05 東京エレクトロン株式会社 Substrate storage method and control device
US8318512B2 (en) * 2009-04-29 2012-11-27 Applied Materials, Inc. Automated substrate handling and film quality inspection in solar cell processing
US8602706B2 (en) * 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
CA2795066A1 (en) * 2010-03-31 2011-10-06 Jason Paulman Wet bench apparatus and method
KR101786868B1 (en) 2010-12-28 2017-10-18 캐논 아네르바 가부시키가이샤 Manufacturing method
EP2689050A2 (en) * 2011-03-25 2014-01-29 LG Electronics Inc. Plasma enhanced chemical vapor deposition apparatus and method for controlling the same
JP5450562B2 (en) * 2011-10-20 2014-03-26 株式会社日本製鋼所 Method and apparatus for producing molded product having thin film
JP5923288B2 (en) * 2011-12-01 2016-05-24 株式会社日立ハイテクノロジーズ Vacuum processing apparatus and operating method of vacuum processing apparatus
KR101988014B1 (en) * 2012-04-18 2019-06-13 삼성디스플레이 주식회사 Method for fabricating array subtrate and fabrication apparatus used therefor
US8881629B2 (en) * 2012-06-12 2014-11-11 Graham Packaging Company, L.P. Continuous motion de-flash trimming machine
KR101996439B1 (en) * 2012-10-18 2019-10-02 삼성디스플레이 주식회사 Film lamination apparatus and method for manufacturing organic light emitting display apparatus
US20140250651A1 (en) * 2013-03-07 2014-09-11 Cosmetic Laboratories Of America, Llc Article assembly apparatus having rotary article pick and place
US20160195822A1 (en) * 2013-08-16 2016-07-07 Asml Netherlands B.V. Lithographic apparatus, programmable patterning device and lithographic method
JP6123740B2 (en) * 2014-06-17 2017-05-10 トヨタ自動車株式会社 Semiconductor device manufacturing line and semiconductor device manufacturing method
JP6358142B2 (en) * 2015-03-26 2018-07-18 株式会社ダイフク Goods transport equipment
US9502275B1 (en) * 2015-10-20 2016-11-22 Lam Research Corporation Service tunnel for use on capital equipment in semiconductor manufacturing and research fabs
KR102240925B1 (en) * 2019-07-17 2021-04-15 세메스 주식회사 Apparatus for Processing Substrate and Substrates transfer apparatus
HUE062607T2 (en) 2019-09-09 2023-11-28 Sturm Maschinen & Anlagenbau Gmbh Coating device and method for metallic coating of workpieces
EP3789512B1 (en) * 2019-09-09 2023-11-15 Sturm Maschinen- & Anlagenbau GmbH Installation and method for coating workpieces
US20240047413A1 (en) * 2021-01-29 2024-02-08 Pink Gmbh Thermosysteme System and method for connecting electronic assemblies

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) * 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
EP0359525A2 (en) * 1988-09-14 1990-03-21 Fujitsu Limited Continuous semiconductor substrate processing system
EP0608633A2 (en) * 1993-01-28 1994-08-03 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
EP0684630A2 (en) * 1989-05-19 1995-11-29 Applied Materials, Inc. Workpiece transport system and method of transporting workpiece in same
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
EP0756316A1 (en) * 1995-07-19 1997-01-29 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2114470B2 (en) 1971-03-25 1975-02-13 Flachglas Ag Delog-Detag, 4650 Gelsenkirchen Device for continuous, one-sided coating of plates such as glass panes, ceramic or plastic plates and the like by means of cathode sputtering
US3850105A (en) 1972-12-29 1974-11-26 Ibm Apparatus for transferring articles through various processing sectors of a manufacturing system
US3973665A (en) 1975-03-07 1976-08-10 Gca Corporation Article delivery and transport apparatus for evacuated processing equipment
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US5187115A (en) 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
AU572375B2 (en) 1985-01-31 1988-05-05 Boc Group, Inc., The Transporting of workpiece to and from vacuum coating apparatus
US4749465A (en) 1985-05-09 1988-06-07 Seagate Technology In-line disk sputtering system
US5110249A (en) 1986-10-23 1992-05-05 Innotec Group, Inc. Transport system for inline vacuum processing
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4829445A (en) 1987-03-11 1989-05-09 National Semiconductor Corporation Distributed routing unit for fully-automated flexible manufacturing system
EP0306967B1 (en) 1987-09-11 1997-04-16 Hitachi, Ltd. Apparatus for performing heat treatment on semiconductor wafers
KR970003907B1 (en) 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 Resist process system and resist processing method
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
EP0346815A3 (en) 1988-06-13 1990-12-19 Asahi Glass Company Ltd. Vacuum processing apparatus and transportation system thereof
JP3212087B2 (en) * 1988-10-21 2001-09-25 株式会社日立製作所 Multi-product transfer method and device
DE3941110A1 (en) 1988-12-19 1990-06-28 Rif O Z Mikroelektroniki Vacuum vapour deposition unit - esp. for thin film deposition in microelectronics
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
DE69027273T2 (en) 1989-10-20 1997-01-23 Applied Materials Inc Biaxial robot with magnetic coupling
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
JP2600399B2 (en) 1989-10-23 1997-04-16 富士電機株式会社 Semiconductor wafer processing equipment
US5203443A (en) 1989-11-13 1993-04-20 Kabushiki Kaisha Shinkawa Conveying apparatus used in assembling semicondutors
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
JP2938160B2 (en) 1990-07-20 1999-08-23 東京エレクトロン株式会社 Vacuum processing equipment
US5668056A (en) 1990-12-17 1997-09-16 United Microelectronics Corporation Single semiconductor wafer transfer method and manufacturing system
US5275709A (en) 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
JP2598353B2 (en) 1991-12-04 1997-04-09 アネルバ株式会社 Substrate processing device, substrate transfer device, and substrate replacement method
US5382126A (en) 1992-03-30 1995-01-17 Leybold Ag Multichamber coating apparatus
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
WO1994000868A1 (en) 1992-06-26 1994-01-06 Materials Research Corporation Transport system for wafer processing line
DE69205571T2 (en) * 1992-08-04 1996-06-13 Ibm Coupling systems under pressure for transferring a semiconductor wafer between a portable sealable container under pressure and a processing system.
JP2683208B2 (en) 1993-01-28 1997-11-26 アプライド マテリアルズ インコーポレイテッド Workpiece alignment method and apparatus for loading and unloading using robot mechanism
ES2090893T3 (en) 1993-01-28 1996-10-16 Applied Materials Inc VACUUM TREATMENT APPARATUS THAT HAS AN IMPROVED PRODUCTION CAPACITY.
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5535306A (en) 1993-01-28 1996-07-09 Applied Materials Inc. Self-calibration system for robot mechanisms
US5377816A (en) 1993-07-15 1995-01-03 Materials Research Corp. Spiral magnetic linear translating mechanism
JP3654597B2 (en) * 1993-07-15 2005-06-02 株式会社ルネサステクノロジ Manufacturing system and manufacturing method
JPH07245285A (en) 1994-03-03 1995-09-19 Dainippon Screen Mfg Co Ltd Board processor
JPH07245332A (en) * 1994-03-04 1995-09-19 Hitachi Ltd Apparatus and method for manufacturing semiconductor device and semiconductor device
JP3732250B2 (en) 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 In-line deposition system
US6203582B1 (en) 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5881649A (en) 1996-08-13 1999-03-16 Anelva Corporation Magnetic transfer system, power transmission mechanism of the magnetic transfer system, and rotational driving member used for the system
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5838121A (en) * 1996-11-18 1998-11-17 Applied Materials, Inc. Dual blade robot

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) * 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
EP0359525A2 (en) * 1988-09-14 1990-03-21 Fujitsu Limited Continuous semiconductor substrate processing system
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
EP0684630A2 (en) * 1989-05-19 1995-11-29 Applied Materials, Inc. Workpiece transport system and method of transporting workpiece in same
EP0608633A2 (en) * 1993-01-28 1994-08-03 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
EP0756316A1 (en) * 1995-07-19 1997-01-29 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same

Cited By (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7077614B1 (en) 1998-10-14 2006-07-18 Asm International N.V. Sorting/storage device for wafers and method for handling thereof
JP2003513466A (en) * 1999-11-02 2003-04-08 東京エレクトロン株式会社 Method and apparatus for supercritical processing multiple workpieces
WO2001046999A3 (en) * 1999-11-02 2002-07-11 Tokyo Electron Ltd Method and apparatus for supercritical processing of a workpiece
WO2001033615A2 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
WO2001046999A2 (en) * 1999-11-02 2001-06-28 Tokyo Electron Limited Method and apparatus for supercritical processing of a workpiece
WO2001033615A3 (en) * 1999-11-02 2001-12-06 Tokyo Electron Ltd Method and apparatus for supercritical processing of multiple workpieces
EP1132947A3 (en) * 2000-03-02 2006-06-21 Applied Materials, Inc. Fabrication system with extensible equipment sets
EP1132947A2 (en) * 2000-03-02 2001-09-12 Applied Materials, Inc. Fabrication system with extensible equipment sets
WO2001080289A1 (en) * 2000-04-13 2001-10-25 Nanophotonics Ag Modular substrate measurement system
US7030401B2 (en) 2000-04-13 2006-04-18 Nanophotonics Ag Modular substrate measurement system
US6420864B1 (en) 2000-04-13 2002-07-16 Nanophotonics Ag Modular substrate measurement system
US6487792B2 (en) 2000-05-08 2002-12-03 Tokyo Electron Limited Method and apparatus for agitation of workpiece in high pressure environment
WO2001088963A1 (en) * 2000-05-16 2001-11-22 Infineon Technologies Sc300 Gmbh & Co.Kg Device and method for treating, storing and loading supports for disk-shaped articles
US6881914B2 (en) 2000-05-16 2005-04-19 Infineon Technologies Sc300 Gmbh & Co. Kg Apparatus and method for handling, storing and reloading carriers for disk-shaped items, such as semiconductor wafers or CDs
EP1182695A2 (en) * 2000-08-22 2002-02-27 Asm Japan K.K. Semiconductor processing module and apparatus
EP1182695A3 (en) * 2000-08-22 2006-02-01 Asm Japan K.K. Semiconductor processing module and apparatus
EP1184895A3 (en) * 2000-09-01 2006-01-25 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus and substrate inspection method
WO2002035604A1 (en) * 2000-10-26 2002-05-02 Tokyo Electron Limited Treated substrate transfer system in semiconductor treatment equipment
KR100408161B1 (en) * 2001-03-09 2003-12-01 정광호 Apparatus for manufacturing Multi-Layered Thin Film for mass-production
WO2002073664A1 (en) * 2001-03-10 2002-09-19 Ips, Ltd Automatic continue wafer processing system and method for using the same
EP1376661A4 (en) * 2001-03-28 2010-03-03 Toshiba Kk Processing apparatus and processing system for semiconductor device
EP1376661A1 (en) * 2001-03-28 2004-01-02 Kabushiki Kaisha Toshiba Processing apparatus and processing system for semiconductor device
CN100403145C (en) * 2005-01-31 2008-07-16 中华映管股份有限公司 Factory production working system and its operating method
EP1758160A1 (en) * 2005-08-26 2007-02-28 Asys Automatic Systems Gmbh & Co. Kg Installation of modular construction for the working of flat substrates
US7771150B2 (en) 2005-08-26 2010-08-10 Jusung Engineering Co., Ltd. Gate valve and substrate-treating apparatus including the same
US8132996B2 (en) 2005-08-26 2012-03-13 Jusung Engineering Co., Ltd. Gate valve and substrate-treating apparatus including the same
US9889558B2 (en) 2006-10-06 2018-02-13 Brooks Automation, Gmbh Redundantable robot assembly for workpiece transfer
WO2008041169A2 (en) * 2006-10-06 2008-04-10 Dynamic Micro Systems Redundantable robot assembly for workpiece transfer
WO2008041169A3 (en) * 2006-10-06 2008-07-10 Dynamic Micro Systems Redundantable robot assembly for workpiece transfer
US8182198B2 (en) * 2006-10-06 2012-05-22 Dynamic Micro Systems Semiconductor Equipment Gmbh Redundantable robot assembly for workpiece transfer
US9122272B2 (en) 2006-10-06 2015-09-01 Dynamic Micro Systems Redundantable robot assembly for workpiece transfer
EP2609619B1 (en) * 2010-10-15 2020-05-27 EV Group GmbH Device and method for processing wafers
US9087865B2 (en) 2011-06-03 2015-07-21 Shenzhen China Star Optoelectronics Technology Co., Ltd. Substrate transferring system and substrate transferring method
WO2012162914A1 (en) * 2011-06-03 2012-12-06 深圳市华星光电技术有限公司 Substrate transfer system and substrate transfer method
WO2016172003A1 (en) * 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US10103046B2 (en) 2015-04-20 2018-10-16 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US10699930B2 (en) 2015-04-20 2020-06-30 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robots
US11264258B2 (en) 2015-04-20 2022-03-01 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robots
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US11011401B2 (en) 2017-11-28 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Modular pressurized workstation
US11587811B2 (en) 2017-11-28 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Modular pressurized workstation
US11901206B2 (en) 2017-11-28 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Modular pressurized workstation

Also Published As

Publication number Publication date
EP1027724A1 (en) 2000-08-16
US6235634B1 (en) 2001-05-22
KR100571104B1 (en) 2006-04-14
KR20010030988A (en) 2001-04-16
JP2001519598A (en) 2001-10-23
TW426886B (en) 2001-03-21

Similar Documents

Publication Publication Date Title
US6235634B1 (en) Modular substrate processing system
US11587816B2 (en) Container storage add-on for bare workpiece stocker
JP5134575B2 (en) Method for manufacturing semiconductor devices in a multi-chamber system
KR101415708B1 (en) Substrate processing apparatus
JP5139253B2 (en) Vacuum processing device and vacuum transfer device
US6752584B2 (en) Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
US20060263187A1 (en) Method and apparatus for unloading substrate carriers from substrate carrier transport system
US8292563B2 (en) Nonproductive wafer buffer module for substrate processing apparatus
US6723174B2 (en) Automated semiconductor processing system
US20060257233A1 (en) Interface between conveyor and semiconductor process tool load port
US6942738B1 (en) Automated semiconductor processing system
WO2004001582A1 (en) Automated material handling system for semiconductor manufacturing based on a combination of vertical carousels and overhead hoists
EP1086486A1 (en) In-situ substrate transfer shuttle
WO1999054921A1 (en) Automated wafer buffer for use with wafer processing equipment
AU2008316467A1 (en) Method for manufacturing workpieces and apparatus
JPH04190840A (en) Vacuum treatment device
JP2001135704A (en) Substrate treatment apparatus and transfer control method for substrate transfer tray
KR20140089517A (en) Load port and efem
JP2018170347A (en) Wafer transport apparatus and wafer transport method
US6425477B1 (en) Substrate conveyance system
US8827618B2 (en) Transport system
JPH05326666A (en) Conveyor
KR101647277B1 (en) Automatic handling buffer for bare stocker
US20090022574A1 (en) Workpiece loading system
JP2001259543A (en) Substrate cleaning system

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020007003773

Country of ref document: KR

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 515290

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1998952210

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1998952210

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020007003773

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1020007003773

Country of ref document: KR