WO1999028524A1 - Use of an asymmetric waveform to control ion bombardment during substrate processing - Google Patents

Use of an asymmetric waveform to control ion bombardment during substrate processing Download PDF

Info

Publication number
WO1999028524A1
WO1999028524A1 PCT/US1998/025212 US9825212W WO9928524A1 WO 1999028524 A1 WO1999028524 A1 WO 1999028524A1 US 9825212 W US9825212 W US 9825212W WO 9928524 A1 WO9928524 A1 WO 9928524A1
Authority
WO
WIPO (PCT)
Prior art keywords
die
low frequency
frequency
chamber
waveform
Prior art date
Application number
PCT/US1998/025212
Other languages
French (fr)
Inventor
Sebastien Raoux
Mandar Mudholkar
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to JP2000523394A priority Critical patent/JP4402291B2/en
Publication of WO1999028524A1 publication Critical patent/WO1999028524A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate

Definitions

  • the present invention relates to the fabrication of integrated circuits by chemical vapor deposition in a vacuum chamber. More particularly, the invention relates to a method and apparatus that enable the formation of high quality CVD films using both low temperature (e.g., about 400 C C) and high temperature (e.g., above about 580°C) processing.
  • low temperature e.g., about 400 C C
  • high temperature e.g., above about 580°C
  • One technology advance commonly used in today's fabrication facilities includes the use of a PECVD technique often referred to as mixed frequency PECVD in which both high and low frequency RF power are employed to generate a plasma and to promote ion bombardment of a substrate.
  • mixed frequency PECVD couples both high and low frequency RF power to a metal gas distribution manifold that acts as a first electrode.
  • d e high frequency RF power is the primary mechanism that dissociates the reactant gases while application of the low frequency RF power promotes ion bombardment of a substrate positioned on a grounded substrate support that also functions as a second electrode.
  • Another mixed frequency method couples high frequency RF power to a gas distribution manifold (first electrode) and couples low frequency RF power to a substrate holder (second electrode).
  • Arcing may be evidenced by a glow within the holes in the gas distribution manifold, and by a reduction in deposition rate as the amplitude of the high frequency voltage is increased. Arcing is typically avoided using one or more of the following techniques: maintaining the pressure within the vacuum chamber above a de minimis level for a particular process, operating with the low frequency RF power set at a value less than 30% of the total RF power, and/or reducing total RF power.
  • Such an adjustment can be made, for example, near the end of an extended wafer run (e.g., a 2000 wafer run) where the measured impedance of me chamber may change during the course of the run.
  • the processor could adjust processing conditions if, or when, die impedance level of the chamber drifted outside of a predefined range.
  • the adjustment can include adjusting die chamber pressure, temperature, plasma power level (e.g., RF power level) or a similar process variable.
  • the substrate processing system included a impedance tuning system
  • the adjustment could include direcdy adjusting the impedance of the chamber with that system.
  • Figs. 4 A and 4B are cross-sectional views of embodiments of conical holes included within the gas distribution manifold;
  • Figs. 12A and 12B show a comparison of measured with simulated data on die effect of heater capacitance on phase angle and reactor impedance
  • a suitable robotic transfer assembly is described in commonly assigned U.S. Patent No. 4,951,601, issued to Maydan et al.
  • pedestal 32 tiien further raises wafer 36 into die processing position, which is in close proximity to gas distribution manifold 40.
  • ceramic pedestal 32 includes an embedded RF electrode 22, such as an embedded molybdenum mesh, and a heating element 33, such as an embedded molybdenum wire coil.
  • Ceramic pedestal 32 is preferably made from aluminum nitride and is preferably diffusion bonded to a ceramic support stem 26 that is secured to a water cooled aluminum shaft 28 (not shown in Fig. 2, but shown in Fig. 1) diat engages a lift motor.
  • the ceramic support stem 26 and the aluminum shaft 28 have a central passage diat is occupied by a nickel rod 25 that transmits low frequency RF power to embedded electrode 22.
  • a CRT monitor 93a and a light pen 93b shown in Fig. 6, which is a simplified diagram of die system monitor and CVD apparatus 10, illustrated as one of die chambers in a multichamber system.
  • CVD apparatus 10 is preferably attached to a mainframe unit 95 that contains and provides electrical, plumbing and odier support functions for the apparatus 10.
  • Exemplary mainframe units compatible with the illustrative embodiment of CVD apparatus 10 are currently commercially available as the Precision 5000TM and d e Centura 5200TM systems from Applied Materials, Inc. of Santa Clara, California.
  • pressure control subroutine 166 When pressure control subroutine 166 is invoked, the desired or target pressure level is received as a parameter from chamber manager subroutine 163b.
  • the pressure control subroutine 166 measures the pressure in chamber 30 by reading one or more conventional pressure manometers connected to d e chamber, compares the measure value(s) with the target pressure, obtains PID (proportional, integral, and differential) values corresponding to die target pressure from a stored pressure table, and adjusts die tiirottle valve according to the PID values obtained from the pressure table.
  • pressure control subroutine 166 can be written to open or close the throttle valve to a particular aperture size to regulate the pumping capacity in chamber 30 to the desired level.
  • reactor impedance can "roughly" be described as an RLC circuit: (L in series with R) in parallel with C.
  • Impedance mner 108 is shown in Fig. 11 as being connected in series with capacitor 106 (pedestal 32) and can be adjusted in value, depending on die process conditions, to adjust die impedance of reactor 30 as an additional control "knob" to obtain desired film properties.
  • impedance mner 108 is a variable capacitor
  • die capacitor is selected so that the overall reactor impedance can be controlled to between 600 and 2500 ⁇ .
  • the inventors have performed extensive work with Si 3 N 4 films and developed techniques tiiat allow the deposition of such Si 3 N 4 films having improved (lower) wet etch rates, excellent step coverage, increased film integrity and reduced pinholes at low temperature processing conditions.
  • the inventors have also developed high temperature PECVD Si 3 N 4 films that have a WER less than 15 A/min., which is lower than tiiat of many tiie ⁇ nally grown Si 3 N 4 layers.
  • the LF bias forces ions to penetrate within the plasma sheath, therefore canceling electronic charging effects and reducing die DC component.
  • the ion energy is directly under control of the low frequency voltage amplitude.
  • the positively ionized species (believed to be die majority of ions) bombard d e growing film.

Abstract

A substrate processing system that includes a deposition chamber having a reaction zone, first and second electrodes, a mixed frequency RF power supply including a low frequency RF power source and a high frequency RF power source. The high frequency RF power supply provides enough power to form a plasma from a process gas introduced into the reaction zone and the low frequency RF power supply is configured to supply an asymmetrical waveform to either said first or second electrodes to bias the plasma toward the substrate.

Description

USE OF AN ASYMMETRIC WAVEFORM TO CONTROL ION BOMBARDMENT DURING SUBSTRATE PROCESSING
CROSS-REFERENCE TO RELATED APPLICATIONS This application is related to concurrently filed and commonly assigned patent application entitled "MIXED FREQUENCY CVD PROCESS AND APPARATUS," having Sebastien Raoux, Mandar Mudholkar, William N. Taylor, Mark Fodor, Judy Huang, David Silvetti, David Cheung and Kevin Fairbairn listed as co-inventors; and to concurrently filed and commonly assigned patent application entitled "SUBSTRATE PROCESSING CHAMBER WITH TUNABLE IMPEDANCE," having Sebastien Raoux, Mandar Mudholkar and William N. Taylor listed as co-inventors; and to concurrently filed and commonly assigned patent application entitled "METHOD AND APPARATUS FOR MONITORING AND ADJUSTING CHAMBER IMPEDANCE, "having Sebastien Raoux, Mandar Mudholkar and William N. Taylor listed as co-inventors. This application is also related to U.S. patent application entitled "A HIGH TEMPERATURE CERAMIC HEATER ASSEMBLY WITH RF CAPABILITY AND RELATED METHODS," U.S. Serial No. 08/800,096, filed February 12, 1997, having Jun Zhao, Charles Dornfest, Talex Sajoto, Leonid Selyutin, Stefan Wolff, Lee Luo, Harold Mortensen and Richard Palicka listed as co-inventors. Each of the above referenced applications are assigned to Applied Materials Inc., the assignee of the present invention, and each of the above referenced applications are hereby incorporated by reference.
BACKGROUND OF THE INVENTION The present invention relates to the fabrication of integrated circuits by chemical vapor deposition in a vacuum chamber. More particularly, the invention relates to a method and apparatus that enable the formation of high quality CVD films using both low temperature (e.g., about 400CC) and high temperature (e.g., above about 580°C) processing. The present invention is particularly useful in the deposition of TEOS-based (tetraethylorthosilicate) and silane-based chemistries including PECVD and SACVD deposition of silicon oxide, silicon nitride, silicon oxymtride and amorphous silicon as well as doped silicon oxides such as boron phosphorus silicate glass, phosphorus silicate glass and fluorine-doped silicate glass. The present invention may also, however, be used with other deposition chemistries. One of the primary steps in the fabrication of modern semiconductor devices is the formation of a thin film on a semiconductor substrate by chemical reaction of gases. Such a deposition process is referred to as chemical vapor deposition or CVD. Conventional thermal CVD processes supply reactive gases to the substrate surface where heat-induced chemical reactions take place to produce a desired film.
An alternative method of depositing layers over a substrate includes plasma enhanced CVD (PECVD) techniques. Plasma enhanced CVD techniques promote excitation and/or dissociation of the reactant gases by the application of radio frequency (RF) energy to a reaction zone near the substrate surface, thereby creating a plasma. The high reactivity of the species in the plasma reduces the energy required for a chemical reaction to take place, and thus lowers the temperature required for such CVD processes as compared to conventional thermal CVD processes. The relatively low temperature of some PECVD processes helps semiconductor manufacturers lower the overall thermal budget in the fabrication of some integrated circuits.
Semiconductor device geometries have dramatically decreased in size since such devices were first introduced several decades ago. Since then, integrated circuits have generally followed the eighteen month/half-size rule (often called "Moore's Law"), which means that the number of devices that will fit on a chip quadruples every eighteen months. Today's wafer fabrication plants are routinely producing integrated circuits having 0.5-μm and even 0.25-μm features, and tomorrow's plants soon will be producing devices having even smaller geometries. Such decreases in size have been made possible in part by advances in technology associated with semiconductor manufacturing equipment, such as the substrate processing chambers used for PECVD processing. Some of the technology advances include advances that are reflected in the design and manufacture of certain CVD deposition systems in use in fabrication facilities today, while others are in various stages of development and will soon be in widespread use throughout the fabrication facilities of tomorrow. One technology advance commonly used in today's fabrication facilities includes the use of a PECVD technique often referred to as mixed frequency PECVD in which both high and low frequency RF power are employed to generate a plasma and to promote ion bombardment of a substrate. One such mixed frequency method couples both high and low frequency RF power to a metal gas distribution manifold that acts as a first electrode. In this method, application of d e high frequency RF power is the primary mechanism that dissociates the reactant gases while application of the low frequency RF power promotes ion bombardment of a substrate positioned on a grounded substrate support that also functions as a second electrode. Another mixed frequency method couples high frequency RF power to a gas distribution manifold (first electrode) and couples low frequency RF power to a substrate holder (second electrode).
Another technology advance used in some currently available PECVD deposition chambers includes the use of conical holes in the gas distribution manifold to increase the dissociation of gases introduced into a chamber. A more detailed description of such conical holes is contained in U.S. Patent No. 4,854,263, entitled "INLET MANIFOLD AND METHODS FOR INCREASING GAS DISSOCIATION AND FOR PECVD OF DIELECTRIC FILMS," and having Mei Chang, David Wang, John White and Dan Maydan listed as co-inventors. The '263 patent is assigned to Applied Materials, the assignee of the present patent application, and is hereby incorporated by reference in its entirety.
An example of a technology advance that is more recent than those noted above is the use of ceramics in a CVD chamber to allow the reactor to be used in high temperature operations. One CVD chamber that is specifically designed for such high temperature processing and includes a ceramic heater assembly among other features of the chamber is described in the 08/800,896 application noted above. Advances in technology such as those just described are not without restrictions. For example, while mixed frequency PECVD techniques have proved to be very beneficial in a variety of applications, the simultaneous application of the high and low frequency waveforms must be controlled to avoid interferences which can result in high voltages and arcing at the gas distribution manifold. Arcing may be evidenced by a glow within the holes in the gas distribution manifold, and by a reduction in deposition rate as the amplitude of the high frequency voltage is increased. Arcing is typically avoided using one or more of the following techniques: maintaining the pressure within the vacuum chamber above a de minimis level for a particular process, operating with the low frequency RF power set at a value less than 30% of the total RF power, and/or reducing total RF power.
In the past, experiments had been performed in which conical holes were employed in a mixed frequency PECVD chamber having both the high and low frequency RF power sources connected to the gas distribution manifold. In these experiments, it was found that the arcing problem was further increased to the point that it substantially interfered with film deposition. Thus, all mixed frequency PECVD systems known to the inventors use straight, rather than conical, holes in the gas distribution manifold.
Accordingly, it is desirable to develop technology for substrate deposition chambers that enables semiconductor manufacturers to simultaneously take advantage of conical holes and mixed frequency PECVD deposition techniques.
SUMMARY OF THE INVENTION The present invention provides an improved method and apparatus for depositing CVD films on a substrate. The apparatus employs mixed frequency RF power and includes a gas distribution manifold with conical holes. The potential for arcing is greatly reduced by connecting the low frequency RF power source to an electrode embedded in the substrate holder and connecting the high frequency RF power source to the gas distribution manifold, which also functions as an electrode. An independent matching network decouples the low frequency waveform from the high frequency waveform to minimize phase interferences between the waveforms. These features combine to allow deposition processes to proceed at conditions that were unattainable in prior substrate processing chambers and also enable the substrate processing apparatus of the present invention to be usable in sub- 0.35 μm deposition processes including 0.25 and 0.18 μm processes. A substrate processing system according to one embodiment of the present invention includes a ceramic substrate holder with an embedded RF electrode and a gas distribution manifold spaced apart from the substrate holder. The gas distribution manifold supplies one or more process gases through multiple comcal holes to a reaction zone of a substrate processing chamber within the processing system and also acts as a second RF electrode. Each conical hole has an outlet that opens into the reaction zone and an inlet spaced apart from the outlet that is smaller in diameter than the outlet. A mixed frequency RF power supply is connected to the substrate processing system with a high frequency RF power source connected to the gas inlet manifold electrode and a low frequency RF power source connected to the substrate holder electrode. An RF filter and matching network decouples the high frequency waveform from the low frequency waveform. Such a configuration allows for an enlarged process regime and provides for deposition of films, including silicon nitride films, having physical characteristics that were previously unattainable.
In one preferred embodiment of the method of the present invention, a silicon nitride film is deposited. A process gas including silane, ammonia and molecular nitrogen is introduced through a gas distribution manifold having conical holes and a plasma is formed from the process gas using mixed frequency RF power. The high frequency (HF) component is applied to the gas distribution manifold while the low frequency (LF) component is applied to a bottom electrode. It has been demonstrated that silicon nitride films deposited according to this embodiment under low temperature processing conditions can have a wet etch rate (WER) as low as 170 A/min while retaining excellent step coverage properties at aspect ratios of 2:1 or higher. It has also been demonstrated that silicon nitride films deposited according to this embodiment under high temperature processing conditions (above 580°C) can have a WER of 15 A/min or less. In part, the excellent physical characteristics of these silicon nitride films are achieved because the films can be deposited at pressure and RF power levels that were previously not possible in other chambers. For example, in a more preferred embodiment, the ratio of LF power to total RF power is greater than 50% , while in another preferred embodiment, the silicon nitride deposition sequence takes place at a pressure between 2 and 5 torr. The physical characteristics are also achieved in part through the use of the conical holes, which in turn increases the plasma density and ionization efficiency of the created plasma thus allowing an increased amount of N2 as compared with NH3 to be used in the film's process gas. Reduced NH3 content in the process gas results in less hydrogen in the film and a lower WER.
In anodier embodiment, a bipolar low frequency asymmetric RF waveform, also referred to as a triangular or sawtooth waveform, is employed to control ion bombardment. Such an asymmetric RF waveform enhances ion bombardment at the substrate while hindering the formation of harmonics, which the present inventors have discovered can provoke plasma sheath instabilities.
In anod er embodiment of the present invention, a substrate processing system includes a deposition chamber having a reaction zone, a plasma power source for forming a plasma within the reaction zone of the deposition chamber, and an impedance tuning system. The plasma has a first impedance level that can be adjusted by die impedance tuning system to a second impedance level. Such an adjustment acts as an additional "knob of control" providing another meuiod for process engineers to use to change and tune the properties of films deposited within the reaction zone. In a preferred version of this embodiment, me impedance tuning system includes a variable capacitor.
In still another embodiment of the present invention, a substrate processing system includes a deposition chamber with a reaction zone, a substrate holder for holding a substrate in the reaction zone during substrate processing, a gas distribution system for supplying a process gas to the reaction zone, a plasma power source for forming, within the reaction zone, a plasma from the process gas and an impedance monitor that is electrically coupled to the deposition chamber and that can measure the impedance level of said plasma. The substrate processing system can also include a computer processor that receives the measured impedance level as an input. The processor can be connected to various systems of die substrate processing chamber, such as the gas distribution system, a pressure control system and/or the RF generator and adjust processing conditions according to the measured impedance level. Such an adjustment can be made, for example, near the end of an extended wafer run (e.g., a 2000 wafer run) where the measured impedance of me chamber may change during the course of the run. In this example, the processor could adjust processing conditions if, or when, die impedance level of the chamber drifted outside of a predefined range. The adjustment can include adjusting die chamber pressure, temperature, plasma power level (e.g., RF power level) or a similar process variable. Also, if the substrate processing system included a impedance tuning system, the adjustment could include direcdy adjusting the impedance of the chamber with that system. These and other embodiments of me present invention, as well as its advantages and features are described in more detail in conjunction with the text below and attached figures.
BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 is a block diagram of one embodiment of a deposition system according to die present invention, including a simplified cross-sectional view of the deposition chamber;
Fig. 2 shows a simplified cross-sectional view of the ceramic pedestal attached to a support stem according to one embodiment of the present invention; Fig. 3 is a schematic diagram of a metal/ceramic connection for a preferred ceramic substrate holder having an embedded RF electrode;
Figs. 4 A and 4B are cross-sectional views of embodiments of conical holes included within the gas distribution manifold;
Fig. 5 is a simplified diagram showing an embodiment of die RF filter and matching network employed to decouple die low and high frequency RF waveforms in die apparatus of die present invention; Fig. 6 shows an interface between a user and a processor that can control the deposition system of the present invention;
Fig. 7 is a flow chart of a process control computer program product used in conjunction with die exemplary CVD plasma reactor of Fig. 1 ; Figs. 8A-8D show the effect of process pressure during deposition of a silicon nitride film on reactor impedance;
Fig. 9 shows experimental results that demonstrate the importance of process pressure on the wet etch rate and deposition rate of deposited silicon nitride films; Figs. 10A-10D show the effect of the frequency used in the low frequency power source on deposition of a silicon nitride film;
Fig. 11 is a representation of the modeled circuit used to represent chamber 30 in a SPICE simulation of the chamber;
Figs. 12A and 12B show a comparison of measured with simulated data on die effect of heater capacitance on phase angle and reactor impedance;
Fig. 13 illustrates the definitions of sidewall step coverage, bottom step coverage and conformality as used in die present application;
Fig. 14 shows the effect of heater capacitance on film stress; Fig. 15 shows electrode potential as a function of low frequency power over total RF power;
Fig. 16 shows wet etch rate as a function of film stress and die ratio of low frequency RF power to total RF power in die deposition of a silicon nitride film; Figs. 17A-17D illustrate different low frequency RF waveforms used to control ion bombardment during the deposition of a silicon nitride film; and Fig. 18 shows and example high frequency RF waveform that can be used in pulsed plasma deposition processes according to the present invention.
For a further understanding of the present invention, reference should be made to die ensuing detailed description.
DETAILED DESCRIPTION OF THE INVENTION
I. The Hardware of CVD System 10 Referring to Fig. 1, a CVD system 10 according to the present invention includes a reactor chamber 30, a vacuum system 88, a gas delivery system 89, an RF power supply 5, a heat exchange system 6, a ceramic pedestal 32 and a processor 85 among other major components. Of particular interest to the discussion of the present invention is the configuration of a gas distribution manifold (also referred to as an inlet manifold and as a "showerhead") 40 d at introduces process gases supplied from gas delivery system 89 into a reaction zone 58 of chamber 30 and die configuration and connections of RF power supply 5 to manifold 40 and to an electrode embedded widiin pedestal 32. Accordingly, diese components will be described first and odier components of CVD system 10 will be described subsequently as necessary to understand d e present invention.
A. Gas Delivery System 89
Referring still to Fig. 1, gas delivery system 89 delivers gases to chamber 30 via gas lines 92 A-C. Gas delivery system 89 includes gas supply panel 90 and gas or liquid or solid sources 91A-C (additional sources may be added if desired), containing gases (such as SiH4 or N***) or liquids (such as TEOS) or solids that may vary depending on d e desired processes used for a particular application. Generally, the supply line for each of die process gases includes a shut-off valve (also not shown) diat can be used to automatically or manually shut off the flow of process gas, as well as a mass flow controller (not shown) iat measures the flow of gas or liquid through each of the supply lines. The rate at which the process and carrier gases including, for example, silane (SiH4), helium (He), nitrogen (N***) and/or other dopant or reactant sources, are supplied to reaction chamber also is controlled by temperature-based liquid or gas mass flow controllers (MFCs) (not shown) and/or by valves (not shown). Of course, it is recognized diat other compounds may be used as deposition and clean sources. In alternative embodiments, the rate at which the process and carrier gases are supplied to reaction chamber 30 may be controlled by a pressure-based fixed or variable aperture. When toxic gases (for example, ozone or halogenated gas) are used in the process, the several shut-off valves may be positioned on each gas supply line in conventional configurations. Gas supply panel 90 has a mixing system that receives the deposition process and carrier gases (or vaporized liquids) from die sources 91A-C for mixing and sending to a central gas inlet 44 in a gas feed cover plate 45 via supply lines 92 A-C (other lines may be present, but are not shown). In this specific embodiment, the mixing system, the input manifold to d e mixing system, and the output manifold from die mixing system to the central inlet 44 are made of nickel or of a material such as alumina plated widi nickel.
When a liquid source is used, tiiere are many different ways to introduce d e source into chamber 30 as known to those of skill in the art. One such way is to confine and heat die liquid in an ampule so that the vapor pressure provides a stable flow of the vaporized source that is sufficient for the deposition process. Anodier way to introduce a source gas using a liquid source is to bubble a carrier gas, such as helium, through the liquid. Still anodier way is to use a liquid injection system mat vaporizes a measured quantity of liquid into a carrier gas stream. A liquid injection system is preferred in some instances as it provides greater control of the volume of reactant liquid introduced into die gas mixing system compared to bubbler-type sources.
B. Gas Distribution Manifold 40 widi Conical Holes 42 The process gas is injected into reactor 30 through central gas inlet 44 in gas-feed cover plate 45 to a first disk-shaped space 48 and from thence dirough passageways (not shown) in a baffle plate (or gas blocker plate) 52 to a second disk- shaped space 54 to showerhead 40. Showerhead 40 includes a large number of holes or passageways 42 for jetting die process gas into reaction zone 58. Preferably, each of die holes 42 is a "conical hole" as described in detail in U.S. Patent No. 4,854,263 previously incorporated by reference and as shown in Fig. 4 A. Fig. 4A is a schematic view of a preferred conical hole that represents one of die many holes in showerhead 40. Each conical hole has a conical section 50 diat faces d e substrate during processing. The holes are sized to promote laminar flow dirough showerhead 40. The presence of conical section 50 widiin die gas distribution hole improves the dissociation of reaction gases tiiereby increasing the plasma density and the ionization efficiency. The improved dissociation is particularly beneficial when gases that are difficult to break apart such as N2 are used for deposition.
As used herein, the term "conical hole" refers to any hole designed to increase dissociation and reactivity of gases introduced into reaction zone 58 through the hole where the diameter of the gas outlet is larger dian the diameter of the gas inlet. Thus, vertical cross-sectional shapes other than cones are included within the meaning of "conical hole" as used herein. Another example of a conical hole is shown in Fig. 4B. The hole shown in Fig. 4B has a concave cross-section 51. Still other holes having other vertical cross-sections including convex, parabolic, hyperbolic, bowl-shaped and semi-ellipsoidal among otiiers can also be used.
Returning to Fig. 1, the process gas jets from holes 42 in showerhead 40 into reaction zone 58 between die showerhead and the pedestal so as to react at the surface of wafer 36. The process gas byproducts tiien flow radially outward across die edge of wafer 36 and a flow restrictor ring 46, which is disposed on d e upper periphery of pedestal 32 when pedestal 32 is in the processing position. From thence, the process gas flows through a choke aperture formed between die bottom of annular isolator 64 and die top of chamber wall liner assembly 53 into pumping channel 60. A vacuum system 88 is used to maintain a specified pressure in the chamber and remove gaseous byproducts and spent gases from die chamber. Vacuum system 88 includes a vacuum pump 82 and a dirottle valve 83. Upon entering pumping channel 60, the exhaust gas is routed around d e perimeter of the process chamber, to be evacuated by a vacuum pump 82. Pumping channel 60 is connected dirough exhaust aperture 74 to pumping plenum 76. Exhaust aperture 74 restricts the flow between d e pumping channel and d e pumping plenum. Valve 78 gates die exhaust dirough exhaust vent 80 to vacuum pump 82. Throttle valve 83 is controlled by processor 85 according to a pressure control program stored in memory 86 which compares a measured signal from a pressure sensor (not shown), such as a manometer, against a desired value d at is stored in memory or generated according to the control program. Pumping channel 60 and its components are designed to minimize the effects of unwanted film deposition by directing die process gas and byproducts into the exhaust system.
C. Ceramic Pedestal 32 Returning to Fig. 1, a res istively -heated, ceramic pedestal 32 supports wafer 36 in a wafer pocket 34. Pedestal 32 may be moved vertically between a processing position (for example, as shown in Fig. 1) and a lower loading position using a self-adjusting lift mechanism, described in detail in commonly assigned U.S. Patent Application No. 08/738,240, (filed on October 25, 1996 and having inventors Leonid Selyutin and Jun Zhao), entided "Self- Aligning Lift Mechanism." With pedestal 32 in d e lower loading position (slighdy lower than at slit valve 56), a robot blade (not shown) in cooperation with die lift pins and die lifting ring transfers wafer 36 in and out of chamber 30 through slit valve 56, which can be vacuum-sealed to prevent the flow of gas into or out of die chamber through slit valve 56. Lift pins 38 raise an inserted wafer (not shown) off the robot blade, and tiien die pedestal rises to raise d e wafer off the lift pins onto die wafer pocket on die upper surface of die pedestal. A suitable robotic transfer assembly is described in commonly assigned U.S. Patent No. 4,951,601, issued to Maydan et al. Through die use of die self- aligning lift mechanism, pedestal 32 tiien further raises wafer 36 into die processing position, which is in close proximity to gas distribution manifold 40.
As shown in Fig. 2, which is a simplified cross-sectional view of pedestal 32, ceramic pedestal 32 includes an embedded RF electrode 22, such as an embedded molybdenum mesh, and a heating element 33, such as an embedded molybdenum wire coil. Ceramic pedestal 32 is preferably made from aluminum nitride and is preferably diffusion bonded to a ceramic support stem 26 that is secured to a water cooled aluminum shaft 28 (not shown in Fig. 2, but shown in Fig. 1) diat engages a lift motor. The ceramic support stem 26 and the aluminum shaft 28 have a central passage diat is occupied by a nickel rod 25 that transmits low frequency RF power to embedded electrode 22. The central passage is maintained at atmospheric pressure to avoid arcing and corrosive attacks at the metal-to-metal connections. Fig. 3 is a schematic diagram of a preferred metal-to-ceramic connection for suppling RF power to the RF electrode 22 embedded in ceramic substrate holder 32. As shown in Fig. 3, nickel rod 25 is brazed to a nickel eyelet 27 having external threads 29 that engage internal threads in d e substrate holder 32. A covar plug 28 is brazed to the end of nickel rod 25 within eyelet 27. A molybdenum pellet 26 is cosintered with the A1N substrate holder in contact with RF electrode 22. Covar plug 28 is tiien brazed to molybdenum pellet 26 to securely attach nickel rod 25 to RF electrode 22. A silver/titanium brazing alloy is preferred.
Ceramic pedestal 32 is manufactured to provide uniform capacitance by embedding RF electrode 22 at a uniform deptii below the surface of the substrate holder. RF electrode 22 is preferably positioned at a minimum deptii, which depends on die ceramic material, to provide maximum capacitance while avoiding cracking or flaking of the tiiin ceramic layer which covers die RF electrode 22. In one embodiment, RF electrode 22 is embedded about 40 mil beneath the upper surface of pedestal 32.
D. RF Power Supply 5 and Filter/Matching Network
An RF power supply 5 provides both high and low radio frequency (RF) power to the chamber for plasma-enhanced processes. Fig. 5 is a schematic circuit diagram showing an external RF circuit diat includes a high frequency RF source 12 and a low frequency RF source 17. The circuit inputs high frequency RF power to gas distribution manifold 40 of chamber 30 shown in Fig. 1 and inputs low frequency RF power to RF electrode 22 embedded in substrate holder 32.
The high and low frequency RF waveforms are decoupled by a network of high pass and low pass filters. The low frequency amplitude is maximized at die plasma sheath located at die surface of the substrate support and is mij-imized at the gas distribution system. The high frequency amplitude is maximized at the plasma sheatii adjacent the gas distribution system and d e high frequency voltage is π-inimized at the surface of the substrate support. The gas distribution system is a "pseudo-ground" for the low frequency RF power and die voltage is minimized to prevent arcing. Decoupling of die low and high frequency RF power results in a decrease of die self DC bias as low frequency power increases. Thus, ion energy is directly controlled by the low frequency RF signal voltage amplitude through the bottom plasma sheath.
Interference between d e high and low frequency RF power is minimized across die top and bottom plasma sheaths by an external RF match unit that which matches the impedance at high frequency RF and d e impedance of me decoupled low frequency. Otherwise, the interferences would produce high voltages at die electrodes and result in arcing at the gas distribution system damaging die showerhead. The use of a low frequency bottom plasma sheath and a predominantly high frequency top plasma sheath induces a harmonic oscillation frequency that may be increased or decreased by the external RF circuit as desired.
As shown in Fig. 5, high frequency RF source 12 is connected to a high frequency impedance match unit 13 and a high pass filter 14 that shields high frequency RF source 12 from low frequency RF power. High frequency RF source 12 is also shielded from a low frequency ground 15 by a low pass filter 16. Low frequency RF source 17 is connected to a low pass filter 18 that shields die low frequency RF source from high frequency RF power. The low frequency input is also shielded from a high frequency ground 19 diat includes a high pass filter 20. RF power delivery provided by die configuration shown in Fig. 5 is designed to maximize the 13.56 MHz voltage amplitude for efficient electron impact dissociation and minimize die low frequency oscillation at die showerhead electrode. Indeed, as described in more detail below, the present inventors discovered diat phase and potential interferences between the low and high frequencies should be minimized at the comcal holes in showerhead 40 to prevent plasma instabilities and microarcing. The RF network is also designed to maximize the low frequency potential at the lower electrode which represents a "pseudo-ground" for die 13.56 MHz signal. This limits die 13.56 MHz oscillation at die lower electrode and prevents formation of a self DC bias (<20 V), so diat the ion energy can be directly controlled by die LF voltage amplitude. As a result, there can be a reduction of charging effects at the wafer surface and a reduction of plasma induced damages. While in general it is desirable tσ hinder die formation of harmonics, it is possible in certain embodiments to use d e external match circuitry described above to amplify the harmonics created within me plasma sheath. For example, me frequency and amplitude of the harmonics can be tuned by varying the external capacitance of capacitor 20 at the bottom RF match. Through mathematical modeling using SPICE (simulated program while integrated circuit emphasis), the inventors observed d at a very strong resonance can be tuned. These calculations proved diat widi capacitor 20 selected to have a capacitance of 1500 pF, die resonance frequency of the harmonics was approximately 3 MHz and die amplitude of oscillation was higher than the oscillation amplitude at 13.56 MHz. It is believed diat tiiis phenomenon can be attributed to ion resonance, and which, if properly tuned, can be used to introduce a desirable sputtering component into die deposition process or to tune die nature and concentration of the reactive species in die plasma.
E. Other Components
Referring back to Fig. 1, a liquid heat exchange system 6 employs a liquid heat exchange medium, such as water or a water-glycol mixture, to remove heat from the reactor chamber and maintain certain portions of the chamber at a suitable temperature for stable process temperatures. Liquid heat exchange system 6 delivers liquid to various components of chamber 30 to maintain these components at a suitable temperature during die high temperature processing. This system 6 acts to decrease the temperature of some of tiiese chamber components in order to minimize undesired deposition onto tiiese components due to the high temperature processes. As seen in Fig. 1, heat exchange passages 79 within gas feed cover plate 45 allow the heat exchange liquid to circulate through gas feed cover plate 45, thus maintaining die temperature of gas feed cover plate 45 and adjacent components. Liquid heat exchange system 6 includes connections (not shown) that supply the liquid (such as water) through a heat exchange liquid manifold (not shown) for delivering the liquid to the gas distribution system including showerhead 40 (discussed below). A water flow detector detects die water flow from a heat exchanger (not shown) to enclosure assembly. Motors and optical sensors (not shown) are used to move and determine the position of movable mechanical assemblies such as throttle valve 83 and pedestal 32. Bellows (not shown) attached to the bottom of pedestal 32 and chamber body 11 form a movable gas-tight seal around die pedestal. The pedestal lift system, motors, gate valve, plasma system, including an optional remote plasma system 4 (which may be used to provide chamber clean capability using a remote plasma formed using, for example, a microwave source), and otiier system components are controlled by processor 85 over control lines 3 and 3A-D, of which only some are shown, as described in more detail below.
II. System Control of CVD System 10
Processor 85 executes system control software, which is a computer program stored in a memory 86 coupled to processor 85. Preferably, memory 86 may be a hard disk drive, but memory 86 may be other kinds of memory. In addition to memory 86, processor 85 includes a floppy disk drive and a card rack. Processor 85 operates under the control of the system control software, which includes sets of instructions that dictate die timing, mixture of gases, gas flow, chamber pressure, chamber temperature, RF power levels, heater pedestal position, heater temperature and otiier parameters of a particular process. Other computer programs such as those stored on otiier memory including, for example, a floppy disk or other computer program product inserted in a disk drive or other appropriate drive, may also be used to operate processor 85. System control software will be discussed in detail below. The card rack contains a single-board computer, analog and digital input/output boards, interface boards and stepper motor controller boards. Various parts of CVD apparatus 10 conform to die Versa Modular European (VME) standard, which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure having a 16-bit data bus and 24-bit address bus.
The interface between a user and processor 85 is via a CRT monitor 93a and a light pen 93b, shown in Fig. 6, which is a simplified diagram of die system monitor and CVD apparatus 10, illustrated as one of die chambers in a multichamber system. CVD apparatus 10 is preferably attached to a mainframe unit 95 that contains and provides electrical, plumbing and odier support functions for the apparatus 10. Exemplary mainframe units compatible with the illustrative embodiment of CVD apparatus 10 are currently commercially available as the Precision 5000™ and d e Centura 5200™ systems from Applied Materials, Inc. of Santa Clara, California. The multichamber system has die capability to transfer a wafer between its chambers without breaking the vacuum and without exposing die wafer to moisture or otiier contaminants outside d e multichamber system. An advantage of the multichamber system is that different chambers in die multichamber system may be used for different purposes in the entire process. For example, one chamber may be used for deposition of a metal film, another may be used for rapid diermal processing, and yet anodier may be used for depositing an anti reflective layer. The process may proceed uninterrupted within the multichamber system, thereby preventing contamination of wafers that may otiierwise occur when transferring wafers between various separate individual chambers (not in a multichamber system) for different parts of a process.
In the preferred embodiment two monitors 93a are used, one mounted in die clean room wall for the operators and die otiier behind the wall for the service technicians. Both monitors 93a simultaneously display die same information, but only one light pen 93b is enabled. The light pen 93b detects light emitted by CRT display widi a light sensor in die tip of die pen. To select a particular screen or function, the operator touches a designated area of the display screen and pushes die button on the pen 93b. The touched area changes its highlighted color, or a new menu or screen is displayed, confirming communication between the light pen and d e display screen. Of course, other devices, such as a keyboard, mouse, or other pointing or communication device, may be used instead of or in addition to light pen 93b to allow die user to communicate with processor 85.
The processes for depositing die film and for dry cleaning the chamber can be implemented using a computer program product that is executed by processor 85. The computer program code can be written in any conventional computer readable programming language such as, for example, 68000 assembly language, C, C + + , Pascal, Fortran, or otiier language. Suitable program code is entered into a single file, or multiple files, using a conventional text editor and is stored or embodied in a computer-usable medium, such as a memory system of die computer. If me entered code text is in a high-level language, the code is compiled, and d e resultant compiler code is tiien linked widi an object code of precompiled Windows library routines. To execute die linked, compiled object code, the system user invokes the object code, causing d e computer system to load die code in memory, from which the CPU reads and executes the code to perform the tasks identified in the program. Fig. 7 is an illustrative block diagram of the hierarchical control structure of die system control software, computer program 160, according to a specific embodiment. Using a light pen interface, a user enters a process set number and process chamber number into a process selector subroutine 161 in response to menus or screens displayed on the CRT monitor. The process sets, which are predetermined sets of process parameters necessary to carry out specified processes, are identified by predefined set numbers. Process selector subroutine 161 identifies (i) d e desired process chamber, and (ii) die desired set of process parameters needed to operate the process chamber for performing the desired process. The process parameters for performing a specific process relate to process conditions such as, for example, process gas composition and flow rates, temperature, pressure, plasma conditions such as high- and low-frequency RF power levels and die high-frequency and low-frequency RF frequencies (and in addition, microwave generator power levels for embodiments equipped with remote microwave plasma systems), cooling gas pressure, and chamber wall temperature. Process selector subroutine 161 controls what type of process (deposition, wafer cleaning, chamber cleaning, chamber gettering, reflowing) is performed at a certain time in chamber 30. In some embodiments, tiiere may be more than one process selector subroutine. The process parameters are provided to the user in the form of a recipe and may be entered utilizing die light pen/CRT monitor interface. The signals for monitoring the process are provided by die analog input board and digital input board of die system controller, and die signals for controlling the process are output on die analog" output board and digital output board of CVD system 10.
A process sequencer subroutine 162 comprises program code for accepting the identified process chamber and set of process parameters from process selector subroutine 161, and for controlling operation of me various process chambers. Multiple users can enter process set numbers and process chamber numbers, or a single user can enter multiple process set numbers and process chamber numbers, so sequencer subroutine 162 operates to schedule the selected processes in the desired sequence. Preferably, sequencer subroutine 162 includes program code to perform the steps of (i) monitoring the operation of the process chambers to determine if die chambers are being used, (ii) determining what processes are being carried out in die chambers being used, and (iii) executing die desired process based on availability of a process chamber and die type of process to be carried out. Conventional methods of monitoring the process chambers can be used, such as polling. When scheduling which process is to be executed, sequencer subroutine 162 can be designed to take into consideration the present condition of the process chamber being used in comparison with die desired process conditions for a selected process, or the "age" of each particular user-entered request, or any other relevant factor a system programmer desires to include for determining scheduling priorities.
Once sequencer subroutine 162 determines which process chamber and process set combination is going to be executed next, die sequencer subroutine 162 initiates execution of the process set by passing the particular process set parameters to a chamber manager subroutine 163a-c that controls multiple processing tasks in a process chamber 30 according to the process set determined by sequencer subroutine 162. For example, the chamber manager subroutine 163b comprises program code for controlling CVD operations in process chamber 30. Chamber manager subroutine 163b also controls execution of various chamber component subroutines ti-at control operation of the chamber components necessary to carry out the selected process set. Examplέs of chamber component subroutines are substrate positioning subroutine 164, process gas control subroutine 165, pressure control subroutine 166, heater control subroutine 167, and plasma control subroutine 168. Depending on die specific configuration of die CVD chamber, some embodiments include all of d e above subroutines, while otiier embodiments may include only some of the subroutines. Those having ordinary skill in die art would readily recognize that odier chamber control subroutines can be included depending on what processes are to be performed in process chamber 30. In operation, chamber manager subroutine 163b selectively schedules or calls die process component subroutines in accordance with the particular process set being executed. Chamber manager subroutine 163b schedules die process component subroutines much like sequencer subroutine 162 schedules which process chamber 30 and process set are to be executed next.
Typically, chamber manager subroutine 163b includes steps of monitoring the various chamber components, determining which components need to be operated based on die process parameters for the process set to be executed, and initiating execution of a chamber component subroutine responsive to the monitoring and determining steps. Operation of particular chamber component subroutines will now be described widi reference to Fig. 7. Substrate positioning subroutine 164 comprises program code for controlling chamber components that are used to load die substrate onto pedestal 32 and, optionally, to lift the substrate to a desired height in chamber 30 to control the spacing between the substrate and showerhead 40. When a substrate is loaded into process chamber 30, heater assembly 33 is lowered to receive die substrate in wafer pocket 34, and then is raised to die desired height. In operation, substrate positioning subroutine 164 controls movement of pedestal 32 in response to process set parameters related to die support height that are transferred from chamber manager subroutine 163b. Process gas control subroutine 165 has program code for controlling process gas composition and flow rates. Process gas control subroutine 165 controls the open close position of die safety shut-off valves, and also ramps up/down d e mass flow controllers to obtain die desired gas flow rate. Process gas control subroutine 165 is invoked by die chamber manager subroutine 163b, as are all chamber component subroutines, and receives subroutine process parameters related to die desired gas flow rates from the chamber manager. Typically, process gas control subroutine 165 operates by opening the gas supply lines and repeatedly (i) reading the necessary mass flow controllers, (ii) comparing the readings to the desired flow rates received from chamber manager subroutine 163b, and (iii) adjusting the flow rates of the gas supply lines as necessary. Furthermore, process gas control subroutine 163 includes steps for monitoring die gas flow rates for unsafe rates, and activating die safety shut-off valves when an unsafe condition is detected. Process gas control subroutine 165 also controls the gas composition and flow rates for clean gases as well as for deposition gases, depending on die desired process (clean or deposition or otiier) that is selected. Alternative embodiments could have more man one process gas control subroutine, each subroutine controlling a specific type of process or specific sets of gas lines.
In some processes, an inert gas such as nitrogen or argon is flowed into chamber 30 to stabilize the pressure in the chamber before reactive process gases are introduced. For these processes, process gas control subroutine 165 is programmed to include steps for flowing die inert gas into chamber 30 for an amount of time necessary to stabilize the pressure in die chamber, and tiien the steps described above would be carried out. Additionally, when a process gas is to be vaporized from a liquid precursor, for example TEOS, process gas control subroutine 165 would be written to include steps for bubbling a delivery gas, such as helium, dirough the liquid precursor in a bubbler assembly, or for introducing a carrier gas, such as helium, to a liquid injection system. When a bubbler is used for this type of process, process gas control subroutine 165 regulates the flow of the delivery gas, die pressure in die bubbler, and die bubbler temperature in order to obtain die desired process gas flow rates. As discussed above, die desired process gas flow rates are transferred to process gas control subroutine 165 as process parameters.
Furthermore, process gas control subroutine 165 includes steps for obtaining die necessary delivery gas flow rate, bubbler pressure, and bubbler temperature for the desired process gas flow rate by accessing a stored table containing the necessary values for a given process gas flow rate. Once the necessary values are obtained, die delivery gas flow rate, bubbler pressure and bubbler temperature are monitored, compared widi tihe necessary values and adjusted accordingly. The pressure control subroutine 166 comprises program code for controlling the pressure in the chamber 30 by regulating the apermre size of the throttle valve in the exhaust system of the chamber. The aperture size of the throttle valve is set to control the chamber pressure at a desired level in relation to the total process gas flow, die size of the process chamber, and the pumping set-point pressure for the exhaust system. When pressure control subroutine 166 is invoked, the desired or target pressure level is received as a parameter from chamber manager subroutine 163b. The pressure control subroutine 166 measures the pressure in chamber 30 by reading one or more conventional pressure manometers connected to d e chamber, compares the measure value(s) with the target pressure, obtains PID (proportional, integral, and differential) values corresponding to die target pressure from a stored pressure table, and adjusts die tiirottle valve according to the PID values obtained from the pressure table. Alternatively, pressure control subroutine 166 can be written to open or close the throttle valve to a particular aperture size to regulate the pumping capacity in chamber 30 to the desired level.
Heater control subroutine 167 comprises program code for controlling the temperature of a heater element 107 used to resistively heat pedestal 32 (and any substrate thereon). The heater control subroutine 167 is also invoked by die chamber manager subroutine and receives a target, or set-point, temperamre parameter. The heater control subroutine measures the temperamre by measuring voltage output of a thermocouple located in pedestal 32, comparing the measured temperature with the set-point temperamre, and increasing or decreasing current applied to die heating unit to obtain the set-point temperamre. The temperature is obtained from the measured voltage by looking up die corresponding temperature in a stored conversion table, or by calculating the temperature using a fourth-order polynomial. When an embedded loop is used to heat pedestal 32, heater control subroutine 167 gradually controls a ramp up/down of current applied to the loop. Additionally, a built-in, fail-safe mode can be included to detect process safety compliance, and can shut down operation of the heating unit if die process chamber 30 is not properly set up. An alternative method of heater control which may be used utilizes a ramp control algoritiim that is described in the co-pending and commonly assigned U.S. Patent Application No. 08/746657, entitled "Systems and Med ods for Controlling the Temperamre of a Vapor Deposition Apparatus, " listing Jonathan Frankel as inventor, filed on November 13, 1996 (Attorney Docket No. AMI 680-8/T 17000), the disclosure of which is hereby incorporated by reference. A plasma control subroutine 168 comprises program code for setting low- and high-frequency RF power levels applied to die process electrodes in chamber 30 and heater assembly 32, and for setting the low and high RF frequency employed. Like the previously described chamber component subroutines, plasma control subroutine 168 is invoked by chamber manager subroutine 163b. For embodiments including remote plasma generator 4, plasma control subroutine 168 would also include program code for controlling the remote plasma generator.
m. Depositing CVD Films with CVD System 10
Prior to development of the apparatus of the present invention, it was commonly regarded that a showerhead employing conical holes, such as holes 42, could not be effectively employed in a mixed frequency PECVD process. As discussed in die Background of die Invention section above, all known attempts at employing mixed frequency RF power with a conical-hole showerhead involved situations where both the high frequency (HF) and low frequency (LF) power supplies were connected to d e showerhead (upper electrode) in die processing chamber. Such a configuration resulted in unstable phase interferences between the LF and HF waveforms. This in turn, produced higher than desired voltages at the upper electrode resulting in unwanted arcing.
The inventors of the present invention, however, discovered that by using a bottom powered electrode design where die low frequency RF power supply is connected to an electrode beneath die substrate and by using die RF filter and matching network described above, die HF and LF waveforms can be sufficiently decoupled to prevent the phase interference problems previously encountered. Using tiiis configuration, die HF and LF waveforms can be controlled to minimize interference between the waveforms. With interference minimized, die inventors have found that a conical-hole showerhead can be used in mixed frequency PECVD processes while avoiding arcing widiin or near holes 42.
The use of mixed frequency RF power togetiier with the use of conical holes allows for deposition of films having superior physical properties in many instances. First, as previously discussed, the use of conical holes rather than straight holes provides increased dissociation of d e process gas, a higher plasma density and increased ionization efficiency. The increased dissociation is particularly important in deposition of silicon nitride films and otiier films where one or more of the particular source gases (e.g., N-j) employed are relatively difficult to break apart. Thus, as an example, in the deposition of silicon nitride films, the use of conical holes allows a reduction in die amount of NH3 and a corresponding increase in the amount of N2 used as sources for nitrogen. The reduced NH3 in turn results in less hydrogen incorporated into me film and a lower WER. The use of die apparatus of the present invention in the deposition of silicon nitride films is discussed in more detail below.
The inclusion of the low frequency RF source, on the other hand, allows control of the ion energy used to bombard die substrate and deposited film. Such increased control of ion bombardment can be used to improve film density, allow for better stress control, provide a lower WER (rate at which d e deposited film is etched in a 6:1 (by volume) BOE solution as is understood by a person of ordinary skill in tiie art) and improve overall film integrity. It is believed diat in the deposition of silicon nitride films, such bombardment can displace hydrogen remaining from the reaction of silane and ammonia tiius improving the film's WER. Similarly, decoupling die high and low frequency waveforms provides its own improvements. For example, as mentioned above, arcing within the holes of showerhead 40 is a problem in CVD deposition. Generally, arcing is more of a problem at lower chamber pressures than at higher pressures. For example, in one prior silicon nitride mixed frequency PECVD process that employed mixed frequency RF power and straight, rather than conical holes, arcing presented problems when chamber pressure was below 3 torr. Thus, this process limited deposition pressure to 3 torr and above even tiiough lower pressures would have been generally desirable because films having lower WER's could be deposited at such lower pressures. In the apparatus of the present invention, however, lower deposition pressures can be used without arcing. In one test, even when a showerhead having conical holes was employed, a pressure of 2.5 torr was used witiiout arcing. The decoupled high and low frequency waveforms also allow for an increased amount of low frequency power relative to high frequency power to be employed in film deposition. For example, in die same previously known mixed frequency silicon nitride process just mentioned above, arcing became a serious nroblem whenever the amount of low frequency RF power employed was greater dian about 35% of die total RF power employed. This was true even though straight holes, rather than conical holes were used in die gas showerhead. Widi d e high and low frequency waveforms decoupled as done in die apparatus of die present invention, the amount of low frequency RF power employed can be increased to above 35% of the total without arcing using the same silicon nitride deposition process even when conical holes, rather than straight holes, are used. The apparatus of the present invention has been successfully used widi low frequency RF power of up to 60% of the total RF power employed. As previously mentioned, higher low frequency power results in increased ion bombardment and can dierefore be used to improve film qualities. In device applications, however, it is important to consider d e effect of increased bombardment on underlying layers. For example, if during deposition of a given film bombardment is set too high, it may damage a previously deposited film thereby harming yield even though the increased bombardment improves film properties at the immediately deposited layer. This is particularly relevant for gate oxide integrity during PMD film deposition. The present inventors also performed a detailed analysis on the effects of reactor impedance on die film properties of a silicon nitride film. Figs. 8A-8D show the effect of process pressure on the reactor impedance. For each data point in me figures (pressure = 1-6 torr), a Si3N4 film with a refractive index of 2.0 and -1.5 x 109 dynes/cm2 compressive stress was tuned. SiH NHj/Nj were employed as precursor gases in a 1:2:10 ratio, respectively. The parameters tiiat were recorded are: Fig. 8A, voltage amplitude (VHF at top electrode and V-j, at bottom electrode); Fig. 8B, current intensities (ILF and IHF); Fig. 8C, phase angles (Φv/i HF and Φv i l.F) and Fig. SD, impedance magnimde (|ZHF| and |ZLF|). The measurements were made with two ENI V/I Impedance Probes™, one at die top for high frequency characterizations and the other at the bottom for low frequency measurements. The results show higher impedance magnimde at low frequency as well as a phase angle of ΦLF ~ -65 ° to be compared widi ΦHF - -80° . This indicates diat the "natural" capacitive impedance (Φv/i - -90°) of the reactor is modified by d e addition of a low frequency signal. Other measurements indicate d at ΦHF ~-87° if no low frequency power is applied. The low frequency signal used in the tests (350 kHz) is below the ion plasma frequency (estimated at 800 kHz in this example). Therefore, ionized species responded to the low frequency bias that induced ion motion. This ion motion introduced an inductive component into the plasma so that the plasma bulk impedance cannot be described as a parallel RC circuit if the low frequency power ratio is high (e.g., WLF/ ^LF+WHF) >20%). As shown in Fig. 11 discussed below, reactor impedance can "roughly" be described as an RLC circuit: (L in series with R) in parallel with C.
Careful control of the ion energy (proportional to the wafer low frequency potential Eion = qion x V-j) allows accurate ion bombardment at die wafer surface. However, Fig. 8A shows diat the heater electrode potential remains constant ( -460 V) over a wide range of pressures (2-5 torr). Figs. 8C and 8D show diat die reactor impedance is related to pressure: the phase angle and die impedance magnimde are a maximum when pressure is 2 torr. This pressure has also been found to be related to a minimum WER in Si3N films (see Fig. 9). The correlation between film etch rate, phase angle and impedance magnimde show die importance of controlling the reactor impedance for accurate ion bombardment during film growth and improved film properties, such as reduced WER in silicon nitride films. The same principles should also apply to die deposition of other films including silicon oxide, silicon oxynitride, silicon carbide, fluorinated amorphous carbon, and die like. Reactor impedance was also characterized as a function of the LF bias frequency. The LF frequency was varied from 300 to 950 kHz using sinusoidal waveforms. Figs. 10A-10D show die electrode'potential, current and reactor impedance at low and high frequency. The measure of the phase angle and impedance magnimde as a function of frequency provided an opportunity to model the reactor using simple components. A SPICE simulation was performed in order to match measurements for both the phase angle and impedance magnimde. Fig. 11 shows the modeled circuit. Apart from the matching network previously described, the plasma bulk 104, and two different plasma sheaths 100 and 102 (all of which are part of reaction zone 58 discussed above witii respect to Fig. 1) are shown in Fig. 11. The plasma bulk can be modeled as an RLC circuit with a large inductor value (L-, = 20 μ H) that reflects the ion motion and inertia. The top sheatii consists of a current generator to reflect the generation of electrically charged species. The diode (D0) just reflects that only electron current can flow through this part of the sheath. The value of .<-, (10A) is in good agreement with the value measured at 13.56 MHz (Fig. 10B). The bottom sheath is similar to the top sheatii except that a second inductor ( Q) reflects the ion motion dirough the sheatii and die induced ion bombardment. Also, the current generator (Lx = 0.9 A) was adjusted to fit d e measured value (I-j-). The model is in good agreement with the assumption diat die two sheaths are different (ionization at the showerhead and ion bombardment at the bottom electrode). The ceramic pedestal/heater is modeled as a simple capacitor 106 placed in series with the plasma impedance. The nominal value of die heater capacitance is 2500 pF when die depth of the electrode is 40 mil.
Figs. 12A and 12B show a comparison of the measured and simulated data. The measured data (dots in each figure) are in good agreement with the model, calculated with C3 = 2500 pF (bold line). C3 was measured by putting a metallic plate on die heater pocket and measuring the capacitance widi a network analyzer as would be understood by a person of skill in die art. The other curves show the influence on die reactor impedance when varying the heater capacitance. From this data it can be seen diat die heater electrode capacitance has an important influence on the reactor impedance. This capacitance is determined by die depth (d) at which die electrode is embedded (C = εS/d, where ε is die dielectric permitivity of A1N, S is the electrode surface area and d is d e electrode" deptii). Therefore, it is important to precisely control this electrode depth during d e heater manufacturing process.
Based on the above work and characterizations, the present inventors developed two additional improvements to CVD system 10 of the present invention. The first of these improvements includes d e addition of an impedance ner 108 to CVD system 10. Impedance mner 108 is shown in Fig. 11 as being connected in series with capacitor 106 (pedestal 32) and can be adjusted in value, depending on die process conditions, to adjust die impedance of reactor 30 as an additional control "knob" to obtain desired film properties. In a preferred embodiment, impedance mner 108 is a variable capacitor In one example, die capacitor is selected so that the overall reactor impedance can be controlled to between 600 and 2500 Ω. In anodier embodiment, impedance mner 108 is an LC circuit having a variable inductor connected in parallel with a capacitor. In still other embodiments, impedance tuner 108 can be disconnected from CVD system 10 by way of a bypass switch (not shown).
The second improvement includes die addition of an impedance probe 110 (shown in Figs. 1 and 5) to CVD system 10. Impedance probe 110 is electrically connected to chamber 30 by two lines 111A and 11 IB. Line 111A is connected to an input terminal 112 A that is in electrical contact with lower electrode 22 embedded within pedestal 32, while line 11 IB is connected to an input terminal 112B that is in electrical contact with the upper electrode, faceplate 40. Impedance probe 110 is in communication with processor 85 over one of die control lines 3.
When connected in such a manner, the impedance probe can be used to monitor the impedance of the reactor during substrate processing, and if appropriate, processor 85 can adjust processing conditions or die setting of impedance tuner 108 (e.g. if the impedance mner is a variable capacitor, adjust the capacitance of die variable capacitor) to compensate for any change in reactor impedance. This is particularly useful because, as discussed above, in some processes the impedance of reactor 30 has a definite effect on film properties such as WER stress, deposition rate, refractive index and film tiϋckness uniformity. Thus, for example, if during a 2000 wafer process run, processor 85 detects tiiat reactor impedance drifts outside of a range previously defined for at run, appropriate action can be taken during d e wafer run to adjust for reactor impedance and further ensure that film properties are within the manufacturer's specifications for the given process during the entire process run. The actions taken to adjust for such an impedance drift may include, but are not limited to, adjusting pressure within the reactor chamber, increasing or decreasing high frequency or low frequency RF power and, as described above, adjusting die setting of impedance mner 108. This fea re can also be referred to as in situ impedance monitoring.
The features and design of CVD system 10 described above along widi the additional discoveries made by die inventors also discussed above allow CVD system 10 to be employed to deposit CVD films over a wide range of process conditions including the use of deposition parameters that were previously not possible. The apparatus is useful in die deposition of a variety of CVD films including low temperature process films for intermetal dielectric (IMD) applications and high temperamre processes for premetal dielectric (PMD) applications. Some specific applications include TEOS (tetraethylorthosilicate) or silane based PECVD and SACVD (subatmospheric CVD) chemistries, including die deposition of undoped silicon oxide (USG) and doped silicon oxides such as boron phosphorus silicate glass (BPSG), phosphorus silicate glass (PSG) and fluorine-doped silicate glass (FSG). Similarly, silicon nitride (Si3N4), silicon carbide, SiOxNy, amorphous silicon and otiier layers can be deposited using the apparatus of the present invention.
As an example of the broadened process regime attainable by the apparatus of the present invention, the inventors have performed extensive work with Si3N4 films and developed techniques tiiat allow the deposition of such Si3N4 films having improved (lower) wet etch rates, excellent step coverage, increased film integrity and reduced pinholes at low temperature processing conditions. The inventors have also developed high temperature PECVD Si3N4 films that have a WER less than 15 A/min., which is lower than tiiat of many tiieπnally grown Si3N4 layers. In developing tiiese improved Si3N4 films, the inventors performed in deptii smdies on d e effect of heater capacitance on stress, the effect of the ratio of LF power to total RF power on ion bombardment and die relationship of WER to stress among otiier things. For these smdies, a "Si3N4 deposition process was tuned with an refractive index of 2.0 and a compressive stress of 1.5 x 109 dynes/cm2. The heater nominal capacitance of 2500 pF was then reduced by the addition of a variable capacitor as impedance mner 108 placed in series widi the heater. The process introduced SiH4/NH3/N2 into the chamber at flow rates of 220/1200/600 seem, respectively, and the chamber was heated to 400°C and maintained at a pressure of 4.0 torr. High frequency RF power was supplied to die gas distribution manifold at a level of 250 W, and 250 W of low frequency RF (350 kHz) power was supplied to d e bottom electrode. Finally, spacing between die substrate holder and gas distribution manifold was set at 425 mil. The results of these smdies are shown in Figs. 14-16 and are discussed below.
Fig. 14 shows tiiat film stress is strongly affected by die capacitance of die pedestal/heater. It was also found tiiat the refractive index and die uniformity of die deposited film increases and die deposition rate decreases when die heater capacitance is decreased. This confirms the relationship between film properties and reactor impedance. It was found diat a single frequency process is less affected than a mixed frequency process, a phenomenon that is actually predicted by die model (see Figs. 12A and 12B where the distance between curves narrows at higher frequencies). These results can be used to specify tolerance on die heater capacitance for deposition of Si3N4 films. For example, allowing a maximum stress excursion of ±2 x 108 dynes/cm2 around die center process, it was found diat die heater capacitance has to be controlled within 2500 pF± 13 % . This corresponds to an electrode depth of 40 mil, +5.1, -3.5. Values outside this tolerance range can be corrected for, however, through die use of impedance mner 108. Film integrity and other film properties are closely related to ion bombardment. As mentioned above, ion energy is proportional to the plasma sheath potential. The inventors studied die effect of the low frequency power on the potential of the showerhead and die heater electrode. They also recorded die self DC bias commonly induced by die 13.56 MHz bias. Fig. 15 shows the effect of increasing the low frequency power. In Fig. 15, total RF power was kept constant at 500 W and it can be seen diat increasing the LF power increases die voltage amplitude VLF ( and the ion energy) at the heater electrode. Concurrently, the voltage amplitude VHF at the showerhead decreases. The inventors discovered, however, the DC bias on both electrodes is reduced when d e low frequency power is increased. It is believed that this negative self DC bias reflects the formation of an ion-depleted sheath at the electrodes. This DC bias can be greater than 200 V when a single (high) frequency process is used. Widi d e addition of low frequency power, the ions can no longer be considered as fixed charges. The LF bias forces ions to penetrate within the plasma sheath, therefore canceling electronic charging effects and reducing die DC component. As a result, the ion energy is directly under control of the low frequency voltage amplitude. During the trough (V-j** < 0) the positively ionized species (believed to be die majority of ions) bombard d e growing film.
The ratio of low frequency power to total power [WLF/CWHF + W-j,)] is an important "knob" for tuning film stress. As previously mentioned, die configuration of the apparatus of the present invention allows the LF power to be increased to up to at least 60% of die total RF power without inducing arcing under some sets of processing conditions. Fig. 16 shows that adding low frequency power (VLF increases, DC bias decreases) allows increased film density due to more energetic ion bombardment in a Si3N4 film having a refractive index of 2.0 tiiat is deposited as described above. Fig. 16 also shows that film WER and stress are closely related properties. For device applications, films with a moderate compressive stress (e.g., 50-150 MPa) are generally required. The reactor configuration of the present invention and plasma impedance can be optimized to decouple WER and film stress in order to provide a film of high integrity and tunable stress. Knowing tiiat ion energy is proportional to the heater electrode potential, the inventors studied various biasing waveforms and frequencies to determine their effects and role on ion bombardment and film properties. Three different waveforms were tested: sinusoidal, asymmetric and square (see Figs. 17A-17D). For each waveform, the frequency was varied and die film integrity was characterized (step coverage before and after either a 250 A etch or a one-minute 6: 1 BOE as is known and understood by those of skill in d e art, and pinhole performance).
A sinusoidal waveform (Fig. 17 A) was tested widi a frequency of 350 kHz. Sinusoidal waveforms are the standard waveform used to control ion bombardment in all mixed frequency PECVD processes known to the inventors. However, the inventors found tiiat a sinusoidal waveform is not optimum for deposition of Si3N4 films. Indeed, tiiere is no ion bombardment of the substrate for half of the period because d e waveform alternates between bombardment of the substrate holder and bombardment of die gas distribution manifold. This is because when Vwafer is positive, electron current is drawn toward die wafer and die ions are repulsed from the lower plasma sheath. Thus, ion bombardment only exists in the shaded regions 130 of Figs. 17A-17D.
The inventors discovered that improved film quality was obtained when an asymmetric waveform was used as shown in Fig. 17B. The inventors also discovered tiiat, generally, better film integrity is obtained at low frequency (e.g., <400 kHz) as it was observed tiiat low frequency promotes lower phase angles (see Fig. 10D). It was also found tiiat low phase angles provide better film properties as described above. In fact, for deposition of die above-described Si3N film, the best results were obtained with the asymmetric waveform at a frequency of 50-220 kHz, with 50 kHz being die most preferred frequency. This particular waveform was provided by a newly developed ENI RPG generator. Another type of asymmetric sawtooth waveform that can be employed is shown in Fig. 17C. These types of waveform have been used in the past for reactive sputtering depositions (e.g., Al2O3) and vacuum arc plasma depositions (e.g., DLC, Al2O3 and other metal films), but to the best of the inventors knowledge, has never been used as a biasing technique in PECVD processes. In either of the waveforms shown in Figs. 17B and 17C, die duty cycle of die waveform can be adjusted to tailor film properties as desired. The duty cycle is die percentage of time that the wafer potential is positive: Z+/(Z+ +Z"). Generally it is preferable to have the duty cycle be between 10-50 percent. Square biasing (Fig. 1TD), also referred to as a pulsed DC biasing, was also tested wid a frequency varying from 150 kHz to 700 kHz. The process regime was influenced by the frequency. Thus, uiere was a need to tune the process at various frequencies to deposit films having a refractive index of 2.0 and compressive stress of 1.5 x 109 dynes/cm2. In any case, it was discovered that using square waves led to poor film integrity. Pulsed DC waveforms favor ion bombardment of d e substrate holder, however, such a square waveform also favors substantial harmonics. It is believed tiiat the poor film integrity can be attributed to the application of steep negative fronts 134, which provoked plasma sheatii instabilities by introducing harmonics into the system.
Thus, it is clear from the above tests that ion bombardment controlled by the asymmetric waveform of Fig. 17B resulted in increased bombardment as compared to die waveforms of Fig. 17A and 17D and also in die deposition of films having improved properties. The asymmetric waveform shown in Fig. 17B can also be described as a sawtooth waveform or a triangular, bipolar waveform and provides ion bombardment of die substrate holder during most of each cycle with few harmonics. Other asymmetric waveforms (e.g., the waveform shown in Fig. 17C) may also be used to increase ion bombardment and hinder die formation of harmonics. In fact, any waveform that has an average linear distribution of ion energies over the signal period should be preferred over a purely sinusoidal waveform. To hinder d e function of harmonics, it is preferable that the slope of the front (negative) edge of the waveform be smaller in magnimde dian die slope of die back edge.
In conclusion, the enlarged process regime obtainable dirough the use of the present invention enables deposition of films having the above mentioned characteristics and deposition of silicon nitride films at lower pressure, higher ratios of low frequency RF power, and higher ratios of nitrogen to ammonia. The WER of deposited silicon nitride films has been reduced by as much as 50% by providing high frequency RF power to the conical-holed showerhead and by providing low frequency RF power to the ceramic substrate holder as described above. The WER is also strongly correlated to the process pressure. Thus, varying process pressure over a wide regime is an important parameter in controlling the properties of the deposited film. The decoupling of die low and high frequency RF power as taught herein provides improved WER and other characteristics without sacrificing step coverage or stress levels. In experiments, coverage of d e sides and bottom of a 1 : 1 aspect ratio trench was controlled during a silicon nitride deposition to provide sidewall step coverage at about 65% with respect to the field coverage and to provide bottom step coverage at about 65% with respect to the field coverage. Such uniform growth within the trench provides a good conformal film, and strong bottom corners that are more resistant to subsequent etching of the film, which can otiierwise etch entirely through the relatively weak bottom corners. As used herein, sidewall step coverage, bottom step coverage and conformality are defined wid respect to the illustration in Fig. 13. In Fig. 13, a silicon nitride film 120 is shown deposited over adjacent metal lines 122 and 124 so diat it partly fills gap 126 between die lines. Sidewall step coverage is a/b x 100%. Bottom step coverage is d/b x 100% and conformality is defined as a c x 100% .
IV. Experiments and Test Results
The invention is further described by die following examples diat compare available mixed frequency silicon nitride processes with decoupled mixed frequency silicon nitride processes within the scope of the present invention. The examples were selected to show die best process conditions for depositing a conformal silicon nitride layer on a patterned wafer. In each example, the deposited silicon nitride layers had a refractive index of 2.0 and a film stress of -1.6 x 109 dynes/cm2, values tiiat are within manufacturers specifications for many modern device applications. Processes that could not be modified to exactly reflect those values were adjusted to deposit films having die closest properties.
Comparative examples A and B describe deposition processes not performed in accordance wid die present invention while invention examples 1-4 describe processes that were deposited in accordance widi the present invention. In each example, a silicon nitride film was deposited over a stepped topography that included a gap between two closely spaced metal lines. The distance between die lines was approximately 0.5 μm and the aspect ratio of the gap was approximately 1 : 1.
Comparative Example A This example was undertaken using a CVD chamber having an aluminum substrate holder and a gas distribution manifold having straight holes. High frequency RF power was provided to die gas distribution manifold and low frequency RF power was provided to die aluminum substrate holder that held a wafer positioned 350 mil from the gas distribution manifold during processing. The reactor was pumped down to a pressure of 0.1 torr at a temperamre of 400 °C and tiien stabilized at 3.7 torr with process gas flow rates of 180 seem of silane, 720 seem of ammonia, and 1600 seem of nitrogen. Then 100 W of high frequency RF power (13.56 MHz) and 20 W of low frequency RF power (350 kHz) was applied to die gas distribution manifold. The silicon nitride film was deposited at 160θA/min. The deposited film had a refractive index of 2.0 and a stress of -1.4 x 109 dynes /cm2.
The deposited film had a WER of 305 A/min, and when exposed to an etchant solution to remove the top 250 A of film, had substantially all of die silicon nitride removed from the bottom corners of the gap.
Comparative Example B
This example was undertaken using a chemical vapor deposition chamber, and in particular, a "DxZ" plasma reactor fabricated and sold by Applied Materials, Inc., Santa Clara, California. The reactor was modified to include a ceramic substrate holder, however, and both high and low frequency RF power were provided to the gas distribution manifold. The substrate holder held a wafer positioned 560 mil from the gas distribution manifold during processing, and die gas distribution manifold included conical holes.
The reactor was pumped down to a pressure of 0.1 torr at a temperamre of 400°C and then stabilized at 4.0 torr with process gas flow rates of 215 seem of silane, 1200 seem of ammonia, and 600 seem of nitrogen. Then 200 W of high frequency RF power (13.56 MHz) was applied to the gas distribution manifold and 200 W of low frequency RF power (350 kHz) was applied to die gas distribution manifold. The silicon nitride film was deposited at 5560 A/min. The deposited film had a refractive index of 2.0 and a stress of -2 x 109 dynes /cm2. The deposited film also had a WER of 305 A/min. Etching of the deposited film to remove about 250 A of the silicon nitride from the field also removed substantially all of the silicon nitride from the bottom corners of the gaps (or topography).
Invention Example 1
This example was undertaken using a chemical vapor deposition chamber, and in particular, a "DxZ" plasma reactor fabricated and sold by Applied Materials, Inc., Santa Clara, California. The reactor was modified to include both a conical holes gas distribution manifold and a ceramic substrate holder in accordance to die present invention and as shown in Fig. 1. High frequency RF power was provided to die gas distribution manifold and low frequency RF power was provided to RF electrode 22 embedded in the ceramic substrate holder. The substrate holder held a wafer positioned 600 mil from the gas distribution manifold during processing. The reactor was pumped down to a pressure of 0.1 torr at a temperamre of 400°C and tiien stabilized at 2.5 torr with process gas flow rates of 65 seem of silane, 130 seem of ammonia, and 1450 seem of nitrogen. Then 160 W of high frequency RF power (13.56 MHz) was applied to die gas distribution manifold and 135 W of low frequency RF power (sinusoidal waveform, 350 kHz) was applied to die ceramic substrate holder. The silicon nitride film was deposited at 1745 A/min. The deposited film had a refractive index of 2.0 and a stress of -1.5 x 109 dynes /cm2. The deposited film had a WER of 180 A/min.
Invention Example 2
This example was undertaken using die modified chemical vapor deposition chamber of Example 1. The substrate holder held a wafer positioned 485 mil from die gas distribution manifold during processing. The reactor was pumped down to a pressure of 0.1 torr at a temperamre of 400°C and then stabilized at 4.0 torr with process gas flow rates of 210 seem of silane, 1200 seem of ammonia, and 600 seem of nitrogen. Then 250 W of high frequency RF power (13.56 MHz) was applied to die gas distribution manifold and 250 W of low frequency RF power (sinusoidal waveform, 350 kHz) was applied to die ceramic substrate holder. The silicon nitride film was deposited at 5525 A/min. The deposited film had a refractive index of 2.0 and a stress of -1.6 x 109 dynes /cm2.
The deposited film also had a WER of 335 A/min. Etching of the deposited film to remove about 250 A of the silicon nitride from die field left a substantial amount of tiie silicon nitride in the bottom corners of the vias in comparison to Comparative Example A. Prior to etching, the silicon nitride layer on the sidewalls and bottom of the via was about 63% of the thickness of the silicon nitride layer deposited on die field. After etching, the sidewall layer was 35 % of the thickness of the field and die bottom corner was 12% of the thickness of the field.
Invention Example 3
This example was undertaken using the modified chemical vapor deposition chamber of Example 1. The substrate holder held a wafer positioned 490 mil from the gas distribution manifold during processing.
The reactor was pumped down to a pressure of 0.1 torr at a temperature of 400°C and tiien stabilized at 4.0 torr with process gas flow rates of 200 seem of silane, 1200 seem of ammonia, and 600 seem of nitrogen. Then 170 W of high frequency RF power (13.56 MHz) was applied to die gas distribution manifold and 250 W of low frequency RF power (sinusoidal waveform, 350 kHz) was applied to the ceramic substrate holder. The silicon nitride film was deposited at 4625 A/min. The deposited film had a refractive index of 2.0 and a stress of - 2 x 109 dynes /cm2.
The deposited film had a WER of 293 A/min. Comparison of tiiese results to Example 2 demonstrates an inverse relationship between the ratio of low frequency RF power to total RF power and WER when varying the high frequency input. Both a low WER and lower film stress can be achieved by varying the process pressure as shown by Example 1.
Invention Example 4 This example was undertaken using d e modified chemical vapor deposition chamber of Example 1. The substrate holder held a wafer positioned 540 mil from the gas distribution manifold during processing.
The reactor was pumped down to a pressure of 0.1 torr at a temperamre of 400 °C and then stabilized at 3.0 torr with process gas flow rates of 200 seem of silane, 400 seem of ammonia, and 4,500 seem of nitrogen. Then 170 W of high frequency RF power (13.56 MHz) was applied to die gas distribution manifold and 250 W of bipolar (sawtooth) low frequency RF power (50 kHz, 4040 ns) having a triangular waveform to enhance ion bombardment was applied to die ceramic substrate holder. The silicon nitride film was deposited at 3700 A/min. The deposited film had a refractive index of 2.0 and a stress of -2 x 109 dynes /cm2. The deposited film also had a WER of 232 A/min. Etching of the deposited film to remove about 250 A of the silicon nitride from the field left a substantial amount of the silicon nitride in the bottom corners of the vias in comparison with Comparative Example A. Prior to etching, the silicon nitride layer on the sidewalls and bottom of die via was about 57% of die diickness of the silicon nitride layer deposited on die field. After etching, the sidewall layer was 41 % of the thickness of the field and die corner layer was 18% of d e diickness of die field.
While the foregoing is directed to certain preferred embodiments of the present invention, other and further embodiments of the invention may be devised witiiout departing from the basic scope of the invention. For example, one such alternative embodiment may employ a pulsed plasma to allow a manufacturer to tailor plasma chemistry versus plasma density to further improve film characteristics. An example of a high frequency waveform used in such pulsed plasma processes is shown in Fig. 18. In Fig. 18, a 13.56 MHz waveform is shown as alternating between ON and OFF cycles. The waveform forms a plasma creating reactive species during each ON cycle and allows plasma chemistry to control deposition during each OFF cycle. The ratio of ON time versus OFF time is referred to as the duty cycle. Pulsed plasma deposition techniques are applicable to many CVD processes and are particularly useful when depositing amorphous fluorocarbon and od er low K dielectric films in the apparatus of the present invention. In another alternative embodiment, impedance mner 108 is used to adjust the impedance of a CVD chamber that employs other methods besides mixed frequency RF power to form a plasma and bias d e plasma to bombard die growing film. The only requirement necessary for impedance tuner 108 to provide an added control knob for a particular chamber and deposition process is that the impedance of the plasma vary in relation to one or more process parameters. These alternatives along with other alternatives and equivalents are intended to be included widiin the scope of d e present invention.

Claims

WHAT IS CLAIMED IS:
1. A substrate processing system comprising: a deposition chamber having a reaction zone; first and second electrodes; a mixed frequency RF power supply comprising a low frequency RF power source and a high frequency RF power source for forming a plasma in die reaction zone from said one or more process gases; wherein said low frequency RF power source is configured to provide an asymmetrical waveform to either said first or second electrodes to bias said plasma toward the substrate.
2. The substrate processing system of claim 1 further comprising a filter and matching network that decouples waveforms generated by said high frequency RF power source from waveforms generated by said low frequency RF power source.
3. The substrate processing system of claim 2 wherein said asymmetric waveform is a sawtooth waveform.
4. A substrate processing system comprising: a deposition chamber comprising a reaction zone; a substrate holder that positions a substrate in the reaction zone, said substrate holder comprising a first RF electrode; a gas distribution system that includes a gas inlet manifold for supplying one or more process gases to said reaction zone, said gas inlet manifold comprising a second RF electrode; a mixed frequency RF power supply comprising a low frequency RF power source and a high frequency RF power source for forming a plasma in die reaction zone from said one or more process gases; wherein said low frequency RF power source is configured to provide an asymmetrical waveform to either said first or second electrodes to bias said plasma toward the substrate.
5. The substrate processing system of claim 4 further comprising a filter and matching network that decouples waveforms generated by said high frequency RF power source from waveforms generated by said low frequency RF power source.
6. The substrate processing system of claim 5 wherein said asymmetric waveform is a sawtooth waveform.
7. A method for depositing a film on a substrate in a substrate processing chamber, said method comprising the steps of: introducing one or more process gases into a reaction zone of the substrate processing chamber; forming a plasma from said one or more process gases by applying high frequency RF power to said gas inlet manifold; and biasing said plasma toward the substrate with an asymmetric low frequency RF waveform to promote ion bombardment of die substrate.
8. The method of claim 7 wherein said asymmetric low frequency RF waveform is a sawtooth waveform.
9. The method of claim 7 wherein said asymmetric low frequency RF waveform has a duty cycle of between 10 and 50 percent.
10. The method of claim 7 wherein said process gases comprise silane, ammonia and nitrogen.
11. The mediod of claim 10 wherein said asymmetric low frequency RF waveform has a frequency of less than 400 kHz.
12. The mediod of claim 10 wherein said asymmetric low frequency RF waveform has a frequency between 50 and 220 kHz.
PCT/US1998/025212 1997-12-01 1998-11-25 Use of an asymmetric waveform to control ion bombardment during substrate processing WO1999028524A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000523394A JP4402291B2 (en) 1997-12-01 1998-11-25 Substrate processing system and method for depositing a coating on a substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/982,252 1997-12-01
US08/982,252 US6041734A (en) 1997-12-01 1997-12-01 Use of an asymmetric waveform to control ion bombardment during substrate processing

Publications (1)

Publication Number Publication Date
WO1999028524A1 true WO1999028524A1 (en) 1999-06-10

Family

ID=25528985

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1998/025212 WO1999028524A1 (en) 1997-12-01 1998-11-25 Use of an asymmetric waveform to control ion bombardment during substrate processing

Country Status (3)

Country Link
US (2) US6041734A (en)
JP (1) JP4402291B2 (en)
WO (1) WO1999028524A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001071765A3 (en) * 2000-03-17 2002-01-31 Applied Materials Inc Plasma reactor with overhead rf electrode tuned to the plasma
JP2002134484A (en) * 2000-10-19 2002-05-10 Asm Japan Kk Semiconductor substrate holding device
SG91920A1 (en) * 2000-05-03 2002-10-15 Applied Materials Inc Multiple frequency plasma chamber with grounding capacitor at cathode
EP1252359A4 (en) * 1999-12-02 2011-01-26 Tegal Corp Improved reactor with heated and textured electrodes and surfaces

Families Citing this family (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7004107B1 (en) * 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
US6151532A (en) * 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
TW434636B (en) * 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
JP2000049216A (en) * 1998-07-28 2000-02-18 Mitsubishi Electric Corp Plasma processing apparatus and method of adsorption by electrostatic chucking used in apparatus thereof
US6504149B2 (en) 1998-08-05 2003-01-07 National Research Council Canada Apparatus and method for desolvating and focussing ions for introduction into a mass spectrometer
US6621077B1 (en) 1998-08-05 2003-09-16 National Research Council Canada Apparatus and method for atmospheric pressure-3-dimensional ion trapping
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6207590B1 (en) * 1999-11-19 2001-03-27 Wafertech, Inc. Method for deposition of high stress silicon dioxide using silane based dual frequency PECVD process
AU2001239076A1 (en) * 2000-03-14 2001-09-24 National Research Council Canada Tandem high field asymmetric waveform ion mobility spectrometry (faims)/ion mobility spectrometry
EP1266395A2 (en) * 2000-03-14 2002-12-18 National Research Council of Canada Tandem faims/ion-trapping apparatus and method
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
JP2001267310A (en) * 2000-03-17 2001-09-28 Tokyo Electron Ltd Method and device for film forming plasma
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
JP4592867B2 (en) * 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 Parallel plate type plasma CVD apparatus and dry cleaning method
JP2001279455A (en) * 2000-03-29 2001-10-10 Canon Inc Deposited film deposition method and deposited film deposition system
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP4557400B2 (en) * 2000-09-14 2010-10-06 キヤノン株式会社 Method for forming deposited film
JP4877884B2 (en) * 2001-01-25 2012-02-15 東京エレクトロン株式会社 Plasma processing equipment
US6514870B2 (en) 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6677711B2 (en) * 2001-06-07 2004-01-13 Lam Research Corporation Plasma processor method and apparatus
US7469558B2 (en) 2001-07-10 2008-12-30 Springworks, Llc As-deposited planar optical waveguides with low scattering loss and methods for their manufacture
US6893983B2 (en) * 2001-09-13 2005-05-17 Tech Semiconductor Singapore Pte Ltd. Method for depositing a very high phosphorus doped silicon oxide film
US6541399B1 (en) 2001-10-01 2003-04-01 Taiwan Semiconductor Manufacturing Company SABPSG process real temperature monitor
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US7378356B2 (en) 2002-03-16 2008-05-27 Springworks, Llc Biased pulse DC reactive sputtering of oxide films
US6884327B2 (en) 2002-03-16 2005-04-26 Tao Pan Mode size converter for a planar waveguide
US6664202B2 (en) * 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
ATE408235T1 (en) * 2002-04-24 2008-09-15 Apit Corp S A DEVICE FOR PLASMA PROCESSING THE SURFACES OF CONTAINERS
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US7086347B2 (en) * 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
KR100466818B1 (en) * 2002-05-17 2005-01-24 주식회사 하이닉스반도체 Method of forming a insulating layer in a semiconductor device
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US8394522B2 (en) 2002-08-09 2013-03-12 Infinite Power Solutions, Inc. Robust metal film encapsulation
US8021778B2 (en) 2002-08-09 2011-09-20 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US20070264564A1 (en) 2006-03-16 2007-11-15 Infinite Power Solutions, Inc. Thin film battery on an integrated circuit or circuit board and method thereof
US9793523B2 (en) 2002-08-09 2017-10-17 Sapurast Research Llc Electrochemical apparatus with barrier layer protected substrate
US8236443B2 (en) 2002-08-09 2012-08-07 Infinite Power Solutions, Inc. Metal film encapsulation
US8431264B2 (en) 2002-08-09 2013-04-30 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8404376B2 (en) 2002-08-09 2013-03-26 Infinite Power Solutions, Inc. Metal film encapsulation
US8445130B2 (en) 2002-08-09 2013-05-21 Infinite Power Solutions, Inc. Hybrid thin-film battery
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
AU2003261463A1 (en) 2002-08-27 2004-03-19 Symmorphix, Inc. Optically coupling into highly uniform waveguides
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7901952B2 (en) 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US8728285B2 (en) 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
US7238628B2 (en) 2003-05-23 2007-07-03 Symmorphix, Inc. Energy conversion and storage films and devices by physical vapor deposition of titanium and titanium oxides and sub-oxides
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
US7057166B2 (en) * 2003-06-27 2006-06-06 Ionalytics Corporation Method of separating ions
US20050120958A1 (en) * 2003-12-07 2005-06-09 Frank Lin Reactor
US20050170104A1 (en) * 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
US7473566B1 (en) * 2004-02-03 2009-01-06 Advanced Micro Devices, Inc. Method and apparatus for controlling a film formation process with multiple objectives
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP4628696B2 (en) * 2004-06-03 2011-02-09 東京エレクトロン株式会社 Plasma CVD equipment
WO2006009881A2 (en) * 2004-06-18 2006-01-26 Innovalight, Inc. Process and apparatus for forming nanoparticles using radiofrequency plasmas
JP2006024670A (en) * 2004-07-07 2006-01-26 Sony Corp Manufacturing method for semiconductor device
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
JP2006041250A (en) * 2004-07-28 2006-02-09 Canon Inc Plasma processing device and method therefor
US20060075967A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7959769B2 (en) 2004-12-08 2011-06-14 Infinite Power Solutions, Inc. Deposition of LiCoO2
DE602005017512D1 (en) 2004-12-08 2009-12-17 Symmorphix Inc DEPOSIT OF LICOO2
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US7838133B2 (en) 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
JP5590886B2 (en) * 2006-09-26 2014-09-17 アプライド マテリアルズ インコーポレイテッド Fluorine plasma treatment for high-K gate stacks for defect passivation
WO2008039471A2 (en) 2006-09-29 2008-04-03 Infinite Power Solutions, Inc. Masking of and material constraint for depositing battery layers on flexible substrates
US8197781B2 (en) 2006-11-07 2012-06-12 Infinite Power Solutions, Inc. Sputtering target of Li3PO4 and method for producing same
US20080190446A1 (en) * 2007-02-13 2008-08-14 Ranade Rajiv M Control of dry clean process in wafer processing
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US8101444B2 (en) * 2007-08-17 2012-01-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
JP4983575B2 (en) * 2007-11-30 2012-07-25 パナソニック株式会社 Plasma processing apparatus and plasma processing method
US8268488B2 (en) 2007-12-21 2012-09-18 Infinite Power Solutions, Inc. Thin film electrolyte for thin film batteries
TWI441937B (en) 2007-12-21 2014-06-21 Infinite Power Solutions Inc Method for sputter targets for electrolyte films
KR101606183B1 (en) 2008-01-11 2016-03-25 사푸라스트 리써치 엘엘씨 Thin film encapsulation for thin film batteries and other devices
KR101672254B1 (en) 2008-04-02 2016-11-08 사푸라스트 리써치 엘엘씨 Passive over/under voltage control and protection for energy storage devices associated with energy harvesting
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
CN102119454B (en) 2008-08-11 2014-07-30 无穷动力解决方案股份有限公司 Energy device with integral collector surface for electromagnetic energy harvesting and method thereof
KR101214453B1 (en) * 2008-08-13 2012-12-24 프로테우스 디지털 헬스, 인코포레이티드 Ingestible circuitry
KR101613671B1 (en) 2008-09-12 2016-04-19 사푸라스트 리써치 엘엘씨 Energy device with integral conductive surface for data communication via electromagnetic energy and method thereof
US8508193B2 (en) 2008-10-08 2013-08-13 Infinite Power Solutions, Inc. Environmentally-powered wireless sensor module
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US8599572B2 (en) 2009-09-01 2013-12-03 Infinite Power Solutions, Inc. Printed circuit board with integrated thin film battery
US20110209995A1 (en) * 2010-03-01 2011-09-01 Applied Materials, Inc. Physical Vapor Deposition With A Variable Capacitive Tuner and Feedback Circuit
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US20110300432A1 (en) 2010-06-07 2011-12-08 Snyder Shawn W Rechargeable, High-Density Electrochemical Device
JP5722008B2 (en) * 2010-11-24 2015-05-20 株式会社日立国際電気 Semiconductor device manufacturing method, semiconductor device, and substrate processing apparatus
US8861167B2 (en) 2011-05-12 2014-10-14 Global Plasma Solutions, Llc Bipolar ionization device
US9598771B2 (en) * 2011-08-30 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric film defect reduction
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9088085B2 (en) 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
TWI546847B (en) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 Substrate processing device and method for manufacturing a semiconductor device
FR3020718B1 (en) * 2014-05-02 2016-06-03 Ecole Polytech METHOD AND SYSTEM FOR CONTROLLING ION FLOWS IN RF PLASMA
WO2017040150A1 (en) * 2015-08-28 2017-03-09 Dayco Ip Holdings, Inc. Restrictors using the venturi effect
CN108885973B (en) * 2016-03-25 2023-09-08 应用材料公司 Ceramic heater with enhanced RF power transmission
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
US11437221B2 (en) 2017-11-17 2022-09-06 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
TWI804836B (en) * 2017-11-17 2023-06-11 新加坡商Aes 全球公司 Method and system for plasma processing and relevant non-transitory computer-readable medium
US11286565B2 (en) * 2018-12-13 2022-03-29 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
US11837441B2 (en) 2019-05-29 2023-12-05 Lam Research Corporation Depositing a carbon hardmask by high power pulsed low frequency RF
TW202109611A (en) 2019-07-12 2021-03-01 新加坡商Aes全球公司 Bias supply with a single controlled switch
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4947085A (en) * 1987-03-27 1990-08-07 Mitsubishi Denki Kabushiki Kaisha Plasma processor
US5364522A (en) * 1993-03-22 1994-11-15 Liang Wang Boride, carbide, nitride, oxynitride, and silicide infiltrated electrochemical ceramic films and coatings and the method of forming such
US5367225A (en) * 1991-08-27 1994-11-22 Everbrite, Inc. High frequency luminous tube power supply having neon-bubble and mercury-migration suppression
US5825254A (en) * 1996-03-19 1998-10-20 Samsung Electronics Co., Ltd. Frequency converter for outputting a stable frequency by feedback via a phase locked loop

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4207137A (en) * 1979-04-13 1980-06-10 Bell Telephone Laboratories, Incorporated Method of controlling a plasma etching process by monitoring the impedance changes of the RF power
US4500408A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Apparatus for and method of controlling sputter coating
US4695700A (en) * 1984-10-22 1987-09-22 Texas Instruments Incorporated Dual detector system for determining endpoint of plasma etch process
AT388814B (en) * 1985-11-15 1989-09-11 Paar Anton Kg METHOD AND DEVICE FOR PRODUCING AN HF-INDUCED PLASMA PLASMA
JPH0630351B2 (en) * 1987-03-31 1994-04-20 株式会社東芝 Cleaning end point determination method for semiconductor manufacturing equipment
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5256996A (en) * 1987-10-06 1993-10-26 The Board Of Trustees Of The Leland Stanford, Junior University Integrated coplanar strip nonlinear transmission line
US5267020A (en) * 1987-10-06 1993-11-30 Stanford University Gallium arsenide monolithically integrated sampling head using equivalent time sampling having a bandwidth greater than 100 ghz
US5352994A (en) * 1987-10-06 1994-10-04 The Board Of Trustees Of The Leland Stanford Junior University Gallium arsenide monolithically integrated nonlinear transmission line impedance transformer
US5121067A (en) * 1987-10-06 1992-06-09 Board Of Regents Of Leland Stanford University Directional sampling bridge
US5378939A (en) * 1987-10-06 1995-01-03 The Board Of Trustees Of The Leland Stanford Junior University Gallium arsenide monolithically integrated sampling head using equivalent time sampling having a bandwidth greater than 100 Ghz
US4963239A (en) * 1988-01-29 1990-10-16 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
US4837185A (en) * 1988-10-26 1989-06-06 Intel Corporation Pulsed dual radio frequency CVD process
US5155547A (en) * 1990-02-26 1992-10-13 Leco Corporation Power control circuit for inductively coupled plasma atomic emission spectroscopy
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5057185A (en) * 1990-09-27 1991-10-15 Consortium For Surface Processing, Inc. Triode plasma reactor with phase modulated plasma control
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
EP0605980A3 (en) * 1993-01-07 1995-08-02 Ramtron Int Corp Method for depositing silicon nitride and silicon oxynitride films.
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
EP0653501B1 (en) * 1993-11-11 1998-02-04 Nissin Electric Company, Limited Plasma-CVD method and apparatus
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5576629A (en) * 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5633073A (en) * 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4947085A (en) * 1987-03-27 1990-08-07 Mitsubishi Denki Kabushiki Kaisha Plasma processor
US5367225A (en) * 1991-08-27 1994-11-22 Everbrite, Inc. High frequency luminous tube power supply having neon-bubble and mercury-migration suppression
US5364522A (en) * 1993-03-22 1994-11-15 Liang Wang Boride, carbide, nitride, oxynitride, and silicide infiltrated electrochemical ceramic films and coatings and the method of forming such
US5825254A (en) * 1996-03-19 1998-10-20 Samsung Electronics Co., Ltd. Frequency converter for outputting a stable frequency by feedback via a phase locked loop

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
EVERT P. VAN DE VEN et al., "Advantages of Dual Frequency PECVD for Deposition of ILD and Passivation Films", 7TH INTERNATIONAL IEEE VLSI MULTILEVEL INTERCONNECTION CONFERENCE, 12-13 June 1990, Santa Clara, CA. *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1252359A4 (en) * 1999-12-02 2011-01-26 Tegal Corp Improved reactor with heated and textured electrodes and surfaces
WO2001071765A3 (en) * 2000-03-17 2002-01-31 Applied Materials Inc Plasma reactor with overhead rf electrode tuned to the plasma
SG91920A1 (en) * 2000-05-03 2002-10-15 Applied Materials Inc Multiple frequency plasma chamber with grounding capacitor at cathode
US6857387B1 (en) 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
JP2002134484A (en) * 2000-10-19 2002-05-10 Asm Japan Kk Semiconductor substrate holding device

Also Published As

Publication number Publication date
JP2001525601A (en) 2001-12-11
JP4402291B2 (en) 2010-01-20
US6041734A (en) 2000-03-28
US6162709A (en) 2000-12-19

Similar Documents

Publication Publication Date Title
US6041734A (en) Use of an asymmetric waveform to control ion bombardment during substrate processing
US7004107B1 (en) Method and apparatus for monitoring and adjusting chamber impedance
US6098568A (en) Mixed frequency CVD apparatus
US6136388A (en) Substrate processing chamber with tunable impedance
US6294466B1 (en) HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6194038B1 (en) Method for deposition of a conformal layer on a substrate
KR100562206B1 (en) Sequencing of the recipe steps for the optimal low-dielectric constant hdp-cvd processing
US7036453B2 (en) Apparatus for reducing plasma charge damage for plasma processes
KR19980018280A (en) Low Dielectric Constant Silicon Dioxide Sandwich Layer and Forming Method
JPH1167746A (en) Control of oxygen/silane ratio in seasoning process for improving particle characteristic in hop-cvd device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 523394

Kind code of ref document: A

Format of ref document f/p: F