WO1999034429A1 - Method of etching and cleaning using fluorinated carbonyl compounds - Google Patents

Method of etching and cleaning using fluorinated carbonyl compounds Download PDF

Info

Publication number
WO1999034429A1
WO1999034429A1 PCT/US1998/027429 US9827429W WO9934429A1 WO 1999034429 A1 WO1999034429 A1 WO 1999034429A1 US 9827429 W US9827429 W US 9827429W WO 9934429 A1 WO9934429 A1 WO 9934429A1
Authority
WO
WIPO (PCT)
Prior art keywords
etchant
etching
composition
group
compound
Prior art date
Application number
PCT/US1998/027429
Other languages
French (fr)
Inventor
Timothy R. Demmin
Matthew H. Luly
Mohammed A. Fathimulla
Original Assignee
Alliedsignal Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Alliedsignal Inc. filed Critical Alliedsignal Inc.
Priority to AU20110/99A priority Critical patent/AU2011099A/en
Priority to JP2000526968A priority patent/JP2002500444A/en
Priority to EP98964889A priority patent/EP1042800A1/en
Priority to KR1020007007339A priority patent/KR100603654B1/en
Publication of WO1999034429A1 publication Critical patent/WO1999034429A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Definitions

  • the present invention relates generally to dry etching and cleaning. More specifically, this invention relates to the use of a family of fluorinated carbonyl compounds for the plasma etching of semiconductor materials and for the cleaning of apparatus used in the manufacture of semiconductors.
  • BACKGROUND OF THE IIWEIS ⁇ ON Semiconducting devices are manufactured by the repetitive application of four basic operations to a wafer, namely: layering, patterning/etching, doping, and heat treatments.
  • patterning/etching which involves the selective removal of material from the surface of the wafer. More specifically, material is removed by applying a photoresist to a wafer's surface in a particular geometric pattern selectively and then exposing the wafer to a chemical etchant.
  • etching refers to this selective removal of material. There are basically two types of etching, wet and dry. Wet etching involves the use of liquid corrosive reagents that react with the unprotected material on the wafer's surface and form soluble products which are then carried away by a solvent.
  • Dry etching refers to plasma and plasma-generated methods which employ energetic gas molecules, ions, and/or free radicals that remove material from a wafer's unprotected surface either chemically by reacting with the material, or physically by bombarding the surface.
  • This plasma process can be used also to clean surfaces of reactors and other apparatus used in the manufacture of semiconductors.
  • discussion directed to etching and etchants herein applies also to cleaning applications.
  • Other terms commonly applied to these plasma processes include plasma etching, reactive-ion etching, high-density plasma etching, ion milling, reactive ion milling, chemical ion beam etching, and sputter etching.
  • etching chemicals such as, for example, carbon tetrafluoride, hexafluoroethane, perfluoropropane, nitrogen trifluoride, bis(trifluoromethyl) disulfide, and sulfur hexafluoride, and their perfluorinated by-products, such as carbon tetrafluoride and hexafluoroethane, have relatively high Global Warming
  • GWP Global Warming Potential
  • IPCC Intergovernmental Panel on climate Change
  • the present invention fulfills this need among others.
  • the present invention identifies a family of fluorinated carbonyl compounds which are useful as etchant compounds and which are environmentally acceptable.
  • the family of fluorinated carbonyl compounds includes compounds having one of the following two formulas:
  • etchant compounds regardless of their use as etchants or cleaners, tend to have low GWPs and to form by-products having low-GWP during plasma etching/cleaning such that the reaction effluent of unreacted compounds and reaction by-products is relatively benign to the environment.
  • these etchant compounds react surprisingly well with a variety of materials under plasma etching conditions.
  • Such materials include, but are not limited to: dielectrics such as carbides, borides and suicides of metals or semi-metals, for example, tungsten silicide; insulators, such as oxides, nitrides of metals or semi-metals, for example, silicon dioxide, silicon nitride, silicon oxynitride, boronphosphorus silicate glass, and fluorosilicate glass; III-V semiconductor compounds such as indium phosphide; elemental materials, such as silicon, polycrystalline silicon, tungsten, titanium, vanadium, germanium, silicon-germanium; and combination of two or more thereof.
  • One aspect of the invention is the provision of a method for etching using the above-identified etchant compounds.
  • the method comprises subjecting a material under plasma etching conditions to an etching composition comprising an etchant compound of Formula (1) wherein m&n >0 or an etchant compound of Formula (2).
  • the method comprises subjecting a non-silicon or non-silicon dioxide material under plasma etching conditions to an etching composition comprising an etchant compound of Formula (1) or (2).
  • the cleaning method comprises subjecting the accumulated film or residue under plasma cleaning conditions to an etching composition which is substantially free from traditional high-GWP etchant chemicals and which comprises an etchant compound of Formula (1) or (2).
  • composition comprising the above-identified etchant compounds.
  • the composition comprises an etchant compound of Formula (1) or
  • the various aspects of the present invention relate to the identification of the fluorinated carbonyl compounds of Formulas (1) and (2) as effective etchant compounds for use in etching/cleaning compositions.
  • the etching or cleaning composition of the present composition minimizes the use of traditional etchants.
  • the composition is substantially free of perfluorinated etchants which have a GWP of greater than 5000 and sulfur-based etchants which have perfluorinated side chains and which have a GWP of greater than 1500.
  • substantially free refers to a concentration by volume in the etching composition of no greater than about 1 % , and preferably no greater than 0.1 % .
  • the etchant compound preferably has a GWP of no greater than about 1500, more preferably no greater than about 1000, and even more preferably no greater than about 500.
  • the etchant compound of the present invention has essentially no GWP.
  • the "GWP" of a composition refers to a weighted average of the GWPs of the composition's constituents. For example, a composition comprising 60% by weight of a 1000 GWP compound and 40% by weight of a 500 GWP compound would have a GWP of 800.
  • the GWP refers to a weighted average of the GWPs of the composition's constituents. For example, a composition comprising 60% by weight of a 1000 GWP compound and 40% by weight of a 500 GWP compound would have a GWP of 800.
  • the GWP refers to a weighted average of the GWPs of the composition's constituents. For example, a composition comprising 60% by weight of a 1000 GWP compound
  • GWP of the etching composition is no greater than about 3,000, more preferably no greater than 1500, still more preferably no greater than about 1000, even more preferably no greater than about 500, and yet still more preferably no greater than about 100.
  • the etchant compounds for use in the present invention not only contribute little to the composition's GWP, but also tend to be consumed with such efficiency that a relatively small amount of unreacted etchant compound exits in the reaction effluent. Additionally, these compounds dissociate and/or react producing a low- GWP effluent.
  • the "GWP" of effluent refers to a weighted average of the GWPs of the effluent's constituents.
  • the effluent contains relatively small amounts of traditional high-GWP reaction products such as CF 4 .
  • oxalyl fluoride reacts essentially completely and its break-down compounds include HF, CO 2 and CO, all of which are relatively benign to the environment.
  • the effluent has a GWP of no greater than about 5000, more preferably no greater than about 3000, still more preferably no greater than about 1500, yet more preferably no greater than about 1000, yet even more preferably no greater than about 500, and still yet more preferably no greater than
  • the etching/cleaning composition of the present invention and a substantial portion of the reaction products formed therefrom are also amenable to water scrubbing using conventional scrubbing technology and chemical systems.
  • commonly-used etchants CF 4 are also amenable to water scrubbing using conventional scrubbing technology and chemical systems.
  • etching/cleaning compositions of the present invention can be used with little fear of contributing to global warming and without the need for complex and costly capture/recycle and/or abatement systems.
  • the etchant compounds for use in the present invention are commercially- available or can be readily synthesized from commercially-available starting materials. For example, U.S. Patent No.
  • the amount of etchant compound(s) in the etching/cleaning composition should be sufficient to impart the desired degree of etching capability. It is believed that, for most applications, the concentration of the etchant compound in the etching composition should be no less than about 1 % by volume. Preferably, the etchant compound in the etching composition should comprise by volume no less than about 20%, and more preferably no less than about 50% .
  • the etching/cleaning composition of the present invention may comprise other constituents in addition to etchant compound(s).
  • the additional constituents may be used to aid etching and/or impart "selectivity.”
  • selectivity refers to the ability of the etching composition to etch one material at a different etch rate than another material.
  • etching composition etches the underlying material at a greater rate than the photoresist.
  • the etchant compounds for use in the present invention possess this "photoresist" selectivity.
  • Etchant-modifiers and their function are well known in the art (see, for example, Zhang et al., Fluorocarbon High Density Plasmas. VII. Investigation of Selective Si0 2 -to-Si 3 N 4 High Density Plasma Etch Processes, J. VAC. SCI. TECHNOL A14(4) (Jul/Aug 1996)). Consequently, one skilled in the art can determine the types and amounts of etchant-modifiers to use to achieve desired selectivity.
  • the addition of hydrogen and/or hydrogen-containing compounds, such as hydrocarbons and hydrofluorocarbons, to the etching composition tends to enhance polymerization on the surface of certain materials and not others. Polymerization tends to retard etch rates.
  • oxalyl fluoride alone does not exhibit much selectivity between materials, but when used with other hydrogen-containing gases it tends to exhibit favorable etch selectivities toward materials used in manufacturing semiconductors, such as polycrystalline Si, single crystal Si, SiO 2 , and Si 3 N 4 .
  • the addition of nitrogen to the etching composition tends to alter the chemistry of the plasma reaction thereby increasing polymerization on certain surfaces. Other compounds such as oxygen tend to increase the etch rate of oxygen-containing materials such as SiC ⁇ .
  • the etchant-modifier comprises a compound selected from the group consisting of O 2 , H 2 , N 2 , C,-C 5 hydrocarbons, C,-C 5 hydrofluorocarbons (HFCs), C C 4 perfluorocarbons, and a compound, different from the etchant compound, but having a general formula selected from Formula (1) or (2) wherein at least one of R 1 , R 2 , or R 4"7 is hydrogen. More preferably, the etchant-modifier is neither included in Formulas (1) and (2), nor is it a C 2 -C 4 perfluorocarbon. Still more preferably, the etchant-modifier is selected from O 2 ,
  • HFCs are preferred as etchant-modifiers due to their lower GWP and polymerizing capability.
  • the etchant- modifier is an HFC, such as, for example, an iso er of pentafluoropropane, hexafluoropropane, tetrafluoroethane, and pentafluoroethane.
  • the HFC is either 1, 1, 1 ,3,3-pentafluoropropane or 1 , 1, 1 ,3,3,3-hexafluoropropane.
  • the amount of etchant-modifier present in the etching/cleaning composition should be sufficient to impart the desired selectivity between materials. It has been found that effective selectivity is obtained wherein the concentration of the etchant-modifier in the etching composition by volume ranges from about 0.1 to about 99%, and more preferably, from about 5 to about 60%.
  • etching composition may be preferable also to include other constituents in the etching composition.
  • a entraining carrier gas such as argon, helium or mixtures thereof, especially to impart volatility to etching composition which have low vapor pressure (alternatively, liquid-feed systems may be employed to introduce low vapor pressure etching compositions).
  • a high ionization energy gas such as, for example, argon, helium, or mixtures thereof to the process to enhance ion bombardment of the material's surface.
  • the amount of entraining carrier gas or high energy gas present in the etching/cleaning composition should be sufficient to impart the desired volatility or additional ion concentration. It is believed that, for most applications, suitable results will be obtained with an etching composition comprising by volume from about 0.1 to about 99% and, preferably, from about 5 to about 60% of the carrier or high energy gas.
  • etching method of the present invention conventional apparatus and techniques can be employed.
  • one or more wafers are placed in a chamber and the pressure is reduced by a vacuum pump.
  • a plasma is formed by introducing a suitable etching composition into a low-pressure chamber and then applying an RF field to the contents in the chamber.
  • the energized species of the etching composition attack the material to be removed either physically by bombarding the surface or chemically by forming a volatile material, such as SiF 4 , which can be pumped from the vacuum chamber.
  • the process is stopped when the desired amount of material has been removed from the wafer surface.
  • An overview of plasma etching is provided in W. KERN, THIN FILM PROCESS (1978) and in PLASMA ETCHING & INTRODUCTION (B.M. Manos et al. eds. 1989).
  • Exemplary operating conditions include etching gas flow rates from about 1 to about 500 standard cubic centimeters per minute (seem); wafer temperatures from about -200 to about 200°C; pressures from about 0.05 to about 500 mTorr; power from about 20 to about 5000 watts; and a bias voltage across the wafer or article being etched/cleaned ranging from about 1 to about 500 volts DC.
  • the time of etching depends upon the desired amount of material to be removed and ranges from seconds to hours.
  • the first eight examples show the etching capability of oxalyl fluoride on various materials under different operating conditions.
  • the specific conditions and average etch rates are set forth in Table 1 below.
  • This example shows that oxalyl fluoride has sufficient selectivity to etch silicon rather than the photoresist and is practical for the manufacture of semiconductors.
  • a silicon wafer was coated with photoresist, patterned, and etched under conditions similar to Example 5. The photoresist was then removed after five minutes. Analysis of the resulting structure using an electron microscope revealed that the silicon etched at a greater rate than the photoresist.
  • compositions are within the scope of the invention and comprise 20% by volume of oxalyl fluoride and 80% by volume of the indicated etchant-modifier: iple No. Etchant-modifier
  • compositions selectively etch one material over another, and are suitable for etching different substrates of a wafer, for example, SiOj over Si.

Abstract

A method comprising etching a material under plasma etching conditions using an etching composition which has a GWP of no greater than about 3000 and which comprises at least one etchant compound having a formula selected from the group consisting of F-CO-[(CR1R2)m-CO]n-F and F-CO-R3-CO-F, and wherein: m = 0, 1, 2, 3, 4, or 5; n = 1; R?1 and R2¿ represent H, F or C¿x?HyFz; wherein: x = 1 or 2; and y+z =2x+1; R?3¿ represents CR?4 = CR5, R6R7¿C = C or C C; wherein: R4-7 represent H, F, or C¿x?HyFz; wherein: x = 1 or 2; and y+z = 2x+1; and also including the cleaning of a surface by use of an etchant compound, and further including an etching composition which includes said etchant compound and also an etchant-modifier.

Description

METHOD OF ETCHING AND CLEANING USING FLUORINATED CARBONYL COMPOUNDS
FϊF-τ-n OF INVENTI N The present invention relates generally to dry etching and cleaning. More specifically, this invention relates to the use of a family of fluorinated carbonyl compounds for the plasma etching of semiconductor materials and for the cleaning of apparatus used in the manufacture of semiconductors.
BACKGROUND OF THE IIWEISΓΠON Semiconducting devices are manufactured by the repetitive application of four basic operations to a wafer, namely: layering, patterning/etching, doping, and heat treatments. Of particular interest herein is patterning/etching which involves the selective removal of material from the surface of the wafer. More specifically, material is removed by applying a photoresist to a wafer's surface in a particular geometric pattern selectively and then exposing the wafer to a chemical etchant.
Those areas of the wafer that are covered by the photoresist are protected from the etchant, while those that are left exposed are removed to some degree by the etchant. Consequently, material is removed in a geometrical pattern as defined by the photoresist. The term "etching" refers to this selective removal of material. There are basically two types of etching, wet and dry. Wet etching involves the use of liquid corrosive reagents that react with the unprotected material on the wafer's surface and form soluble products which are then carried away by a solvent. Dry etching refers to plasma and plasma-generated methods which employ energetic gas molecules, ions, and/or free radicals that remove material from a wafer's unprotected surface either chemically by reacting with the material, or physically by bombarding the surface. This plasma process can be used also to clean surfaces of reactors and other apparatus used in the manufacture of semiconductors. Thus, discussion directed to etching and etchants herein applies also to cleaning applications. Other terms commonly applied to these plasma processes include plasma etching, reactive-ion etching, high-density plasma etching, ion milling, reactive ion milling, chemical ion beam etching, and sputter etching. Recently, the industry has been moving away from wet etching and toward dry etching due to the more refined patterning control the latter offers. As the semiconductor industry moves toward dry etching, environmental concerns grow over the use and disposal of the etching chemicals used and the byproducts which are formed. In etching, a portion of the etching chemical tends not to react and exits in the effluent from the reaction, along with various reaction by-products. Venting of such etching chemicals is coming under increased scrutiny.
Traditional etching chemicals, such as, for example, carbon tetrafluoride, hexafluoroethane, perfluoropropane, nitrogen trifluoride, bis(trifluoromethyl) disulfide, and sulfur hexafluoride, and their perfluorinated by-products, such as carbon tetrafluoride and hexafluoroethane, have relatively high Global Warming
Potentials. Global Warming Potential (herein "GWP") refers to a compound's ability, relative to CO2, to contribute to global warming. GWP is a calculated value based on a compound's estimated atmospheric lifetime and its ability to absorb infrared radiation. GWPs are reported by the Intergovernmental Panel on Climate Change (IPCC) for different time horizons, with a lOO-year horizon being the most common. As used herein, a GWP is based on a lOO-year horizon unless otherwise stated. Increasingly, governments and international treaties are requiring that the venting of such high-GWP chemicals be reduced or eliminated. As a consequence of these restrictions, the commercial-availability of these chemicals for semiconductor fabrication is suffering.
Currently, attempts to alleviate the environmental concerns associated with plasma etching and cleaning fall into one of four categories: (1) optimizing etching and/or cleaning processes such that lower amounts of GWP chemicals are emitted into the atmosphere; (2) recycling etching and/or cleaning chemicals from an exhaust stream so that they can be disposed of properly or reused without atmospheric emissions; (3) abating etching and/or cleaning chemicals in exhaust streams by chemical reaction or burn boxes which incinerate and render the unreacted etching and/or cleaning chemical effluents inoffensive, particularly with regard to GWP; and (4) selecting or developing various replacement chemicals for etching and/or cleaning duty. Regarding the first approach, advancements in optimizing the etching and cleaning processes to reduce releases are being made continuously; however, the advancements are not capable of reducing emissions to acceptable levels. Regarding the recycling and abating approaches, although emissions can be reduced, the cost of implementation is relatively high, and, again, the reduction levels still tend to be inadequate. Therefore, replacing the traditional etching chemicals with environmentally-acceptable substitutes appears to be a solution that should be considered.
Accordingly, a need exists for etching and cleaning compositions which have acceptable performance, but which do not contribute significantly to global warming when by-products or unreacted chemicals are vented to the atmosphere.
The present invention fulfills this need among others.
D-ESCRΓPTION OF THE INVENTION AND PREFERRED EMBODIMENTS
The present invention identifies a family of fluorinated carbonyl compounds which are useful as etchant compounds and which are environmentally acceptable.
More specifically, the family of fluorinated carbonyl compounds includes compounds having one of the following two formulas:
F-CO-[(CR'R2)m-CO]n-F (1) wherein: m= 0, 1, 2, 3, 4, or 5 n= 0 or 1
R1 & R2 represent H, F or CxHyF--; wherein: x = l or 2; and y+z=2x + l; F-CO-R3-CO-F (2) wherein:
R3 represents CR =CR5, R6R7C=C or C ≡ C; wherein: R4"7 represent H, F, or CxHyF-.; wherein: x = l or 2; and y+z=2x+ l. These compounds, herein referred to as "etchant compounds," regardless of their use as etchants or cleaners, tend to have low GWPs and to form by-products having low-GWP during plasma etching/cleaning such that the reaction effluent of unreacted compounds and reaction by-products is relatively benign to the environment. In addition to being environmentally acceptable, these etchant compounds react surprisingly well with a variety of materials under plasma etching conditions. Examples of such materials include, but are not limited to: dielectrics such as carbides, borides and suicides of metals or semi-metals, for example, tungsten silicide; insulators, such as oxides, nitrides of metals or semi-metals, for example, silicon dioxide, silicon nitride, silicon oxynitride, boronphosphorus silicate glass, and fluorosilicate glass; III-V semiconductor compounds such as indium phosphide; elemental materials, such as silicon, polycrystalline silicon, tungsten, titanium, vanadium, germanium, silicon-germanium; and combination of two or more thereof. One aspect of the invention is the provision of a method for etching using the above-identified etchant compounds. In one embodiment, the method comprises subjecting a material under plasma etching conditions to an etching composition which has a GWP of no greater than about 3000 and which comprises an etchant compound of Formula (1) wherein n = l or an etchant compound of Formula (2). In another embodiment, the method comprises subjecting a material under plasma etching conditions to an etching composition comprising an etchant compound of Formula (1) wherein m&n >0 or an etchant compound of Formula (2). In yet another embodiment, the method comprises subjecting a non-silicon or non-silicon dioxide material under plasma etching conditions to an etching composition comprising an etchant compound of Formula (1) or (2).
Another aspect of the invention is the provision of a method for cleaning accumulated films or residue from a surface of an apparatus, such as an etching chamber and other semiconductor processing device, using the above-identified etchant compounds. In a preferred embodiment, the cleaning method comprises subjecting the accumulated film or residue under plasma cleaning conditions to an etching composition which is substantially free from traditional high-GWP etchant chemicals and which comprises an etchant compound of Formula (1) or (2).
Yet another aspect of the invention is the provision of an etching/cleaning composition comprising the above-identified etchant compounds. In a preferred embodiment, the composition comprises an etchant compound of Formula (1) or
(2) and another material, different from the etchant compound, to enhance or modify the etching characteristics of the etchant compound.
The various aspects of the present invention relate to the identification of the fluorinated carbonyl compounds of Formulas (1) and (2) as effective etchant compounds for use in etching/cleaning compositions. In a preferred embodiment, the etchant compound is selected either from Formula (1) wherein: at least one of R1 or R2 is fluorine or fluorine-containing; and n = l; or from Formula (2) wherein one of R4"7 is fluorine or fluorine-containing. More preferably, the etchant compound is selected from Formula (1) wherein: n = l; m=0, 1, 2, or 3; and R1 & R2 =F; thereby simplifying Formula (1) to F-CO-(CF2)m-CO-F. In the most preferred embodiment, the etchant compound is oxalyl fluoride (Formula (1) wherein m=0 and n = l).
It has been found that these etchant compounds, upon decomposition under plasma etching conditions, are capable of producing reactive species that tend to react chemically with the surface of a wide variety of materials to form volatile compounds. Until now, this capability has not been appreciated. Although oxalyl fluoride (C2O2F2 or F-CO-CO-F), a highly preferred compound for use in the present invention, has been used as an etcham-modifier in an etching composition to etch SiO2 over Si (see U.S. Patent Nos. 5,445,712 and 5,376,234), it was not recognized as an effective etchant itself. Additionally, carbonyl difluoride (F-CO-
F of CO-F7), another preferred compound of the present invention, has been combined with oxygen to etch SiO2 over Si (see J. W. Corban, Eric Kay, Some Chemical Aspects of the Fluorocarbon plasma Etching of Silicon and its Compounds, 23.1 IBM J. DEVELOP. 33 (Jan. 1979)), but its ability to etch a wide variety of non-silicon or non-silicon dioxide materials was not recognized. The etchant compounds for use in the present invention have a low GWP. Prior art etching compositions tend to have high GWPs due to the use of perfluorinated or sulfur-based etchants. Examples of these traditional etchants are compared below to oxalyl fluoride (C2O2F2), a preferred etchant compound for use in the present invention:
Etchant GWP
C2O2F2 0
SF6 23900
CF3SCF3 1900
CF4 6500
C2F6 9200
CHF3 11700
It is clear that oxalyl fluoride, having 0 GWP, is far more environmentally acceptable than the traditionally-used etchants. In a preferred embodiment, the etching or cleaning composition of the present composition minimizes the use of traditional etchants. Preferably, the composition is substantially free of perfluorinated etchants which have a GWP of greater than 5000 and sulfur-based etchants which have perfluorinated side chains and which have a GWP of greater than 1500. "Substantially free" as used herein refers to a concentration by volume in the etching composition of no greater than about 1 % , and preferably no greater than 0.1 % . The etchant compound preferably has a GWP of no greater than about 1500, more preferably no greater than about 1000, and even more preferably no greater than about 500. In the most preferred embodiment, the etchant compound of the present invention has essentially no GWP. Pursuant to the present invention, it is possible to formulate from these etchant compounds etching or cleaning compositions which have low GWP. As used herein, the "GWP" of a composition refers to a weighted average of the GWPs of the composition's constituents. For example, a composition comprising 60% by weight of a 1000 GWP compound and 40% by weight of a 500 GWP compound would have a GWP of 800. In another preferred embodiment, the
GWP of the etching composition is no greater than about 3,000, more preferably no greater than 1500, still more preferably no greater than about 1000, even more preferably no greater than about 500, and yet still more preferably no greater than about 100.
The etchant compounds for use in the present invention not only contribute little to the composition's GWP, but also tend to be consumed with such efficiency that a relatively small amount of unreacted etchant compound exits in the reaction effluent. Additionally, these compounds dissociate and/or react producing a low- GWP effluent. The "GWP" of effluent refers to a weighted average of the GWPs of the effluent's constituents. In the preferred embodiment, the effluent contains relatively small amounts of traditional high-GWP reaction products such as CF4. For example, oxalyl fluoride reacts essentially completely and its break-down compounds include HF, CO2 and CO, all of which are relatively benign to the environment. Preferably, the effluent has a GWP of no greater than about 5000, more preferably no greater than about 3000, still more preferably no greater than about 1500, yet more preferably no greater than about 1000, yet even more preferably no greater than about 500, and still yet more preferably no greater than
100.
Aside from having low GWPs, the etching/cleaning composition of the present invention and a substantial portion of the reaction products formed therefrom are also amenable to water scrubbing using conventional scrubbing technology and chemical systems. By comparison, commonly-used etchants CF4,
C2F6, and C3F8 are only partially consumed and a substantial amount of the perfluorocarbons are present in the effluent. These perfluorocarbons are neither environmentally acceptable (as indicated by their GWPs above), nor readily removed by scrubbing. Therefore, due to their low GWP and the ability of their effluent to be more efficiently scrubbed, the etching/cleaning compositions of the present invention can be used with little fear of contributing to global warming and without the need for complex and costly capture/recycle and/or abatement systems. The etchant compounds for use in the present invention are commercially- available or can be readily synthesized from commercially-available starting materials. For example, U.S. Patent No. 4,260,561 issued to Franz discloses the preparation of fluorinated carbonyl difluorides by fluorination of the corresponding carbonyl dichlorides with hydrogen fluoride. Additionally, Japanese Patent 99358- 1994 issued to Tokuyama et al. teaches a method of making oxalyl fluoride by reacting oxalyl chloride in a non-protonic solvent using alkali fluorides.
The amount of etchant compound(s) in the etching/cleaning composition should be sufficient to impart the desired degree of etching capability. It is believed that, for most applications, the concentration of the etchant compound in the etching composition should be no less than about 1 % by volume. Preferably, the etchant compound in the etching composition should comprise by volume no less than about 20%, and more preferably no less than about 50% .
The etching/cleaning composition of the present invention may comprise other constituents in addition to etchant compound(s). The additional constituents may be used to aid etching and/or impart "selectivity." The term "selectivity" refers to the ability of the etching composition to etch one material at a different etch rate than another material. Although the ability of the etchant compounds to react with a variety of materials indiscriminately renders a composition of just said etchants well suited for applications like cleaning, where it is desirable to remove all types of films and residues equally, it may lack adequate selectivity for etching applications. At a minimum, some degree of selectivity is needed in etching applications such that the etching composition etches the underlying material at a greater rate than the photoresist. The etchant compounds for use in the present invention possess this "photoresist" selectivity. There are some applications, however, that require selectivity between different materials of the substrate to be etched. To impart such selectivity, it may be desirable to add at least one etchant-modifier to the etching composition. Etchant-modifiers and their function are well known in the art (see, for example, Zhang et al., Fluorocarbon High Density Plasmas. VII. Investigation of Selective Si02-to-Si3N4 High Density Plasma Etch Processes, J. VAC. SCI. TECHNOL A14(4) (Jul/Aug 1996)). Consequently, one skilled in the art can determine the types and amounts of etchant-modifiers to use to achieve desired selectivity.
For example, the addition of hydrogen and/or hydrogen-containing compounds, such as hydrocarbons and hydrofluorocarbons, to the etching composition tends to enhance polymerization on the surface of certain materials and not others. Polymerization tends to retard etch rates. For example, oxalyl fluoride alone does not exhibit much selectivity between materials, but when used with other hydrogen-containing gases it tends to exhibit favorable etch selectivities toward materials used in manufacturing semiconductors, such as polycrystalline Si, single crystal Si, SiO2, and Si3N4. In a similar fashion, the addition of nitrogen to the etching composition tends to alter the chemistry of the plasma reaction thereby increasing polymerization on certain surfaces. Other compounds such as oxygen tend to increase the etch rate of oxygen-containing materials such as SiC^.
In a preferred embodiment, the etchant-modifier comprises a compound selected from the group consisting of O2, H2, N2, C,-C5 hydrocarbons, C,-C5 hydrofluorocarbons (HFCs), C C4 perfluorocarbons, and a compound, different from the etchant compound, but having a general formula selected from Formula (1) or (2) wherein at least one of R1, R2, or R4"7 is hydrogen. More preferably, the etchant-modifier is neither included in Formulas (1) and (2), nor is it a C2-C4 perfluorocarbon. Still more preferably, the etchant-modifier is selected from O2,
H2, N2, CH4, and C2-C4 HFCs.
Unlike conventional etching compounds where perfluorocarbons are preferred for their high-fluorine content, in the composition of the present invention, HFCs are preferred as etchant-modifiers due to their lower GWP and polymerizing capability. In an even more preferred embodiment, the etchant- modifier is an HFC, such as, for example, an iso er of pentafluoropropane, hexafluoropropane, tetrafluoroethane, and pentafluoroethane. In yet a more preferred embodiment, the HFC is either 1, 1, 1 ,3,3-pentafluoropropane or 1 , 1, 1 ,3,3,3-hexafluoropropane. The amount of etchant-modifier present in the etching/cleaning composition should be sufficient to impart the desired selectivity between materials. It has been found that effective selectivity is obtained wherein the concentration of the etchant-modifier in the etching composition by volume ranges from about 0.1 to about 99%, and more preferably, from about 5 to about 60%.
It may be preferable also to include other constituents in the etching composition. For example, it may be beneficial to introduce the etchant compound into the etching apparatus using a entraining carrier gas, such as argon, helium or mixtures thereof, especially to impart volatility to etching composition which have low vapor pressure (alternatively, liquid-feed systems may be employed to introduce low vapor pressure etching compositions). In addition, it may be desirable to add a high ionization energy gas, such as, for example, argon, helium, or mixtures thereof to the process to enhance ion bombardment of the material's surface. The amount of entraining carrier gas or high energy gas present in the etching/cleaning composition should be sufficient to impart the desired volatility or additional ion concentration. It is believed that, for most applications, suitable results will be obtained with an etching composition comprising by volume from about 0.1 to about 99% and, preferably, from about 5 to about 60% of the carrier or high energy gas.
In effecting the etching method of the present invention, conventional apparatus and techniques can be employed. Generally, to etch a substrate, one or more wafers are placed in a chamber and the pressure is reduced by a vacuum pump. A plasma is formed by introducing a suitable etching composition into a low-pressure chamber and then applying an RF field to the contents in the chamber. In this state, the energized species of the etching composition attack the material to be removed either physically by bombarding the surface or chemically by forming a volatile material, such as SiF4, which can be pumped from the vacuum chamber. The process is stopped when the desired amount of material has been removed from the wafer surface. An overview of plasma etching is provided in W. KERN, THIN FILM PROCESS (1978) and in PLASMA ETCHING & INTRODUCTION (B.M. Manos et al. eds. 1989).
As is well known, there are many operating conditions of a plasma etching process that can have an effect on the results obtained. These conditions include, for example, the type of plasma etching (for example, reactive ion etching, plasma etching, and high-density etching), etching composition flow rate, wafer temperature, pressure, power, time, and bias. The interrelationship of these parameters is a function of the hardware configuration and the material being etched. One skilled in the art of plasma etching and cleaning can vary these parameters accordingly to etch a desired material satisfactorily. Exemplary operating conditions include etching gas flow rates from about 1 to about 500 standard cubic centimeters per minute (seem); wafer temperatures from about -200 to about 200°C; pressures from about 0.05 to about 500 mTorr; power from about 20 to about 5000 watts; and a bias voltage across the wafer or article being etched/cleaned ranging from about 1 to about 500 volts DC. The time of etching depends upon the desired amount of material to be removed and ranges from seconds to hours. In the use of oxalyl fluoride, it has been found that effective etching can be achieved using the reactive ion etch method with an etching gas flow rate of about 1 to about 200 seem, preferably about 5 to about 100 seem; a pressure of about 0.05 to about 20 mTorr, preferably about 0.1 to about 10 mTorr; a wafer temperature of about 0 to about 150°C, preferably about room temperature; power from about 100 to about 1000 watts, preferably about 300 to about 700 watts; and a bias of about 10 to about 200 volts DC, preferably from about 25 to about 175 volts DC. The following examples are illustrative of the practice of the present invention. EXAMPLES Examples 1-8
The first eight examples show the etching capability of oxalyl fluoride on various materials under different operating conditions. The specific conditions and average etch rates are set forth in Table 1 below.
Tests were performed in a lock load Plasma Therm electron cyclotron resonance (ECR) etcher with an ASTeX® source using wafers layered with different materials. In each experiment, wafers of single crystal silicon, polysilicon (10,000 A thick, LPCVD deposited on a SiO2 coated Si wafer), silicon dioxide (5000 A thick, LPCVD deposited on a Si wafer), and silicon nitride
(LPCVD deposited on Si, 5000 A thick) were placed in the chamber. A mass flow meter was used to introduce a continuous flow of 20 seem of oxalyl fluoride. The wafer temperature was about room temperature. Certain etching conditions were varied for each experiment as indicated Table 1. In each test, etching was stopped after 5 minutes and the amount of material removed was measured at seven positions on each wafer by ellipsometry and the etch rate was calculated based on the average amount of material removal. Table 1 below shows the results.
Table 1. Etch Conditions and Average Etch Rates
Figure imgf000015_0001
The above examples show that materials commonly used in the fabrication of semiconductors are efficiently etched by plasma etching using oxalyl fluoride. Moreover, the results indicate that etching can be performed successfully under a wide variety of conditions, and that these conditions can be changed to achieve desired results.
In addition to the results set forth in Table 1, the vacuum chamber was examined after these experiments and no deposits were found on the walls. This evidenced another advantage of oxalyl fluoride as an etchant compound—longer times between equipment cleaning.
Example 9
This example shows that oxalyl fluoride has sufficient selectivity to etch silicon rather than the photoresist and is practical for the manufacture of semiconductors. A silicon wafer was coated with photoresist, patterned, and etched under conditions similar to Example 5. The photoresist was then removed after five minutes. Analysis of the resulting structure using an electron microscope revealed that the silicon etched at a greater rate than the photoresist.
Examples 10-14
These examples show the increased selectivity by adding etchant-modifiers to the etching composition. The following exemplary compositions are within the scope of the invention and comprise 20% by volume of oxalyl fluoride and 80% by volume of the indicated etchant-modifier: iple No. Etchant-modifier
10 CH-,
11 o2
12 H2
13 CF3CH2CHF3
14 F-CO-CH2-CO-F
These compositions selectively etch one material over another, and are suitable for etching different substrates of a wafer, for example, SiOj over Si.

Claims

CLAIMSWHAT IS CLAIMED IS
1. A method of etching comprising subjecting a material under plasma etching conditions to an etching composition having a GWP of no greater than about 3000 and comprising at least one etchant compound having a formula selected from the group consisting of F-CO-[(CR,R2)m-CO]n-F and F-CO-R3-CO-F, and wherein: m= 0, 1, 2, 3, 4, or 5; n= 1;
R1 & R2 represent H, F or C-HyF.,; wherein: x = l or 2; and y+z=2x + l ; R3 represents CR4=CR5, R┬░R7C=C or C Γëí C; wherein: R4"7 represent H, F, or CxHyFz; wherein: x = l or 2; and y+z=2x+ l.
2. The method of claim 1 , wherein said etching composition has a GWP of no greater than about 1500.
3. The method of claim 3, wherein said etching composition has a GWP of no greater than about 1000.
4. The method of claim 3, wherein said etchant compound has the formula F-CO-[(CR1R2)ra-CO]n-F and wherein m =0, 1, 2, or 3; and R1 & R2 =F.
5. The method of claim 4, wherein said etchant compound has a formula selected from the group consisting of F-CO-CO-F and F-CO-(CF2)n,-CO-F.
6. The method of claim 5, wherein said etchant compound has the formula F-CO-CO-F.
7. The method of claim 1, wherein said etching composition further comprises at least one etchant-modifier to impart selectivity to the plasma etching.
8. The composition of claim 7, wherein said etchant-modifier is selected from the group consisting of 02, H2, N2, C,-C4 hydrocarbons, C,-C4 perfluorocarbons, and C,-C5 hydrofluorocarbons.
9. The method of claim 8, wherein said etchant-modifier comprises at least one hydrofluorocarbon selected from the group consisting of pentafluoropropane, hexafluoropropane, tetrafluoroethane, and pentafluoroethane, and combinations of two or more thereof.
10. The method of claim 7, wherein said etchant-modifier is a different compound from said etchant compound and is selected from the group consisting of consisting of F-CO-[(CR'R2)rn-CO]n-F and F-CO-R3-CO-F, and wherein: m= 1, 2, 3, 4, or 5; n= 0 or l;
R1 & R2 represent H, F or C-Η.-F-.; wherein: x = 1 or 2; and y+z=2x+l; R3 represents CR4=CR5, R6R7C = C or C ≡C; wherein: R4-7 = H, F, or CxHyFz; wherein: x = l or 2; and y+z=2x + l; and wherein at least one of R1, R2, and R4"7 is hydrogen or hydrogen containing.
11. The method of claim 1 , wherein said material is selected from the group consisting of: dielectrics selected from the groups consisting of carbides, borides and suicides of metals or semi-metals; insulators selected from the group consisting of oxides, nitrides of metals or semi-metals, boronphosphoms silicate glass, and fluorosilicate glass; III-V semiconductor compounds; elemental materials selected from the group consisting of silicon, polycrystalline silicon, tungsten, titanium, vanadium, germanium, and silicon-germanium; and combination of two or more thereof.
12. The method of claim 1 , wherein said material comprises one or more layers of a semiconductor.
13. A method of etching comprising subjecting a material under plasma etching conditions to an etching composition which is substantially free of fluorocarbon compounds that have a GWP of greater than 5000 and sulfur-based compounds that have perfluorinated side chains and that have a GWP of greater than about 1500, and which comprises at least one etchant compound having a formula selected from the group consisting of F-CO-[(CR'R2)m-CO]n-F and F-CO-R3-CO-F, and wherein: m= 0, 1, 2, 3, 4, or 5; n= 1;
R' & R2 represent H, F or C-H-f..; wherein: x= l or 2; and y+z=2x+ l; R3 represents CR4 = CR5, R6R7C = C or C ΓëíC; wherein: R4'7 represent H, F, or C-.H..F-.; wherein: x = 1 or 2; and y+z=2x + l.
14. The method of claim 13, wherein said etching composition further comprises an etchant-modifier selected from the group consisting of O2, H2, N2, CH-,, C,-C5 hydrofluorocarbons .
15. A method of plasma etching the surface of a non-silicon or non-silicon dioxide material using an etching composition which comprises at least one etchant compound having a formula selected from the group consisting of F-CO-[(CRlR2)m-CO]0-F and
F-CO-R3-CO-F, and wherein: m= 0, 1, 2, 3, 4, or 5; n = 0 or l ;
R1 &. R: represent H, F or C-H.F-.; wherein: x = l or 2; and y+z=2x+ l; R3 represents CR4=CR5, R6R7C=C or CΓëí C; wherein: R4-7 represent H, F, or C-.HyFz; wherein: x = l or 2; and y+z=2x+ l.
16 A method of plasma etching the surface of a material using an etching composition which comprises at least one etchant compound having a formula selected from the group consisting of F-CO-[(CR'R2)m-CO]n-F and F-CO-R3-CO-F, and wherein: m= 1, 2, 3, 4, or 5; n= 1;
R1 & R2 represent H, F or C-HyF-/, wherein: x = l or 2; and y + z=2x+ l ; R3 represents CR4=CR5, R6R7C = C or C Γëí C; wherein: R4"7 represent H, F, or C-.HyF2; wherein: x = 1 or 2; and y+z=2x+l.
17. The method of claim 16, wherein said etchant etches Si3N4 at an etch rate of about 250 to about 300 (A/min) at a plasma power of about 350 watts @ 2.45 GHz, a pressure of about 1 mTorr, and a DC bias of about 41 volts.
18. A method of cleaning a surface to remove residue adhered thereto comprising plasma cleaning said surface by contacting it with an etching composition which is substantially free of fluorocarbon compounds that have a GWP of greater than 5000 and sulfur-based compounds that have perfluorinated side chains and that have a GWP of greater than about 1500, said etching composition comprising at least one etchant compound having a formula selected from the group consisting of
F-CO-[(CR'R2)m-CO]n-F and F-CO-R3-CO-F, and wherein: m= 0, 1, 2, 3, 4, or 5; n = 1;
R1 & R2 represent H, F or C-H- V, wherein: x = l or 2; and y+z=2x+ l ; R3 represents CR4 =CR\ R┬░R7C = C or C ΓëíC; wherein: R4'7 represent H, F, or CxHyF-.; wherein: x = l or 2; and y+z=2x+ l .
19. The method of claim 18, wherein said etchant compound has the formula F-CO-[(CR'R2)m-CO]n-F and wherein m =0, 1 , 2, or 3; and R1 & R2=F.
20. The method of claim 19, wherein said etchant has a formula selected from the group consisting of F-CO-CO-F and F-CO-(CF2)m-CO-F
21. The method of claim 20, wherein said etchant has the formula F-CO-CO-F.
22. An etching composition comprising:
(A) a compound having a general formula selected from the group consisting of F-CO-[(CR,R2)-π-CO]B-F and F-CO-R3-CO-F, and wherein: m= 0, 1, 2, 3, 4, or 5; n= 1;
R1 & R2 represent H, F or C-Η--F..; wherein: x = l or 2; and y+z=2x+ l; R3 represents CR4=CR5, R6R7C=C or C ≡ C; wherein: R4-7 represent H, F, or C-ILF-.; wherein: x= l or 2; and y+z=2x+ l; and
(B) a second constituent, different from said etchant compound for enhancing or modifying the etching characteristics of said composition.
23. The composition of claim 22, wherein said second constituent is an etchant- modifier for imparting selectivity to said composition.
24. The composition of claim 23, wherein said etchant-modifier is selected from the group consisting of 02, H2, N2, CH4, CF4, and C,-C5 hydrofluorocarbons.
25. The composition of claim 24, wherein said etchant-modifier comprises at least one hydrofluorocarbon selected from the group consisting of pentafluoropropane, hexafluoropropane, tetrafluoroethane, and pentafluoroethane and combinations of two or more thereof.
26. The composition of claim 23, wherein said etchant-modifier is a compound different from compound (A) and having a formula selected from the group consisting of F-CO-[(CR'R2)n,-CO]n-F and F-CO-R3-CO-F, wherein: m= 1, 2, 3, 4, or 5; n= 0 or 1;
R1 & R2 represent H, F or CxHyF-.; wherein: x = l or 2; and y+z=2x+l; R3 represents CR4=CR\ R┬░R7C=C or C Γëí C; wherein: R4"7 represent H, F, or C-HyF-.; wherein: x = l or 2; and y+z=2x+ l; and wherein at least one of R', R2, and R4"7 is hydrogen or hydrogen containing.
27. The composition of claim 22, wherein said second constituent is a carrier gas that imparts volatility to said etching composition.
28. The composition of claim 22, wherein said second constituent is a high ionization gas that enhances the etch rate of said etching composition.
29. The composition of claim 22, wherein said second constituent is selected from the group consisting of He, Ar, and combinations thereof.
PCT/US1998/027429 1997-12-31 1998-12-23 Method of etching and cleaning using fluorinated carbonyl compounds WO1999034429A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
AU20110/99A AU2011099A (en) 1997-12-31 1998-12-23 Method of etching and cleaning using fluorinated carbonyl compounds
JP2000526968A JP2002500444A (en) 1997-12-31 1998-12-23 Etching and cleaning method using fluorinated carbonyl compound
EP98964889A EP1042800A1 (en) 1997-12-31 1998-12-23 Method of etching and cleaning using fluorinated carbonyl compounds
KR1020007007339A KR100603654B1 (en) 1997-12-31 1998-12-23 Method of etching and cleaning using fluorinated carbonyl compounds

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/001,325 1997-12-31
US09/001,325 US6635185B2 (en) 1997-12-31 1997-12-31 Method of etching and cleaning using fluorinated carbonyl compounds

Publications (1)

Publication Number Publication Date
WO1999034429A1 true WO1999034429A1 (en) 1999-07-08

Family

ID=21695460

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1998/027429 WO1999034429A1 (en) 1997-12-31 1998-12-23 Method of etching and cleaning using fluorinated carbonyl compounds

Country Status (6)

Country Link
US (1) US6635185B2 (en)
EP (1) EP1042800A1 (en)
JP (1) JP2002500444A (en)
KR (1) KR100603654B1 (en)
AU (1) AU2011099A (en)
WO (1) WO1999034429A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010138999A1 (en) * 2009-06-01 2010-12-09 The Australian National University Plasma etching of chalcogenides
US10453986B2 (en) 2008-01-23 2019-10-22 Solvay Fluor Gmbh Process for the manufacture of solar cells

Families Citing this family (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7014788B1 (en) * 1998-06-10 2006-03-21 Jim Mitzel Surface treatment method and equipment
JP2001077086A (en) * 1999-08-31 2001-03-23 Oki Electric Ind Co Ltd Dry etching method of semiconductor device
US6762129B2 (en) * 2000-04-19 2004-07-13 Matsushita Electric Industrial Co., Ltd. Dry etching method, fabrication method for semiconductor device, and dry etching apparatus
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
AU2002240097A1 (en) * 2001-02-14 2002-08-28 Advanced Micro Devices, Inc. Method and apparatus for controlling etch selectivity
US20070290166A1 (en) * 2001-03-14 2007-12-20 Liu Feng Q Method and composition for polishing a substrate
US20020142610A1 (en) * 2001-03-30 2002-10-03 Ting Chien Plasma etching of dielectric layer with selectivity to stop layer
US20030003374A1 (en) * 2001-06-15 2003-01-02 Applied Materials, Inc. Etch process for photolithographic reticle manufacturing with improved etch bias
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US20050059250A1 (en) * 2001-06-21 2005-03-17 Savas Stephen Edward Fast etching system and process for organic materials
US7125496B2 (en) * 2001-06-28 2006-10-24 Hynix Semiconductor Inc. Etching method using photoresist etch barrier
JP3364488B1 (en) * 2001-07-05 2003-01-08 東京エレクトロン株式会社 Method for cleaning reaction vessel and film forming apparatus
CN100559554C (en) * 2001-08-31 2009-11-11 东京毅力科创株式会社 The engraving method of handled object
WO2003021659A1 (en) 2001-09-04 2003-03-13 Applied Materials, Inc. Methods and apparatus for etching metal layers on substrates
US20030048591A1 (en) * 2001-09-10 2003-03-13 Saturn Vac Co., Ltd. Desmearing process/apparatus for pulse-type D.C. plasma
DE10146888C1 (en) * 2001-09-24 2003-04-10 Infineon Technologies Ag Process for etching a layer in a trench and process for producing a trench capacitor
JP3759895B2 (en) * 2001-10-24 2006-03-29 松下電器産業株式会社 Etching method
US6977184B1 (en) * 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
ATE557418T1 (en) * 2001-10-31 2012-05-15 Tokyo Electron Ltd METHOD FOR ETCHING HIGH EXTENSION RATIO FEATURES
JP2003234331A (en) 2001-12-05 2003-08-22 Tokyo Electron Ltd Plasma etching method and apparatus
TWI260735B (en) * 2002-01-18 2006-08-21 Nanya Technology Corp Method preventing short circuit between tungsten metal wires
US20030143853A1 (en) * 2002-01-31 2003-07-31 Celii Francis G. FeRAM capacitor stack etch
US20040009667A1 (en) * 2002-02-07 2004-01-15 Etsuo Iijima Etching method
JP4865978B2 (en) * 2002-02-28 2012-02-01 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US6972265B1 (en) * 2002-04-15 2005-12-06 Silicon Magnetic Systems Metal etch process selective to metallic insulating materials
US7078334B1 (en) * 2002-06-06 2006-07-18 Cypress Semiconductor Corporation In situ hard mask approach for self-aligned contact etch
JP2004031546A (en) * 2002-06-25 2004-01-29 Matsushita Electric Ind Co Ltd Method for manufacturing semiconductor device
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US8080453B1 (en) 2002-06-28 2011-12-20 Cypress Semiconductor Corporation Gate stack having nitride layer
US7018929B2 (en) * 2002-07-02 2006-03-28 Taiwan Semiconductor Manufacturing Co., Ltd Method for reducing a low volatility byproduct from a wafer surface following an etching process
US20040018741A1 (en) * 2002-07-26 2004-01-29 Applied Materials, Inc. Method For Enhancing Critical Dimension Uniformity After Etch
US20040026369A1 (en) * 2002-08-12 2004-02-12 Chentsau Ying Method of etching magnetic materials
US6893974B1 (en) * 2002-09-05 2005-05-17 Cypress Semiconductor Corp. System and method for fabricating openings in a semiconductor topography
US7223701B2 (en) * 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
JP4119726B2 (en) * 2002-10-15 2008-07-16 東京エレクトロン株式会社 Plasma processing method
US7071112B2 (en) * 2002-10-21 2006-07-04 Applied Materials, Inc. BARC shaping for improved fabrication of dual damascene integrated circuit features
JP4302965B2 (en) * 2002-11-01 2009-07-29 株式会社日立ハイテクノロジーズ Semiconductor device manufacturing method and manufacturing system thereof
US7160813B1 (en) * 2002-11-12 2007-01-09 Novellus Systems, Inc. Etch back process approach in dual source plasma reactors
US7229929B2 (en) * 2002-12-06 2007-06-12 Cypress Semiconductor Corporation Multi-layer gate stack
JP2004247675A (en) * 2003-02-17 2004-09-02 Renesas Technology Corp Method of manufacturing semiconductor device
US6951825B2 (en) * 2003-03-17 2005-10-04 Sharp Laboratories Of America, Inc. Method of etching a SiN/Ir/TaN or SiN/Ir/Ti stack using an aluminum hard mask
WO2004086143A2 (en) * 2003-03-21 2004-10-07 Applied Materials, Inc. Multi-step process for etching photomasks
JP3973587B2 (en) * 2003-03-24 2007-09-12 俊夫 後藤 Surface treatment method and surface treatment apparatus
US7077973B2 (en) * 2003-04-18 2006-07-18 Applied Materials, Inc. Methods for substrate orientation
WO2004095559A1 (en) * 2003-04-22 2004-11-04 Tokyo Electron Limited Method for removing silicon oxide film and processing apparatus
US6939817B2 (en) * 2003-05-08 2005-09-06 Micron Technology, Inc. Removal of carbon from an insulative layer using ozone
US6989105B2 (en) * 2003-06-27 2006-01-24 International Business Machines Corporation Detection of hardmask removal using a selective etch
US20050011859A1 (en) * 2003-07-15 2005-01-20 Bing Ji Unsaturated oxygenated fluorocarbons for selective aniostropic etch applications
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7056830B2 (en) * 2003-09-03 2006-06-06 Applied Materials, Inc. Method for plasma etching a dielectric layer
US7371637B2 (en) * 2003-09-26 2008-05-13 Cypress Semiconductor Corporation Oxide-nitride stack gate dielectric
JP4727171B2 (en) * 2003-09-29 2011-07-20 東京エレクトロン株式会社 Etching method
GB0323001D0 (en) * 2003-10-01 2003-11-05 Oxford Instr Plasma Technology Apparatus and method for plasma treating a substrate
US7081411B2 (en) * 2003-10-18 2006-07-25 Northrop Grumman Corporation Wafer etching techniques
US7196017B2 (en) * 2003-10-24 2007-03-27 Avago Technologies Fiber Ip (Singapore) Pte. Ltd. Method for etching smooth sidewalls in III-V based compounds for electro-optical devices
US7425512B2 (en) * 2003-11-25 2008-09-16 Texas Instruments Incorporated Method for etching a substrate and a device formed using the method
EP1557875A1 (en) * 2003-12-29 2005-07-27 STMicroelectronics S.r.l. Process for forming tapered trenches in a dielectric material
US20050176198A1 (en) * 2004-02-11 2005-08-11 Kudelka Stephan P. Method of fabricating bottle trench capacitors using an electrochemical etch with electrochemical etch stop
US7081415B2 (en) * 2004-02-18 2006-07-25 Northrop Grumman Corporation Method of dry plasma etching semiconductor materials
US7262137B2 (en) * 2004-02-18 2007-08-28 Northrop Grumman Corporation Dry etching process for compound semiconductors
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7378226B2 (en) * 2004-04-20 2008-05-27 Headway Technologies, Inc. Ozone-assisted bi-layer lift-off stencil for narrow track CPP-GMR heads
US20060000796A1 (en) * 2004-06-30 2006-01-05 Elliot Tan Method for controlling critical dimensions and etch bias
US20060011578A1 (en) * 2004-07-16 2006-01-19 Lam Research Corporation Low-k dielectric etch
KR100621562B1 (en) * 2004-07-30 2006-09-14 삼성전자주식회사 Method of dry etching using selective polymer mask formed by CO gas
US7192875B1 (en) 2004-10-29 2007-03-20 Lam Research Corporation Processes for treating morphologically-modified silicon electrode surfaces using gas-phase interhalogens
US7226869B2 (en) * 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
ITMI20042206A1 (en) * 2004-11-17 2005-02-17 St Microelectronics Srl PROCEDURE FOR DEFINING INTEGRATED CIRCUITS OF SEMICONDUCTURE ELECTRONIC DEVICES
US7288487B1 (en) * 2004-12-01 2007-10-30 Spansion Llc Metal/oxide etch after polish to prevent bridging between adjacent features of a semiconductor structure
JP2006165246A (en) * 2004-12-07 2006-06-22 Tokyo Electron Ltd Plasma etching method
US7488689B2 (en) * 2004-12-07 2009-02-10 Tokyo Electron Limited Plasma etching method
US7468324B2 (en) * 2004-12-08 2008-12-23 The University Court Of The University Of Edinburgh Microelectromechanical devices and their fabrication
JP4071787B2 (en) * 2004-12-13 2008-04-02 Tdk株式会社 Method for manufacturing magnetic recording medium
US7291286B2 (en) * 2004-12-23 2007-11-06 Lam Research Corporation Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
KR100615711B1 (en) * 2005-01-25 2006-08-25 삼성전자주식회사 Filter using the film bulk acoustic resonator and method of the same.
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
JP2006222156A (en) * 2005-02-08 2006-08-24 Toshiba Corp Method of processing organic film
US7422983B2 (en) * 2005-02-24 2008-09-09 International Business Machines Corporation Ta-TaN selective removal process for integrated device fabrication
US8066894B2 (en) * 2005-03-16 2011-11-29 Hitachi Kokusai Electric Inc. Substrate processing method and substrate processing apparatus
US7465670B2 (en) * 2005-03-28 2008-12-16 Tokyo Electron Limited Plasma etching method, plasma etching apparatus, control program and computer storage medium with enhanced selectivity
US7645707B2 (en) * 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP2006351862A (en) * 2005-06-16 2006-12-28 Toshiba Corp Method of manufacturing semiconductor device
KR100801307B1 (en) * 2005-06-28 2008-02-05 주식회사 하이닉스반도체 Method for fabricating semiconductor device
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
JP4593402B2 (en) * 2005-08-25 2010-12-08 株式会社日立ハイテクノロジーズ Etching method and etching apparatus
JP4243268B2 (en) * 2005-09-07 2009-03-25 アドバンスド・マスク・インスペクション・テクノロジー株式会社 Pattern inspection apparatus and pattern inspection method
US20070056925A1 (en) * 2005-09-09 2007-03-15 Lam Research Corporation Selective etch of films with high dielectric constant with H2 addition
US7531461B2 (en) * 2005-09-14 2009-05-12 Tokyo Electron Limited Process and system for etching doped silicon using SF6-based chemistry
US20070056927A1 (en) * 2005-09-14 2007-03-15 Tsou Len Y Process and system for etching doped silicon
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
JP4488999B2 (en) * 2005-10-07 2010-06-23 株式会社日立ハイテクノロジーズ Etching method and etching apparatus
US7655572B2 (en) * 2005-10-24 2010-02-02 Tokyo Electron Limited Semiconductor device manufacturing method, semiconductor device manufacturing apparatus, control program and computer storage medium
JP2007123399A (en) * 2005-10-26 2007-05-17 Hitachi High-Technologies Corp Dry etching method
US7399712B1 (en) * 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
KR20070047624A (en) * 2005-11-02 2007-05-07 주성엔지니어링(주) Method of forming thin film pattern
US20070102399A1 (en) * 2005-11-07 2007-05-10 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device, control program and computer-readable storage medium
US7303999B1 (en) * 2005-12-13 2007-12-04 Lam Research Corporation Multi-step method for etching strain gate recesses
US7335602B2 (en) * 2006-01-18 2008-02-26 Freescale Semiconductor, Inc. Charge-free layer by layer etching of dielectrics
EP1816674A1 (en) * 2006-02-01 2007-08-08 Alcatel Lucent Anisotropic etching method
US7410593B2 (en) * 2006-02-22 2008-08-12 Macronix International Co., Ltd. Plasma etching methods using nitrogen memory species for sustaining glow discharge
US20070218697A1 (en) * 2006-03-15 2007-09-20 Chung-Chih Chen Method for removing polymer from wafer and method for removing polymer in interconnect process
US20070218681A1 (en) * 2006-03-16 2007-09-20 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
JP4865373B2 (en) * 2006-03-17 2012-02-01 株式会社日立ハイテクノロジーズ Dry etching method
US20070221616A1 (en) * 2006-03-24 2007-09-27 Yi-Tyng Wu Etching method
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US7790047B2 (en) * 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
TWI437633B (en) * 2006-05-24 2014-05-11 Ulvac Inc Dry etching method for interlayer insulating film
US7651948B2 (en) * 2006-06-30 2010-01-26 Applied Materials, Inc. Pre-cleaning of substrates in epitaxy chambers
US7572737B1 (en) * 2006-06-30 2009-08-11 Lam Research Corporation Apparatus and methods for adjusting an edge ring potential substrate processing
US7541289B2 (en) * 2006-07-13 2009-06-02 Applied Materials, Inc. Process for removing high stressed film using LF or HF bias power and capacitively coupled VHF source power with enhanced residue capture
US7449414B2 (en) * 2006-08-07 2008-11-11 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7851367B2 (en) * 2006-08-31 2010-12-14 Kabushiki Kaisha Toshiba Method for plasma processing a substrate
KR100815186B1 (en) * 2006-09-11 2008-03-19 주식회사 하이닉스반도체 Method of fabricating semiconductor device with protrusion type w plug
KR100858082B1 (en) * 2006-10-17 2008-09-10 삼성전자주식회사 Method of etching nickel oxide layer
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US20080102640A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
KR100944846B1 (en) * 2006-10-30 2010-03-04 어플라이드 머티어리얼스, 인코포레이티드 Mask etch process
US20080102644A1 (en) * 2006-10-31 2008-05-01 Novellus Systems, Inc. Methods for removing photoresist from a semiconductor substrate
US20080102643A1 (en) * 2006-10-31 2008-05-01 United Microelectronics Corp. Patterning method
US8252640B1 (en) 2006-11-02 2012-08-28 Kapre Ravindra M Polycrystalline silicon activation RTA
US7718543B2 (en) * 2006-12-08 2010-05-18 Applied Materials, Inc. Two step etching of a bottom anti-reflective coating layer in dual damascene application
US7786019B2 (en) * 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
JP4389229B2 (en) * 2006-12-20 2009-12-24 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US7682986B2 (en) * 2007-02-05 2010-03-23 Lam Research Corporation Ultra-high aspect ratio dielectric etch
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
JP5568209B2 (en) * 2007-03-01 2014-08-06 ピーエスフォー ルクスコ エスエイアールエル Semiconductor device manufacturing method and manufacturing apparatus
US20080214007A1 (en) * 2007-03-02 2008-09-04 Texas Instruments Incorporated Method for removing diamond like carbon residue from a deposition/etch chamber using a plasma clean
TW200843039A (en) * 2007-04-16 2008-11-01 Ind Tech Res Inst Method for forming a memory device and method for etching a phase change layer
DE102007033685A1 (en) * 2007-07-19 2009-01-22 Robert Bosch Gmbh A method of etching a layer on a silicon semiconductor substrate
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
WO2009042453A2 (en) * 2007-09-27 2009-04-02 Lam Research Corporation Profile control in dielectric etch
KR101562408B1 (en) * 2007-09-27 2015-10-21 램 리써치 코포레이션 Line width roughness control with arc layer open
US8133817B2 (en) * 2007-11-29 2012-03-13 Applied Materials, Inc. Shallow trench isolation etch process
US20090156012A1 (en) * 2007-12-12 2009-06-18 Applied Materials, Inc. Method for fabricating low k dielectric dual damascene structures
US20090163033A1 (en) * 2007-12-21 2009-06-25 Guowen Ding Methods for extending chamber component life time
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
KR100875180B1 (en) * 2008-07-10 2008-12-22 주식회사 동부하이텍 Method for manufacturing semiconductor device
EP2144117A1 (en) * 2008-07-11 2010-01-13 The Provost, Fellows and Scholars of the College of the Holy and Undivided Trinity of Queen Elizabeth near Dublin Process and system for fabrication of patterns on a surface
US7994002B2 (en) 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US8153532B1 (en) * 2008-12-04 2012-04-10 Hrl Laboratories, Llc Bilayer dielectric interconnection process
US8986561B2 (en) * 2008-12-26 2015-03-24 Tokyo Electron Limited Substrate processing method and storage medium
US8211805B2 (en) * 2009-02-13 2012-07-03 Vanguard International Semiconductor Corporation Method for forming via
JP2010205967A (en) * 2009-03-04 2010-09-16 Tokyo Electron Ltd Plasma etching method, plasma etching device, and computer storage medium
US8277672B2 (en) * 2009-04-17 2012-10-02 Tiza Lab, LLC Enhanced focused ion beam etching of dielectrics and silicon
US8696922B2 (en) * 2009-06-22 2014-04-15 Micron Technology, Inc. Methods of plasma etching platinum-comprising materials, methods of processing semiconductor substrates in the fabrication of integrated circuitry, and methods of forming a plurality of memory cells
US20110011534A1 (en) * 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
JP2011049360A (en) * 2009-08-27 2011-03-10 Tokyo Electron Ltd Plasma etching method
US9117769B2 (en) 2009-08-27 2015-08-25 Tokyo Electron Limited Plasma etching method
KR101430093B1 (en) * 2010-03-04 2014-09-22 도쿄엘렉트론가부시키가이샤 Plasma etching method, method for producing semiconductor device, and plasma etching device
US20110236806A1 (en) * 2010-03-25 2011-09-29 Applied Materials, Inc. Dc voltage charging of cathode for plasma striking
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
US20110303639A1 (en) * 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for processing substrates having metal hard masks
JP5510162B2 (en) * 2010-07-30 2014-06-04 日立金属株式会社 Method for manufacturing piezoelectric thin film wafer, piezoelectric thin film element, and piezoelectric thin film device
JP5698558B2 (en) * 2011-02-21 2015-04-08 東京エレクトロン株式会社 Substrate processing method and storage medium
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
JP6054314B2 (en) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method and apparatus for substrate transport and radical confinement
TWI555058B (en) 2011-03-01 2016-10-21 應用材料股份有限公司 Abatement and strip process chamber in a dual loadlock configuration
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
US9023227B2 (en) * 2011-06-30 2015-05-05 Applied Materials, Inc. Increased deposition efficiency and higher chamber conductance with source power increase in an inductively coupled plasma (ICP) chamber
JP5912637B2 (en) * 2012-02-17 2016-04-27 東京エレクトロン株式会社 Manufacturing method of semiconductor device
CN104137248B (en) 2012-02-29 2017-03-22 应用材料公司 Abatement and strip process chamber in a load lock configuration
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US8901007B2 (en) * 2013-01-03 2014-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Addition of carboxyl groups plasma during etching for interconnect reliability enhancement
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
TWI612182B (en) * 2013-09-09 2018-01-21 液態空氣喬治斯克勞帝方法研究開發股份有限公司 Method of etching semiconductor structures with etch gases
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
KR102333443B1 (en) * 2014-10-24 2021-12-02 삼성전자주식회사 Method for manufacturing semiconductor device using the same
US9691625B2 (en) * 2015-11-04 2017-06-27 Lam Research Corporation Methods and systems for plasma etching using bi-modal process gas composition responsive to plasma power level
US10103008B2 (en) * 2016-01-12 2018-10-16 Fei Company Charged particle beam-induced etching
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
JP2018046185A (en) * 2016-09-15 2018-03-22 東京エレクトロン株式会社 Method for etching silicon oxide and silicon nitride mutually and selectively
CN108919407A (en) * 2018-07-11 2018-11-30 京东方科技集团股份有限公司 The preparation method and wire grid polarizer of metal wire and wire grating, electronic device
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US11456180B2 (en) 2019-11-08 2022-09-27 Tokyo Electron Limited Etching method
SG10202010798QA (en) * 2019-11-08 2021-06-29 Tokyo Electron Ltd Etching method and plasma processing apparatus
WO2021090798A1 (en) 2019-11-08 2021-05-14 東京エレクトロン株式会社 Etching method
CN112786441A (en) 2019-11-08 2021-05-11 东京毅力科创株式会社 Etching method and plasma processing apparatus
US11227774B2 (en) * 2019-12-05 2022-01-18 Tokyo Electron Limited Methods and systems for etching silicon cyanide (SiCN) with multi-color selectivity
KR102461689B1 (en) * 2020-05-04 2022-10-31 아주대학교산학협력단 Plasma etching method
US20220392785A1 (en) * 2021-06-07 2022-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Small gas flow monitoring of dry etcher by oes signal

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3013679A1 (en) * 1979-05-07 1980-11-13 Perkin Elmer Corp METHOD AND DEVICE FOR CHEMICAL TREATING WORKPIECES
US5445712A (en) * 1992-03-25 1995-08-29 Sony Corporation Dry etching method
EP0776032A2 (en) * 1995-10-26 1997-05-28 Tokyo Electron Limited Plasma etching method

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2904403A (en) 1957-06-07 1959-09-15 Du Pont Preparation of if5
GB1060708A (en) 1964-07-07 1967-03-08 Allied Chem Process for producing iodine pentafluoride
DE2823981A1 (en) 1978-06-01 1979-12-13 Hoechst Ag METHOD FOR PRODUCING CARBONYL DIFLUORIDES
US4181678A (en) * 1978-09-15 1980-01-01 The United States Of America As Represented By The Secretary Of The Air Force Symmetrical perfluoroalkylene oxide α,ω-diacyl fluorides
US4181679A (en) * 1978-10-24 1980-01-01 The United States Of America As Represented By The Secretary Of The Air Force ω-Iodoperfluoroalkylene oxide acyl fluorides
US4498953A (en) 1983-07-27 1985-02-12 At&T Bell Laboratories Etching techniques
US5565038A (en) 1991-05-16 1996-10-15 Intel Corporation Interhalogen cleaning of process equipment
US5254176A (en) 1992-02-03 1993-10-19 Tokyo Electron Limited Method of cleaning a process tube
US5338394A (en) 1992-05-01 1994-08-16 Alliedsignal Inc. Method for etching indium based III-V compound semiconductors
JP3109253B2 (en) 1992-06-29 2000-11-13 ソニー株式会社 Dry etching method
JP2514545B2 (en) 1992-09-21 1996-07-10 大阪ダイヤモンド工業株式会社 Super Abrasive Wheel Regeneration Method
US5534107A (en) 1994-06-14 1996-07-09 Fsi International UV-enhanced dry stripping of silicon nitride films
DE69507034C5 (en) 1994-07-11 2009-04-30 Honeywell Intellectual Properties Inc., Tempe PROCESS FOR PREPARING 1,1,1,3,3-PENTAFLUORO PROPANE
US5545774A (en) 1994-12-08 1996-08-13 E. I. Du Pont De Nemours And Company Process for the manufacture of 1,1,1,3,3,3-hexafluoropropane
US5594159A (en) 1995-04-13 1997-01-14 E I Du Pont De Nemours And Company Producing CF3 CH2 CF3 and/or CF3 CH═CF2 by the conversion of alpha-hydroperfluoroisobutyric acid compounds
US5626775A (en) 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3013679A1 (en) * 1979-05-07 1980-11-13 Perkin Elmer Corp METHOD AND DEVICE FOR CHEMICAL TREATING WORKPIECES
US5445712A (en) * 1992-03-25 1995-08-29 Sony Corporation Dry etching method
EP0776032A2 (en) * 1995-10-26 1997-05-28 Tokyo Electron Limited Plasma etching method

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
COBURN J W ET AL: "SOME CHEMICAL ASPECTS OF THE FLUOROCARBON PLASMA ETCHING OF SILICON AND ITS COMPOUNDS", IBM JOURNAL OF RESEARCH AND DEVELOPMENT, vol. 23, no. 1, January 1979 (1979-01-01), pages 33 - 41, XP000615160 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10453986B2 (en) 2008-01-23 2019-10-22 Solvay Fluor Gmbh Process for the manufacture of solar cells
WO2010138999A1 (en) * 2009-06-01 2010-12-09 The Australian National University Plasma etching of chalcogenides

Also Published As

Publication number Publication date
AU2011099A (en) 1999-07-19
US20020096487A1 (en) 2002-07-25
US6635185B2 (en) 2003-10-21
EP1042800A1 (en) 2000-10-11
JP2002500444A (en) 2002-01-08
KR20010033793A (en) 2001-04-25
KR100603654B1 (en) 2006-07-24

Similar Documents

Publication Publication Date Title
US6635185B2 (en) Method of etching and cleaning using fluorinated carbonyl compounds
US6120697A (en) Method of etching using hydrofluorocarbon compounds
TW387018B (en) Plasma etch with trifluoroacetic acid and derivatives
KR0137841B1 (en) Method for removing a etching waste material
CA1235630A (en) Etching techniques
EP1788120A1 (en) Removal of titanium nitride with xenon difluoride
WO1999008805A1 (en) Plasma cleaning and etching methods using non-global-warming compounds
US4226666A (en) Etching method employing radiation and noble gas halide
JPH07508313A (en) Plasma cleaning method for removing residue in plasma processing equipment
JPH01161838A (en) Plasma etching
US9368363B2 (en) Etching gas and etching method
US5597444A (en) Method for etching semiconductor wafers
Karecki et al. Use of novel hydrofluorocarbon and iodofluorocarbon chemistries for a high aspect ratio via etch in a high density plasma etch tool
EP0964438B1 (en) Dry etching method
JPH09181054A (en) Plasma etching method using trifluoro acetic acid and derivative thereof
WO1999034428A1 (en) Method of etching and cleaning using interhalogen compounds
JP3358808B2 (en) How to insulate organic substances from substrates
EP0854502A2 (en) Iodofluorocarbon gas for the etching of dielectric layers and the cleaning of process chambers
US6559060B2 (en) Process for the structuring of a substrate
JP4215294B2 (en) Dry etching method
Lehmann et al. Reactive sputter etching of Al in BCl3
Saito et al. Low temperature plasmaless etching of silicon dioxide film using chlorine trifluoride gas with water vapor
JP2008235562A (en) Method for cleaning plasma cvd deposition device
Karecki et al. Characterization of iodoheptafluoropropane as a dielectric etchant. III. Effluent analysis
Tao Non-perfluorocompound chemistries for plasma etching of dielectrics

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GE GH GM HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG UZ VN YU ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW SD SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 526968

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1020007007339

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1998964889

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1998964889

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWP Wipo information: published in national office

Ref document number: 1020007007339

Country of ref document: KR

WWR Wipo information: refused in national office

Ref document number: 1020007007339

Country of ref document: KR

WWW Wipo information: withdrawn in national office

Ref document number: 1998964889

Country of ref document: EP