WO1999041426A1 - Reactor for chemical vapor deposition - Google Patents

Reactor for chemical vapor deposition Download PDF

Info

Publication number
WO1999041426A1
WO1999041426A1 PCT/US1999/002841 US9902841W WO9941426A1 WO 1999041426 A1 WO1999041426 A1 WO 1999041426A1 US 9902841 W US9902841 W US 9902841W WO 9941426 A1 WO9941426 A1 WO 9941426A1
Authority
WO
WIPO (PCT)
Prior art keywords
ring
pedestal
chamber
isolator
showerhead
Prior art date
Application number
PCT/US1999/002841
Other languages
French (fr)
Inventor
Keith Koai
Mark Johnson
Mei Chang
Lawrence Chung Lai Lei
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to JP2000531602A priority Critical patent/JP4511722B2/en
Publication of WO1999041426A1 publication Critical patent/WO1999041426A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0206Extinguishing, preventing or controlling unwanted discharges

Definitions

  • the invention relates generally to plasma reactors and their operation.
  • the invention relates to the reactors capable of plasma-enhanced chemical vapor deposition.
  • Plasma-enhanced chemical vapor deposition is an important and widely practice method of depositing films in advanced semiconductor integrated circuits.
  • PECVD Plasma-enhanced chemical vapor deposition
  • a precursor gas is admitted into a reaction chamber held at a reduced pressure, and oscillatory electric energy at a radio frequency (RF) is applied to the chamber to excite the gas into a plasma.
  • RF radio frequency
  • the gas reacts with the surface of a wafer exposed to the plasma to form a film on the wafer of a composition derived from that of
  • PECVD silicon dioxide
  • SiO 2 silicon dioxide
  • the oxide forms an insulating layer, for example, between layers of electrical interconnects.
  • the favored precursor for silicon dioxide formed by PECVD is tetraethyl orthosilicate (TEOS).
  • TEOS tetraethyl orthosilicate
  • PECVD is the favored technique for depositing oxide because the plasma supplies the activation energy rather than in a thermally activated process in which high temperature provides the energy. Therefore, the oxide can be deposited at relatively low temperatures over previously defined features, thereby reducing the thermal budget used for the oxide.
  • Sputtering also called physical vapor deposition or PVD
  • PVD physical vapor deposition
  • sputtering is a generally ballistic process and has difficulty in coating narrow and deep apertures, of the sort required for via and contact holes between wiring layers.
  • One favored technique for filling such holes is to first conformally coat the walls of the hole with a thin layer of titanium (Ti) and then to conformally coat the Ti-covered walls with a thin layer of titanium nitride (TiN). Thereafter, sputtered aluminum more easily filled into the hole.
  • Ti/TiN layer generally referred to as a liner, provides good adhesion between the titanium and the oxide walls, and the TiN forms a barrier against aluminum migration.
  • CVD TiN tetrakis-dimethyl- amido titanium
  • TDMAT tetrakis-dimethyl- amido titanium
  • This material is a metal-organic compound which is a liquid at room temperature.
  • the TDMAT CVD process requires thermal decomposition, preferably around 450°C, and a subsequent plasma treatment to remove the carbon content in the as-deposited film.
  • CVD Ti titanium tetrachloride (TiCl 4 ) as the precursor.
  • TiCl 4 is also a liquid at the temperatures involved and so requires a bubbler or a liquid injector to produce a gas-phase precursor, but this difference does not directly affect most aspects of the plasma processing in which the gas entraining the TiCl 4 is energized into a plasma adjacent to the wafer so as
  • the chemical vapor deposition of a metal layer in a plasma chamber introduces problems not experienced in PECVD chambers used for the deposition of dielectrics. Almost inevitably, some of the metal is deposited on parts of the chamber other than the wafer. The metal may be deposited on dielectric members in the chamber intended to electrically isolate various portions of the chamber. At worst, the extra metal could short out the RF -biased gas showerhead. At a minimum, the changing extent of the grounding surfaces will cause the electrical fields to vary, thus causing the uniformity of the plasma and thus the uniformity of deposition to vary over time. Accordingly, the chamber must be designed to both minimize the effect of any metal deposited on chamber parts in the processing area and also to minimize the deposition of metal in areas away from the processing.
  • Zhao et al. have addressed some of these problems, at least for TiN, in U.S. Patent Application, Serial No. 08/680J24, filed July 12, 1996, incorporated herein by reference in its entirety, which discloses the CVD reactor illustrated in cross-section in FIG. 1.
  • This figure illustrates many of the features of the TiNxZ CVD reactor available from Applied Materials, Inc. of Santa Clara, California.
  • a wafer 10 is supported on a surface 12 of a heater pedestal 14 illustrated in its raised, deposition position.
  • a lifting ring 16 attached to a lift tube 17 lifts four lift pins 18 slidably fitted into the heater pedestal 14 so that the pins 18 can receive the wafer 10 loaded into the chamber through a loadlock port 19 in the reactor body 20.
  • the heater pedestal 14 includes an electrical resistance heater 20, which controllably heats the wafer 10 it supports. The temperatures experienced in a TiN reactor are low enough to allow the heater pedestal 14 and attached heater to be principally formed of aluminum. Often at least the upper part of the heater pedestal 14 is
  • the heater pedestal 14 In its upper, deposition position, the heater pedestal 14 holds the wafer 10 in close opposition to a lower surface 22 of a faceplate 24, a processing region 26 being defined between the wafer 10 and the surface 22.
  • the faceplate 24, often referred to as a showerhead, has a large number of apertures 28 in its face 22 communicating between a lower distribution cavity 30 and the processing region 26 to allow the passage of processing gas.
  • the processing gas is supplied through a gas port 32 formed at the center of a water-cooled gas box plate 36 made of aluminum.
  • the upper side of the gas box plate 36 is covered by a water cooling cover plate 34 surrounding the upper portion of the gas box plate 36 that includes the gas port 32.
  • the gas port 32 supplies the processing gas to an upper cavity 38 separated from the lower cavity 30 by a blocker plate 40, also having a large number of apertures 42 therethrough.
  • a blocker plate 40 also having a large number of apertures 42 therethrough.
  • One purpose of the cavities 30, 38, the perforated showerhead 24, and blocker plate 40 is to evenly distribute the processing gas over the upper face of the wafer 10.
  • a standard showerhead provided with the TiNxZ chamber has a somewhat irregular hole pattern, illustrated in the partial plan view of FIG. 2 of the showerhead face 22.
  • a first set of holes 42 are arranged in two circles generally bracketing the periphery of the wafer 10.
  • a second set of holes 44 are arranged in an hexagonal close packed array in side the two circles. The spacings of both sets of holes 42, 44 equal about the same small value so that the distribution of holes is fairly uniform. Law et al. in U.S. Patent 4,960,488 disclose a showerhead having two densities of holes, but different gases are injected through the two sets of holes.
  • a single circular channel or moat 46 is formed in the top of the gas box plate 36 and is sealed by the cooling water cover plate 34.
  • Two water ports 48, 50 are formed in the center portion of the gas box plate 36 also occupied by the gas port and respectively act as inlet and outlet for cooling water supplied to cool the showerhead 24.
  • This cooling liquid and any other cooling liquid will be henceforward referred to as cooling water.
  • the gas box including the gas box plate 36 and the cooling water cover plate 34 rests on a fairly narrow circular ledge 52 of the showerhead 24, and a gap 54 is necessarily formed between the outer circumferential
  • the cooling water cools the showerhead 24 to maintain it at a low temperature despite the plasma heating and resistive heating of the heater pedestal 14. Thereby, the processing gas tends not to coat the showerhead 24 and clog its apertures 28.
  • An RF power source 60 is connected to the showerhead 24 and to the gas box plate 36 and acts against the grounded chamber body 20 and the grounded heater pedestal 14 to form a plasma of the processing gas in the processing region 26.
  • a lid isolator 64 is interposed between the showerhead 24 and a metal lid rim 66, which can be lifted off the chamber body 20 to open the chamber to provide maintenance access.
  • the lid isolator 64 is made of an electrically insulating ceramic to isolate the RF -biased showerhead 24 from the grounded chamber body 20.
  • a flange 65 of the showerhead 24 rests on a flange 67 of the isolator 64 through an upper, outer, bottom face 68 located above its ledge 52 supporting the gas box plate 36. Law et al., ibid, disclose an alternative arrangement of the showerhead isolator and the gas box plate.
  • FIG. 3 better illustrates the pumping channel 74 defined by the lid isolator 64, a lid liner 76, an outer shield 78, an insulating chamber insert ring 82 fit in the chamber body 20, and a metal inner shield 84 fit in the chamber insert ring 82.
  • the pumping channel 74 is connected to the processing region 26 through a straight choke aperture 86 formed between the lid isolator 24 and the metal inner shield 84.
  • the liners 76, 78 can be easily removed during servicing to prevent build up of a metallic coating on the walls of the pumping channel 74.
  • An edge ring 90 is positioned within an annular ledge 92 located at the upper peripheral edge of the heater pedestal 14.
  • the edge ring 90 is generally circular but may be modified to conform to a flat of the wafer 10.
  • the edge ring 90 operates to center the wafer 10 through unillustrated centering bosses located at the edge of an inwardly facing annular ledge 94 of the centering ring 90.
  • the edge ring 90 also operates to thermally isolate the ledge 92 of the heater pedestal 14 otherwise exposed by the wafer 10 on top of the heater pedestal 14.
  • the ring 90 is supported by three ceramic pins 96 fixed to the
  • two thermally isolating rings 102, 104 are fixed to the bottom of an inner, upper arm 106 of the edge ring 90 by flat-headed fasteners 108.
  • Two washers 110 placed on each fastener 108 creates a first thermally isolating gap between the upper arm 106 of the centering ring 100 and the upper isolating ring 102, a second one between the two isolating rings 102, 104, and a third one between the lower isolating ring 104 and the bottom of the ledge 92.
  • the structure of the thermally floating edge ring 90 and its two thermally isolating rings 102, 104 serves to thermally shield the edge ring 90 from the underlying heater pedestal 14.
  • the periphery of the heater pedestal 14 exposed by the wafer 10 is maintained at a substantially lower temperature than the central portion to avoid undesirable film buildup during extended use.
  • the temperature profile is flattened so that the uniformity of deposition is greatly improved.
  • the heater pedestal 14 for TiN is economically formed mostly of aluminum, which however is prone to erosion from any plasma to which it is exposed, even in the area of the ledge 92 mostly occupied by the edge ring 90.
  • edge ring 90 The assembly of the edge ring 90 to the heater pedestal 14 has proven to be difficult because of the multiple loose parts.
  • the above described chamber was designed for CVD of TiN. Substantially higher temperatures are desired for a CVD reactor depositing Ti. The higher temperature increases the difficulty of obtaining a uniform temperature profile across the heater pedestal and the wafer it supports while maintaining the temperature of the showerhead at a low temperature. The higher temperature combined with the highly corrosive TiCl 4 environment makes any portion of the chamber exposed to the TiCl 4 plasma subject to damage. These elements include the heater pedestal heater and the showerhead. Both these items are very expensive, and it is greatly desired to increase their service life by
  • the higher temperatures required for the TiCl 4 process and its atomized state as it enters the reactor tends to produce titanium nitride deposition in the showerhead area unless temperatures there are tightly controlled at lower values. Also, the higher temperatures tend to produce thermal gradients throughout the chamber. Any such thermal gradient provides a mechanism for non-uniform deposition.
  • the deposition should be made as uniform as possible by any available means. If there is any non-uniformity towards the edges, the variation should be toward a somewhat thicker layer. If the variation is toward a thinner layer, there is a danger that a vanishingly small thickness is deposited in some portion of the wafer, thus severely impacting yield of operable chips. However, experience has shown that even with the improved chamber of FIGS. 1 through 4 the TiN layer tends to thin toward the edges.
  • the invention may be summarized as a plasma reaction chamber, particularly one intended for chemical vapor deposition of conductive materials such as titanium and titanium nitride, and particularly at higher temperatures.
  • the invention includes a design intended to provide thermal control of the chamber parts so as to avoid radial temperature gradients over the face of the heater pedestal supporting the wafer.
  • a perforated showerhead faceplate is positioned closely to the wafer to inject processing gas to the wafer.
  • the faceplate is cooled by a water-cooled plate into which is formed a convolute water channel having sufficiently small cross section and sufficient number of turns to create a turbulent flow of the cooling water.
  • the faceplate is thermally coupled to the water-cooled water plate, preferably extending a distance at least equal to that across a horizontal interface extending from an isolator around the showerhead member to a gas manifold formed in back of the faceplate.
  • the horizontal interface exists at the top of the showerhead and an extended flange of the gas box.
  • the distribution of holes in the showerhead is varied across the radius of the wafer to compensate for temperature gradients and other
  • both the showerhead faceplate and the block plate are formed of solid nickel.
  • a quartz plasma confinement ring rests on the heater pedestal outside the wafer and additionally protecting the heater pedestal.
  • the ring rests on the heater pedestal on a downwardly extending ridge formed in the ring.
  • a passage between the processing area and the pumping is formed between the confinement ring and a lid isolator.
  • the confinement ring and lid isolator are shaped such that the passage is curved and downwardly providing no straight line path between the two regions.
  • the confinement ring is not used, but the sloping bottom of the lid isolator induces a downward flow of the processing gas from the processing area to the pumping channel, and the extent of the slope is sufficient to shield the pumping channel from the plasma in the processing area.
  • An allied aspect of the invention includes positioning the heater pedestal at a height with respect to the lid isolator and possibly the confinement ring to cause processing gas to flow downwardly over the edge of the pedestal, preferably through a sloping choke aperture.
  • an thermally insulating edge ring is placed in a ledge recess formed at the rim of the heater pedestal generally outside of the wafer.
  • the edge ring includes a Z-shaped thermal shield that is separated from but protects both surfaces of the ledge as well as the side of the heater pedestal.
  • gaps between the edge ring and one or more thermal shields are maintained by a bushing protruding from a side of the shields.
  • the edge ring is electrically grounded to the heater pedestal through a strap screwed to the two members and having some mechanical flexibility, for example, being formed in a bent shape.
  • thermal shields are placed around the chamber wall below the heater pedestal.
  • the shields are preferably formed of quartz or other thermally isolating ceramic and are supported on the wall by minimal mechanical contact.
  • FIG. 1 is a cross-sectional view of a prior-art chemical vapor deposition plasma reactor enhanced for deposition of a conductive material such as titanium nitride.
  • FIG. 2 is a plan view of a showerhead electrode used with the reactor of FIG. 1.
  • FIG. 3 is a cross-sectional view of an area of the reactor of FIG. 1 at the periphery of the heater pedestal supporting the wafer and including the showerhead electrode and the vacuum pumping channel.
  • FIG. 4 is an isometric view of a thermally isolating centering ring disposed at the periphery of the heater pedestal of FIG. 1.
  • FIG. 5 is a plan view of a first embodiment of a showerhead of the invention.
  • FIG. 6 is a cross-sectional view of a showerhead hole.
  • FIG. 7 is a plan view of a second embodiment of a showerhead of the invention.
  • FIG. 8 is a cross-sectional view of a PECVD chamber of the invention particularly suited for deposition of titanium (Ti).
  • FIG. 9 is cut-away isometric view of the reactor of FIG. 8.
  • FIG. 10 is a top plan view of the cooling water channels in the reactor of FIG. 8
  • FIG. 11 is a cross-sectional view of another form of showerhead holes.
  • FIG. 12 is a cut-away isometric view of a reactor particularly suited for deposition of titanium nitride (TiN).
  • FIG. 13 is a cross-sectional view of the reactor of FIG. 12.
  • FIG. 14 is an enlarged cross-sectional view of the portion of the reactor of FIG. 13 around the edge of the pedestal.
  • FIG. 15 is a cross-sectional view of the curved plasma choke region in the Ti chamber of FIG. 8.
  • FIG. 16 is a cross-sectional view of the plasma confinement ring of FIG. 15.
  • FIG. 17 is a cross-sectional view of an improved edge ring in the TiN chamber of
  • FIGS. 12 and 13 are identical to FIGS. 12 and 13.
  • FIG. 18 is a cross-section view of the edge ring of FIG. 17 illustrating its support structure.
  • a first way of addressing the non-uniformity problem is to provide a greater mass flow of processing gas to the peripheral edge of the wafer 10 since the edge deposition has been observed to be thinner than that in the central area.
  • a first embodiment of a showerhead 120 achieving higher edge deposition is illustrated in plan view in FIG. 5.
  • An outer set of outer holes 122 are arranged in circles bracketing the periphery of the wafer 10, similarly to the configuration of FIG. 2. However, the density is significantly increased. For a 200mm wafer, each circle contains 250 to 300 holes, that is, a circumferential spacing of about 1mm. This amounts to an offset of 1.2°. The two circles are radially separated by about 2.8mm.
  • Radially inward of the outer holes 122 is another set of middle holes 124 arranged in a circle about 2.3mm inward from the inner circle of the outer holes 122.
  • the circumferential density of these middle holes 124 is about one-half of the outer holes 122, that is, a circumferential spacing of about 2mm.
  • Within the circle of the middle holes 122 there is formed an hexagonally close-packed array of inner holes 126 with a spacing between nearest neighbors of about 4.4mm. This spacing amounts to about twice that of the inner holes 124.
  • the boundary between the triangularly arranged inner holes 126 and the circularly arranged middle holes 126 should be manually selected to place the holes fairly close together without compromising mechanical integrity. All the holes 122, 124, 126 have a fairly conventional cross section, as illustrated in FIG. 6, of a wide upper part 128 and a narrow lower part 130.
  • the configuration of holes 122, 124, 126 in the showerhead 120 of FIG. 5 provides a substantially higher density of holes 122 on the outer periphery and also an additional intermediate density of holes 124 between the hexagonally close-packed inner holes 126 and the circularly arranged outer holes 122.
  • the progressive density ratios from the outside in is about 3:1 and 2:1, but the invention of this embodiment encompasses a first ratio between 2:1 and 4:1 and a second ratio between 1.5:1 and 3:1.
  • the showerhead 120 has been tested with TiN deposition. It has been observed to
  • the showerhead 120 is most economically formed of aluminum, although it may be desirable for corrosion resistance to nickel plate the aluminum to a thickness of 7 to lO ⁇ m.
  • the showerhead should be formed of bulk nickel even though this is an expensive material and is difficult to machine. Not only does bulk nickel offer a low- corrosion material, but it better withstands the thermal cycling of a hot PECVD process. Foster has disclosed such a nickel showerhead in U.S. Patent 5,665,640.
  • the perforated blocker plate 40 (FIG. 1) in back of the faceplate of the showerhead 24 should be formed of solid nickel for hot Ti deposition.
  • FIG. 5 for the showerhead is a specific example of a more general embodiment illustrated in plan view in FIG. 7 in which the hole density N(r) varies as a function of the radius from the center of a showerhead 140, where N(r) is a monotonically increasing function.
  • the hole density may be uniform at a value N 0 in a central zone 142 having a radius r 0 and progressively increase in outer zones 144, 146, 148.
  • An example of the dependence is the linear function
  • N(r) N n r o ;
  • -11- SUBST ⁇ JTE SHEET (Rule 26) median value within the zone.
  • Exemplary values for N(r) are 10%, 20%, and 30% over the standard central density N 0 . Even more variation is encompassed by the invention, but a minimum variation of 10% from the central value may be defined.
  • the spatial and density ranges need to be determined, preferably by experimental measurement of either the film deposition profile or the gas flow patterns. Our observation is that such variation of hole density needs to implemented beginning at about 150mm from the periphery of the wafer.
  • Such a tailored profile is especially useful when the CVD precursor is a liquid, for example in copper CVD or titanium CVD, which requires a bubbler or similar atomizer.
  • the blocker plate 40 of FIG. 1 can be eliminated.
  • the blocker plate is effective at providing a fairly uniform pressure in the lower cavity 30, it does so at the expense of a high flow impedance. That is, the atomized precursor needs to be provided at high pressure through the blocker plate.
  • Atomized precursors are thermodynamically unstable, so a high pressure of the precursors is highly likely to cause a significant deposition in back of the blocker plate 40 and in the lines back to the bubbler.
  • an even pressure in back across the faceplate of the showerhead is not required, and the precursor can be delivered at a lower pressure, producing less deposition on the backside of the showerhead.
  • a profiled hole density has been successfully applied to the chemical vapor deposition of copper in a thermal process, the wafer being held at about 200°C, using the well known precursor HFAC-Cu-TMVS, also known as Cu(I).
  • This precursor gas deposits copper at a rate approximately linearly proportional to the pressure.
  • This process is described by Gelatos et al. in "Chemical vapor deposition of copper for advanced on-chip interconnects," MRS Bulletin, vol. 19, no. 8, August 1994, pp. 40-53.
  • Alternative precursors and processes are described by Doppelt et al. in "Chemical vapor deposition of copper for IC metallization: precursor chemistry and molecular structure," ibid., pp. 41-48.
  • the profiled hole distribution allow the holes to be formed in a straight cylindrical shape with a diameter of 1.6mm versus the usual diameter of 0.4mm, and it
  • SUBST ⁇ TJTE SHEET (Rule 26) further allows the elimination of the blocking plate. These two features reduce the aerodynamic impedance so that the copper precursor gas can be supplied at a much lower pressure. Nonetheless, the copper thickness uniformity was improved over the standard showerhead from 5% to 3%.
  • the modifications of the showerhead are effective at compensating for thermal distributions that degrade the uniformity of deposition.
  • a more direct approach is to improve the cooling of the faceplate of the showerhead so as to keep it at a constant temperature, thus ensuring wafer-to-wafer reproducibility.
  • One approach to better thermal control of the showerhead is illustrated for a Ti deposition chamber 150 illustrated in cross section in FIG. 8 and in cut-away isometric view in FIG. 9, for which parts similar to those of FIG. 1 are commonly numbered.
  • Its heater pedestal 152 is shallower and is connected to a pedestal stem 154, itself connected to vertical moving means.
  • the heater portion of the heater pedestal 152 is formed of a ceramic because of the high temperatures required.
  • the ceramic composition alleviates some of the thermal transfer problems so that the thermally isolated edge ring is not required for the titanium chamber
  • a metallic showerhead 156 has a relatively short upwardly extending rim 158 screwed to a metallic gas box plate 160.
  • a convolute water channel 162, illustrated in plan view in FIG. 10, is formed in the top of the gas box plate 160 and covered and sealed by the cooling water cover plate 34.
  • the water ports 48, 50 through the cooling water cover plate 34 are not illustrated in FIG. 8, but they connect ends 164, 166 of the water channel 162 near to the center of the gas box plate 160.
  • the convolute water channel 162 is formed in a serpentine though generally circumferential path having three sharp U-turns 168 or U-shaped bends as it progresses from the inside to the outside until it returns to the inside in a radial channel 170.
  • the water channel 162 is narrow enough and its bends 168 are sharp enough to ensure that the flow of water become turbulent, thus aiding the flow of heat from the flange of the gas box plate 160 to the water in the channel 162.
  • the single moat-shaped water channel 46 in FIG. 1 is relatively wide with no sharp bends with the result that the water may well flow laminarly over much of the moat 46. In laminar flow, the portion of water next to the channel edges flows much more slowly than in the center.
  • the upwardly extending rim 158 of the showerhead 156 is screwed to a bottom rim 172 of the gas box plate 160. Both these rims 158, 172 are maximally sized between an encompassing lid isolator 174 and the encompassed lower cavity 30 of the showerhead 156.
  • the screw fastening between the two members 156, 160 ensure good thermal contact over the maximally sized contact area.
  • the thermal flow area extends from the outside at the lid isolator 174 (except for a gap between the isolator 174 and either the showerhead 156 or the gas box plate 160) to the inside at the lower cavity 30. There is no vertically extending gap 54 (see FIG.
  • the structure of the water cooling channels 162 ensures efficient thermal transfer between the water and the gas box plate 160, and the mechanical interface between the flange of the gas box plate 160 and the showerhead 172 ensures efficient thermal transfer between them. Accordingly, the cooling of the showerhead 172 is greatly enhanced.
  • each hole 180 in the showerhead 156 can be improved to decrease thermal transfer between the showerhead 156 and the plasma.
  • each hole 180 includes a wider cylindrical portion 182 at the side facing the plasma in the processing area 26, and it is connected to a narrower cylindrical portion 184 facing the bottom cavity 30.
  • This configuration is the opposite of the standard configuration of FIG. 6 and exposes a minimum amount of the showerhead material directly to the plasma while the more massive back of the showerhead provides mechanical strength.
  • Gas is supplied to the gas port 32 and water is supplied to and from the water ports 48, 50 through a voltage gradient assembly 190 (also referred to as an output manifold), illustrated in FIGS. 9 and 12, having a proximate end supported on the chamber body 20 and a distal end of over the center of the chamber.
  • the voltage gradient assembly 190 also couples RF power to the showerhead 156, 200.
  • the voltage gradient assembly 190 includes graphite or quartz tubes through which the gas and water are supplied and which provide a highly resistive path from the showerhead 172, 200 to ground.
  • the invention has been implemented for a TiN chamber, as shown in the partially sectioned isometric view of FIG. 12 and the cross-sectional side view of FIG. 13.
  • the TiN chamber includes the convolute cooling water channel 62 formed in the gas box plate 36.
  • a showerhead 200 includes a long upwardly extending rim 202 and a wide outwardly extending flange 204 attached to the top of the rim 202.
  • a gas box plate 206 including the convolute cooling water channel 162 has a wide outwardly extending flange 208 resting on the showerhead flange 204, thereby providing good thermal contact though over a longer path.
  • the showerhead flange 204 rests on the ceramic or quartz lid isolator 174.
  • the confinement can be achieved in at least two ways, as practiced alternatively by the TiN and Ti chambers.
  • the lid isolator 174 for the TiN chamber includes a generally planar bottom surface 174a extending inwardly generally at a level of the bottom of the showerhead 200. It also includes an outer lip 174b, a concave top side of which defines a side portion of the pumping channel 74.
  • the outer lip 174b has an inner lower surface 174c that slopes downwardly from the level of the showerhead 200 and which includes a concave portion, a linearly sloping portion, and a horizontal portion 174d that terminates next to the pumping channel 74.
  • the inner shield 84 resting on the insert ring 82 includes an upwardly extending ridge 84a, which defines together with the innermost area of the isolator horizontal lower surface portion 174d a choke point for the flow of gas from the processing area 26 to the pumping channel 74.
  • the insert ring 82 is preferably formed of a metal such as aluminum while the insert ring 82 is preferably formed of a ceramic such as alumina or aluminum nitride.
  • the figures show the heater pedestal 14 to be somewhat lower than its operational position.
  • the top of the wafer 10 supported on the heater pedestal 14 is generally at the same height was the isolator horizontal lower surface portion 174d with the wafer 10 separated from the showerhead 200 by about 1/4" (6mm).
  • Two effects are thereby achieved.
  • sloping portion of the iosolator lower surface 174c forces the the gas to flow downwardly from the processing region 26 to below the top of the heater pedestal 14. This is believed to prevent the plasma from propagating into the pumping channel 74.
  • there is no direct line of sight from the plasma in the processing region 26 into the pumping channel thus preventing ions extracted from the plasma from directly hitting the surfaces within the pumping channel.
  • the plasma confinement is achieved somewhat differently in the Ti chamber than in the TiN chamber, as illustrated in the cross-sectional view of FIG. 15, which is an enlarged view of the area the heater pedestal edge also shown in FIG. 8.
  • RF power is applied to the heater pedestal 152 as well as to the showerhead 156.
  • a confinement ring 210 which is individually illustrated in the yet larger cross-sectional view of FIG. 16, is supported on its innermost end by a narrow annular wall or ridge 211 resting on the upper periphery of the heater pedestal 152 outside of the area of wafer. The narrow ridge 211 minimizes thermal contact.
  • the confinement ring 210 includes a curved upper surface 212 that is generally horizontal over the heater pedestal 152 but gradually slopes downwardly outside of the heater pedestal 152.
  • the isolator 174 has a lower annular knob 214 with a generally planar ledge 216 extending inwardly to support the showerhead 156 and in its processing position is spaced from the horizontal portion of the ring's upper surface 212 by a nearly constant gap 218 of about 0.165" (4.2mm).
  • Both the confinement ring 210 and the lid isolator 174 have inner facing surfaces located just outside of the exposed portion of the showerhead 156 and are formed of quartz so that they are electrically insulating and confine the plasma to the processing area 26 because of adsorbed charge.
  • the inner end of the confinement ring 210 may be extended very close to the wafer 10 to protect the otherwise exposed periphery of
  • the TiN chamber of FIGS. 12 and 13 includes a similar lid isolator 174 but does not include the confinement ring 210.
  • An outwardly extending portion 220 of the isolator knob 214 includes a curved lower surface 220 generally following the curved ring surface 212 so as to maintain the size of the gap 218.
  • the gap 218 curves downwardly to such an extent that there is no straight line view between the processing area 26 and the pumping channel 74.
  • the curved gap 218 prevents the plasma within the processing area from propagating into the annular pumping channel, we believe, because the curved gap 218 increases the diffusion length.
  • the confinement of the plasma away from the pumping channel 74 is especially important for Ti CVD because titanium is difficult to plasma clean.
  • plasma confinement prevents Ti deposition on the backside of the heater pedestal 152 and one the lower chamber parts in the presence of the very hot temperatures used in Ti CVD.
  • the confinement ring 210 further acts to protect the periphery of the heater pedestal 152 from the plasma.
  • the area around the edge ring 90 in the TiN chamber of FIG. 13 has been improved as illustrated in the cross-sectional view of FIG. 17.
  • the upper isolator ring 102 of FIG. 4 has been retained but the lower isolator ring 104 has been replaced by a Z-shaped annular thermal shield 230 including an inner, upwardly extending cylindrical portion 232, an outer, downwardly extending portion 234, and a connecting ring portion 236. Except for a three-point support structure, the thermal shield 230 is separated from the pedestal 14 by a gap, thereby providing thermal isolation.
  • the inner cylindrical portion 232 of the thermal shield 230 protects an inner wall 238 of the ledge 92 formed in the heater pedestal 14 just underneath the periphery of the wafer 10; the ring portion 236 protects the ledge 92 accommodating the edge ring 90; and, the outer cylindrical portion 234 protects the entire outer sidewall 240 of the heater pedestal 14.
  • the aluminum heater pedestal 14 is almost completely shielded by either the wafer 10 or the thermal shield 230.
  • the edge ring 90 is preferably made of aluminum, and the upper isolator ring 102, and the thermal shield 230 are preferably composed of stainless steel, a corrosion- resistant metal with low thermal conductivity.
  • the edge ring 90, the upper isolator 102, and the thermal shield 230 are separated from each other and from the heater pedestal 14 by gaps and are thus thermally isolated, decreasing thermal flow from the exposed periphery of the heater pedestal 14 to the showerhead 200.
  • FIG. 18 An improved three-point support structure for the edge ring 90 is shown in the cross-sectional view of FIG. 18.
  • a bushing 250 is force fit into an axial hole bored in the isolator ring 102 and has a length greater than the thickness of the isolator ring 102. The force fitting is performed such that ends of the bushing 250 extend above and below the isolator ring 102 to act as spacers between the isolator ring 102 and both the edge ring 90 and the ring portion 236 of the thermal shield 230. Thereby, there is no need for separate washers, as is done in the design of FIG. 4.
  • Three flat-head screws 252 are fit into counter-sunk pass holes in the ring portion 236 of the thermal shield 230, freely pass through a central bore of the bushing 250, and are screwed into tapped bottomed holes 254 extending from the bottom of the upper arm 106 of the edge ring 90.
  • a flat surface at the bottom of the edge ring 90 rests freely on three slotted support screws 258 threaded into screw holes 258 tapped axially at the periphery of the heater pedestal 14 in the area of local recesses 260.
  • the three-point support provides minimal thermal conductance between the heater pedestal 14 and the edge ring 90, and the three screws 258 can be rotatably adjusted to flatten the orientation of the edge ring 90.
  • the bottom surfaces of the edge ring 90 resting on the support screws are flat, thereby allowing some radial movement of the edge ring to accommodate differential thermal expansion.
  • the aluminum edge ring 90 To prevent charging of the aluminum edge ring 90, it is solidly electrically connected to the heater pedestal 14 through one or more electrical straps 262 associated with the three support screws 260.
  • the strap 262 includes an inwardly extending tab 264 with a hole through which passes the support screw 256 and which is captured between a boss 266 on the support screw 260 and the heater pedestal 14.
  • the strap 262 also includes an upwardly extending arm 268 passing through a circumferential slot 270 in the
  • a long second tab 272 connected to the upper end of the strap arm 268 extends circumferentially of the edge ring 90 with a corresponding circumferential recess 274 formed at the bottom of the outer edge of the edge ring 90 with a thin wall 276 formed between the recess 274 and the outer periphery of the edge ring.
  • An unillustrated hole is tapped into near the end the long second tab 272.
  • a set screw 278, illustrated in FIG. 13, is screwed through a tapped through hole in the wall 272 of the edge ring 90 and selectively engages the hole tapped through the tab 272 of the strap 262, thereby providing electrical connection between the edge ring 90 and the heater pedestal 14.
  • the design of the strap has the advantage of providing limited mechanical flexibility during the thermal cycling of the parts.
  • the assembly of the edge ring is straightforward and can be accomplished without removing the heater pedestal 14 from the chamber.
  • the support screw 256 is passed through the hole in the inner tab 266 of the strap 262 and is screwed into the tapped pedestal hole 258 so as to capture the strap 262.
  • the edge ring 90, isolator ring 102, and thermal shield 234 are fixed to each other by the screws 252.
  • the set screws 278 can at all times be left in the wall 276 of the edge ring 90 to eliminate any extra parts.
  • the edge ring assembly 90, 102, 230 is then lowered onto support screws 256 extending from the top of the heater pedestal 14 with the upper arms 268 of the straps 262 passing through the holes 270 in the thermal shield 230.
  • the set screws 278 are then fastened to the strap tab 272, providing the only fixed, though somewhat flexible, connection between the edge ring 90 and the heater pedestal 14. All the parts associated with the edge ring 90 are preferably made of stainless steel except for that the bent sheet strap 262 and the edge ring 90 itself are of aluminum.
  • the tubular chamber insert 84 supporting the aluminum inner shield is supported in the TiN chamber body 20 surrounding the edge of heater pedestal 14 just outside of the outer cylindrical portion 234 of the thermal shield 230 with a gaps formed between the heater pedestal 14 and the cylindrical portion 234 of the thermal shield and between the chamber insert 84 and the chamber wall 20.
  • the lateral thermal impedance is also increased. Accordingly, the heater pedestal 14 and
  • the supported wafer 10 can be heated to a high temperature with low thermal flow from the heater pedestal 14 to either the showerhead 200 or the chamber 20. Although there is large thermal flow between the wafer 10 and the showerhead 200, it is uniform over the surface of the wafer 10.
  • an annular chamber liner 280 made of quartz not only defines a side of the pumping channel 74 but also partially defines a further choke aperture 282 (see FIG. 15 also) between the processing area 26 and the pumping channel 74. It also supports the confinement ring 210 in the lowered position of the heater pedestal 152, 154. Further, as better illustrated in FIG. 8, the chamber liner 280 also surrounds a circumference at the back of the heater pedestal 152. The chamber liner 280 rests on a narrow ledge 283 in the chamber body 20, but there is little other contact, so as to minimize thermal transport.
  • a Z-shaped lower chamber shield 284 preferably made of opaque quartz.
  • the lower chamber shield 284 rests on the bottom of the chamber 20 on an annular boss 286 formed on the bottom of the bottom inwardly extending arm of the isolator 284.
  • the quartz prevents radiative coupling between the bottom of the heater pedestal 152 and the Ti chamber 20, and the narrow boss 286 minimizes conductive heat transfer to the chamber 20. Tests have shown that with the lower chamber thermal shield 284, better uniformity of deposition onto the wafer 10 is achieved.
  • An alternative design for the lower chamber shield 284 includes an inwardly extending bottom lip joined to a conically shaped upper portion conforming to the inner wall of the chamber 20. While this design is operationally satisfactory, the sloping shape is much more expensive to fabricate in quartz.
  • the lift ring 16 is also made of quartz so as to minimize heat transfer from the heater pedestal 152 through the lift pins 18 (FIG. 1) and the lift tube 17 to the lift mechanism.
  • -21- plasma reactors especially CVD chambers for the high-temperature deposition of metals or of other materials, such as the perovskite oxides, polysilicon, metal suicides, refractory nitrides, etc..

Abstract

A plasma reaction chamber particularly configured for chemical vapor deposition of titanium nitride with a TDMAT precursor, the deposition including a plasma step. Gas is injected from a gas cavity in a showerhead electrode assembly (200) through a large number of showerhead holes into the processing region (26) over the wafer (10). The showerhead electrode is capable of being RF energized to create a plasma of a gas in the processing region. The showerhead electrode and other parts of the assembly are cooled by a cooling plate (200) disposed above the gas cavity and connected to a rim of the showerhead electrode. A convolute water-cooling channel (162) is formed in the cooling plate having a small cross section and numerous bends so as to create turbulent flow, thus aiding thermal transfer. The water cooling plate is connected to the showerhead electrode across a large horizontal interface, thus also aiding thermal flow. An edge ring assembly (90, 102, 230) is positioned in a peripheral recess at the top of a heater pedestal supporting the wafer next to the processing region. The showerhead is insulated from the chamber body by an isolator (174) having a downwardly sloping lower surface (174c) facing the processing region. Thereby, the isolator by itself or in combination with a plasma confinement ring (84) around the wafer confines the plasma to the process area and induces the exhaust to flow downwardly from the processing region. The assembly includes a Z-shaped heat shield (230) disposed between the walls of the recess and of the pedestal side and other parts of the ring assembly with gaps between the various members, thereby promoting thermal isolation in the edge region as well as protecting the side of the pedestal.

Description

REACTOR FOR CHEMICAL VAPOR DEPOSITION
RELATED APPLICATIONS
This application is a continuation in part of Serial No. 08/982J27, filed December 2, 1997. This application is also related to application Serial No. 08/982,944, filed December 2, 1997, and to concurrently filed applications Serial Nos. 09/023,437 and 09/023,866.
FIELD OF THE INVENTION
The invention relates generally to plasma reactors and their operation. In particular, the invention relates to the reactors capable of plasma-enhanced chemical vapor deposition.
BACKGROUND ART
Plasma-enhanced chemical vapor deposition (PECND) is an important and widely practice method of depositing films in advanced semiconductor integrated circuits. In PECVD, a precursor gas is admitted into a reaction chamber held at a reduced pressure, and oscillatory electric energy at a radio frequency (RF) is applied to the chamber to excite the gas into a plasma. The gas reacts with the surface of a wafer exposed to the plasma to form a film on the wafer of a composition derived from that of
-1- the precursor gas.
Probably the widest use of PECVD for silicon integrated circuits involves the deposition of silicon dioxide (SiO2), also referred to as silica or simply oxide. The oxide forms an insulating layer, for example, between layers of electrical interconnects. The favored precursor for silicon dioxide formed by PECVD is tetraethyl orthosilicate (TEOS). PECVD is the favored technique for depositing oxide because the plasma supplies the activation energy rather than in a thermally activated process in which high temperature provides the energy. Therefore, the oxide can be deposited at relatively low temperatures over previously defined features, thereby reducing the thermal budget used for the oxide.
Sputtering (also called physical vapor deposition or PVD) has enjoyed the widest use in the deposition of layers of metals and other conductive materials because of its high deposition rate and low cost of precursor materials. However, sputtering is a generally ballistic process and has difficulty in coating narrow and deep apertures, of the sort required for via and contact holes between wiring layers. One favored technique for filling such holes is to first conformally coat the walls of the hole with a thin layer of titanium (Ti) and then to conformally coat the Ti-covered walls with a thin layer of titanium nitride (TiN). Thereafter, sputtered aluminum more easily filled into the hole. The Ti/TiN layer, generally referred to as a liner, provides good adhesion between the titanium and the oxide walls, and the TiN forms a barrier against aluminum migration.
It is possible to use sputtering to deposit an approximately conformal coating in holes of high aspect ratios, but much effort is being expended in using CVD or PECVD for one or both of these layers. It is known to deposit CVD TiN using tetrakis-dimethyl- amido titanium (TDMAT) as a precursor. This material is a metal-organic compound which is a liquid at room temperature. The TDMAT CVD process requires thermal decomposition, preferably around 450°C, and a subsequent plasma treatment to remove the carbon content in the as-deposited film. It is also known to deposit CVD Ti using titanium tetrachloride (TiCl4) as the precursor. TiCl4 is also a liquid at the temperatures involved and so requires a bubbler or a liquid injector to produce a gas-phase precursor, but this difference does not directly affect most aspects of the plasma processing in which the gas entraining the TiCl4 is energized into a plasma adjacent to the wafer so as
-2- SUBSTΠ JTE SHEET (Rule 26) to activate the reaction causing titanium to deposit on the wafer.
The chemical vapor deposition of a metal layer in a plasma chamber introduces problems not experienced in PECVD chambers used for the deposition of dielectrics. Almost inevitably, some of the metal is deposited on parts of the chamber other than the wafer. The metal may be deposited on dielectric members in the chamber intended to electrically isolate various portions of the chamber. At worst, the extra metal could short out the RF -biased gas showerhead. At a minimum, the changing extent of the grounding surfaces will cause the electrical fields to vary, thus causing the uniformity of the plasma and thus the uniformity of deposition to vary over time. Accordingly, the chamber must be designed to both minimize the effect of any metal deposited on chamber parts in the processing area and also to minimize the deposition of metal in areas away from the processing.
Very recent work of others has demonstrated that the titanium deposition rate and deposition uniformity using TiCl4 as the precursor can be greatly increased by maintaining the wafer at a relatively high temperatures during the plasma deposition, despite the fact that deposition is primarily plasma activated. A desired temperature range extends between 600°C and 750°C. At these temperatures, there are several problems not experienced at the lower temperatures experienced in dielectric deposition.
Zhao et al. have addressed some of these problems, at least for TiN, in U.S. Patent Application, Serial No. 08/680J24, filed July 12, 1996, incorporated herein by reference in its entirety, which discloses the CVD reactor illustrated in cross-section in FIG. 1. This figure illustrates many of the features of the TiNxZ CVD reactor available from Applied Materials, Inc. of Santa Clara, California.
A wafer 10 is supported on a surface 12 of a heater pedestal 14 illustrated in its raised, deposition position. In its lowered, loading position a lifting ring 16 attached to a lift tube 17 lifts four lift pins 18 slidably fitted into the heater pedestal 14 so that the pins 18 can receive the wafer 10 loaded into the chamber through a loadlock port 19 in the reactor body 20. The heater pedestal 14 includes an electrical resistance heater 20, which controllably heats the wafer 10 it supports. The temperatures experienced in a TiN reactor are low enough to allow the heater pedestal 14 and attached heater to be principally formed of aluminum. Often at least the upper part of the heater pedestal 14 is
-3- SUBSTΓΠJTE SHEET (Rule 26) referred to simply as the heater.
In its upper, deposition position, the heater pedestal 14 holds the wafer 10 in close opposition to a lower surface 22 of a faceplate 24, a processing region 26 being defined between the wafer 10 and the surface 22. The faceplate 24, often referred to as a showerhead, has a large number of apertures 28 in its face 22 communicating between a lower distribution cavity 30 and the processing region 26 to allow the passage of processing gas. The processing gas is supplied through a gas port 32 formed at the center of a water-cooled gas box plate 36 made of aluminum. The upper side of the gas box plate 36 is covered by a water cooling cover plate 34 surrounding the upper portion of the gas box plate 36 that includes the gas port 32. The gas port 32 supplies the processing gas to an upper cavity 38 separated from the lower cavity 30 by a blocker plate 40, also having a large number of apertures 42 therethrough. One purpose of the cavities 30, 38, the perforated showerhead 24, and blocker plate 40 is to evenly distribute the processing gas over the upper face of the wafer 10.
A standard showerhead provided with the TiNxZ chamber has a somewhat irregular hole pattern, illustrated in the partial plan view of FIG. 2 of the showerhead face 22. A first set of holes 42 are arranged in two circles generally bracketing the periphery of the wafer 10. A second set of holes 44 are arranged in an hexagonal close packed array in side the two circles. The spacings of both sets of holes 42, 44 equal about the same small value so that the distribution of holes is fairly uniform. Law et al. in U.S. Patent 4,960,488 disclose a showerhead having two densities of holes, but different gases are injected through the two sets of holes.
Returning to FIG.l, a single circular channel or moat 46 is formed in the top of the gas box plate 36 and is sealed by the cooling water cover plate 34. Two water ports 48, 50 are formed in the center portion of the gas box plate 36 also occupied by the gas port and respectively act as inlet and outlet for cooling water supplied to cool the showerhead 24. Often a 50:50 mixture of water and glycol is used to efficiently remove heat and maintain process stability. This cooling liquid and any other cooling liquid will be henceforward referred to as cooling water. The gas box including the gas box plate 36 and the cooling water cover plate 34 rests on a fairly narrow circular ledge 52 of the showerhead 24, and a gap 54 is necessarily formed between the outer circumferential
-4- surface of the cooling water cover plate 34 and the upper, inner annular surface of the showerhead 24 because of the different temperatures that may develop in the two pieces. The cooling water cools the showerhead 24 to maintain it at a low temperature despite the plasma heating and resistive heating of the heater pedestal 14. Thereby, the processing gas tends not to coat the showerhead 24 and clog its apertures 28.
An RF power source 60 is connected to the showerhead 24 and to the gas box plate 36 and acts against the grounded chamber body 20 and the grounded heater pedestal 14 to form a plasma of the processing gas in the processing region 26. A lid isolator 64 is interposed between the showerhead 24 and a metal lid rim 66, which can be lifted off the chamber body 20 to open the chamber to provide maintenance access. The lid isolator 64 is made of an electrically insulating ceramic to isolate the RF -biased showerhead 24 from the grounded chamber body 20. A flange 65 of the showerhead 24 rests on a flange 67 of the isolator 64 through an upper, outer, bottom face 68 located above its ledge 52 supporting the gas box plate 36. Law et al., ibid, disclose an alternative arrangement of the showerhead isolator and the gas box plate.
The vacuum within the reactor is maintained by a vacuum pump 70 connected to a pump plenum 72 in the reactor body 20, which connects to an annular pumping channel 74. Figure 3 better illustrates the pumping channel 74 defined by the lid isolator 64, a lid liner 76, an outer shield 78, an insulating chamber insert ring 82 fit in the chamber body 20, and a metal inner shield 84 fit in the chamber insert ring 82. The pumping channel 74 is connected to the processing region 26 through a straight choke aperture 86 formed between the lid isolator 24 and the metal inner shield 84. The liners 76, 78 can be easily removed during servicing to prevent build up of a metallic coating on the walls of the pumping channel 74.
An edge ring 90 is positioned within an annular ledge 92 located at the upper peripheral edge of the heater pedestal 14. The edge ring 90 is generally circular but may be modified to conform to a flat of the wafer 10. The edge ring 90 operates to center the wafer 10 through unillustrated centering bosses located at the edge of an inwardly facing annular ledge 94 of the centering ring 90. The edge ring 90 also operates to thermally isolate the ledge 92 of the heater pedestal 14 otherwise exposed by the wafer 10 on top of the heater pedestal 14. The ring 90 is supported by three ceramic pins 96 fixed to the
-5- heater pedestal 14 but sliding in short radial grooves 98 at the bottom of an outer, lower arm 100 of the edge ring 90. As better illustrated in the sectioned isometric view of FIG. 4, two thermally isolating rings 102, 104 are fixed to the bottom of an inner, upper arm 106 of the edge ring 90 by flat-headed fasteners 108. Two washers 110 placed on each fastener 108 creates a first thermally isolating gap between the upper arm 106 of the centering ring 100 and the upper isolating ring 102, a second one between the two isolating rings 102, 104, and a third one between the lower isolating ring 104 and the bottom of the ledge 92.
The structure of the thermally floating edge ring 90 and its two thermally isolating rings 102, 104 serves to thermally shield the edge ring 90 from the underlying heater pedestal 14. As a result, the periphery of the heater pedestal 14 exposed by the wafer 10 is maintained at a substantially lower temperature than the central portion to avoid undesirable film buildup during extended use. At the central portion of the heater pedestal 14, the temperature profile is flattened so that the uniformity of deposition is greatly improved.
Although the above features contribute to an improved operation of a CVD reactor, particularly one intended for deposition of a metal or other conductor such as TiN, further improvements are desired.
The heater pedestal 14 for TiN is economically formed mostly of aluminum, which however is prone to erosion from any plasma to which it is exposed, even in the area of the ledge 92 mostly occupied by the edge ring 90.
The assembly of the edge ring 90 to the heater pedestal 14 has proven to be difficult because of the multiple loose parts.
The above described chamber was designed for CVD of TiN. Substantially higher temperatures are desired for a CVD reactor depositing Ti. The higher temperature increases the difficulty of obtaining a uniform temperature profile across the heater pedestal and the wafer it supports while maintaining the temperature of the showerhead at a low temperature. The higher temperature combined with the highly corrosive TiCl4 environment makes any portion of the chamber exposed to the TiCl4 plasma subject to damage. These elements include the heater pedestal heater and the showerhead. Both these items are very expensive, and it is greatly desired to increase their service life by
-6- any means possible.
The higher temperatures required for the TiCl4 process and its atomized state as it enters the reactor tends to produce titanium nitride deposition in the showerhead area unless temperatures there are tightly controlled at lower values. Also, the higher temperatures tend to produce thermal gradients throughout the chamber. Any such thermal gradient provides a mechanism for non-uniform deposition.
The deposition should be made as uniform as possible by any available means. If there is any non-uniformity towards the edges, the variation should be toward a somewhat thicker layer. If the variation is toward a thinner layer, there is a danger that a vanishingly small thickness is deposited in some portion of the wafer, thus severely impacting yield of operable chips. However, experience has shown that even with the improved chamber of FIGS. 1 through 4 the TiN layer tends to thin toward the edges.
SUMMARY OF THE INVENTION
The invention may be summarized as a plasma reaction chamber, particularly one intended for chemical vapor deposition of conductive materials such as titanium and titanium nitride, and particularly at higher temperatures.
The invention includes a design intended to provide thermal control of the chamber parts so as to avoid radial temperature gradients over the face of the heater pedestal supporting the wafer.
In one aspect of the invention, a perforated showerhead faceplate is positioned closely to the wafer to inject processing gas to the wafer. The faceplate is cooled by a water-cooled plate into which is formed a convolute water channel having sufficiently small cross section and sufficient number of turns to create a turbulent flow of the cooling water. The faceplate is thermally coupled to the water-cooled water plate, preferably extending a distance at least equal to that across a horizontal interface extending from an isolator around the showerhead member to a gas manifold formed in back of the faceplate. Preferably, the horizontal interface exists at the top of the showerhead and an extended flange of the gas box.
In another aspect of the invention, the distribution of holes in the showerhead is varied across the radius of the wafer to compensate for temperature gradients and other
-7- SUBSTΠTJTE SHEET (Rule 26) effects. Typically, this requires additional gas flow at the outer edges and hence an increased density of total aperture area closer to the periphery. The shape of the apertures may be modified to have a relatively large diameter on the processing side of the faceplate. With a carefully tailored distribution of faceplate apertures, the perforated blocker plate in back of the showerhead faceplate may be eliminated and the minimum cross section of the apertures increased, thereby reducing aerodynamic impedance.
In a further aspect of the invention applicable to highly corrosive, high- temperature processes, such as those involving TiCl4, both the showerhead faceplate and the block plate are formed of solid nickel.
In yet a further aspect of the invention, a quartz plasma confinement ring rests on the heater pedestal outside the wafer and additionally protecting the heater pedestal. To minimize thermal flow, the ring rests on the heater pedestal on a downwardly extending ridge formed in the ring. A passage between the processing area and the pumping is formed between the confinement ring and a lid isolator. The confinement ring and lid isolator are shaped such that the passage is curved and downwardly providing no straight line path between the two regions. In a modification of this aspect, the confinement ring is not used, but the sloping bottom of the lid isolator induces a downward flow of the processing gas from the processing area to the pumping channel, and the extent of the slope is sufficient to shield the pumping channel from the plasma in the processing area.
An allied aspect of the invention includes positioning the heater pedestal at a height with respect to the lid isolator and possibly the confinement ring to cause processing gas to flow downwardly over the edge of the pedestal, preferably through a sloping choke aperture.
In a still further aspect of the invention, an thermally insulating edge ring is placed in a ledge recess formed at the rim of the heater pedestal generally outside of the wafer. The edge ring includes a Z-shaped thermal shield that is separated from but protects both surfaces of the ledge as well as the side of the heater pedestal. Preferably, gaps between the edge ring and one or more thermal shields are maintained by a bushing protruding from a side of the shields. Preferably also, the edge ring is electrically grounded to the heater pedestal through a strap screwed to the two members and having some mechanical flexibility, for example, being formed in a bent shape.
-8- SUBSTΠTJTE SHEET (Rule 26) In yet another aspect of the invention, thermal shields are placed around the chamber wall below the heater pedestal. The shields are preferably formed of quartz or other thermally isolating ceramic and are supported on the wall by minimal mechanical contact.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a cross-sectional view of a prior-art chemical vapor deposition plasma reactor enhanced for deposition of a conductive material such as titanium nitride.
FIG. 2 is a plan view of a showerhead electrode used with the reactor of FIG. 1.
FIG. 3 is a cross-sectional view of an area of the reactor of FIG. 1 at the periphery of the heater pedestal supporting the wafer and including the showerhead electrode and the vacuum pumping channel.
FIG. 4 is an isometric view of a thermally isolating centering ring disposed at the periphery of the heater pedestal of FIG. 1.
FIG. 5 is a plan view of a first embodiment of a showerhead of the invention.
FIG. 6 is a cross-sectional view of a showerhead hole.
FIG. 7 is a plan view of a second embodiment of a showerhead of the invention.
FIG. 8 is a cross-sectional view of a PECVD chamber of the invention particularly suited for deposition of titanium (Ti).
FIG. 9 is cut-away isometric view of the reactor of FIG. 8.
FIG. 10 is a top plan view of the cooling water channels in the reactor of FIG. 8
FIG. 11 is a cross-sectional view of another form of showerhead holes.
FIG. 12 is a cut-away isometric view of a reactor particularly suited for deposition of titanium nitride (TiN).
FIG. 13 is a cross-sectional view of the reactor of FIG. 12.
FIG. 14 is an enlarged cross-sectional view of the portion of the reactor of FIG. 13 around the edge of the pedestal.
FIG. 15 is a cross-sectional view of the curved plasma choke region in the Ti chamber of FIG. 8.
FIG. 16 is a cross-sectional view of the plasma confinement ring of FIG. 15.
FIG. 17 is a cross-sectional view of an improved edge ring in the TiN chamber of
-9- FIGS. 12 and 13.
FIG. 18 is a cross-section view of the edge ring of FIG. 17 illustrating its support structure.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
A first way of addressing the non-uniformity problem is to provide a greater mass flow of processing gas to the peripheral edge of the wafer 10 since the edge deposition has been observed to be thinner than that in the central area. A first embodiment of a showerhead 120 achieving higher edge deposition is illustrated in plan view in FIG. 5. An outer set of outer holes 122 are arranged in circles bracketing the periphery of the wafer 10, similarly to the configuration of FIG. 2. However, the density is significantly increased. For a 200mm wafer, each circle contains 250 to 300 holes, that is, a circumferential spacing of about 1mm. This amounts to an offset of 1.2°. The two circles are radially separated by about 2.8mm. Radially inward of the outer holes 122 is another set of middle holes 124 arranged in a circle about 2.3mm inward from the inner circle of the outer holes 122. The circumferential density of these middle holes 124 is about one-half of the outer holes 122, that is, a circumferential spacing of about 2mm. Within the circle of the middle holes 122, there is formed an hexagonally close-packed array of inner holes 126 with a spacing between nearest neighbors of about 4.4mm. This spacing amounts to about twice that of the inner holes 124. The boundary between the triangularly arranged inner holes 126 and the circularly arranged middle holes 126 should be manually selected to place the holes fairly close together without compromising mechanical integrity. All the holes 122, 124, 126 have a fairly conventional cross section, as illustrated in FIG. 6, of a wide upper part 128 and a narrow lower part 130.
The configuration of holes 122, 124, 126 in the showerhead 120 of FIG. 5 provides a substantially higher density of holes 122 on the outer periphery and also an additional intermediate density of holes 124 between the hexagonally close-packed inner holes 126 and the circularly arranged outer holes 122. The progressive density ratios from the outside in is about 3:1 and 2:1, but the invention of this embodiment encompasses a first ratio between 2:1 and 4:1 and a second ratio between 1.5:1 and 3:1. The showerhead 120 has been tested with TiN deposition. It has been observed to
-10- achieve an over coating near the edges. Although perfect uniformity would be more desirable, a slight over coating is more desirable than a slight undercoating. In either case, there are process variations which seemingly randomly vary the thickness up and down. If the mean deposition at the edge is an undercoat, then a given negative variation may render the TiN film to be overly thin and cause the chip to catastrophically fail. On the other hand, if the mean value is an overcoat, the same given negative variation is likely to produce a good chip, and an equal positive variation is unlikely to produce significant changes in the chip performance since the thickness of the Ti or TiN layer is mostly limited by throughput considerations rather than by performance considerations.
For TiN deposition, the showerhead 120 is most economically formed of aluminum, although it may be desirable for corrosion resistance to nickel plate the aluminum to a thickness of 7 to lOμm. However, for the hotter Ti depositions being contemplated, it has been discovered that even the nickel coating is inadequate, but any thicker nickel coating would be prone to cracking, a source of particles. Thus, for hot Ti deposition, the showerhead should be formed of bulk nickel even though this is an expensive material and is difficult to machine. Not only does bulk nickel offer a low- corrosion material, but it better withstands the thermal cycling of a hot PECVD process. Foster has disclosed such a nickel showerhead in U.S. Patent 5,665,640. Similarly, the perforated blocker plate 40 (FIG. 1) in back of the faceplate of the showerhead 24 should be formed of solid nickel for hot Ti deposition.
The embodiment of FIG. 5 for the showerhead is a specific example of a more general embodiment illustrated in plan view in FIG. 7 in which the hole density N(r) varies as a function of the radius from the center of a showerhead 140, where N(r) is a monotonically increasing function. For example, the hole density may be uniform at a value N0 in a central zone 142 having a radius r0 and progressively increase in outer zones 144, 146, 148. An example of the dependence is the linear function
( r .. -r ..n \
N(r) = Nn ro ;
for the outer zone 144, 146, 148, it being assumed that the value of r is chosen as some
-11- SUBSTΠ JTE SHEET (Rule 26) median value within the zone. Exemplary values for N(r) are 10%, 20%, and 30% over the standard central density N0. Even more variation is encompassed by the invention, but a minimum variation of 10% from the central value may be defined. The spatial and density ranges need to be determined, preferably by experimental measurement of either the film deposition profile or the gas flow patterns. Our observation is that such variation of hole density needs to implemented beginning at about 150mm from the periphery of the wafer.
Such a tailored profile is especially useful when the CVD precursor is a liquid, for example in copper CVD or titanium CVD, which requires a bubbler or similar atomizer. With the tailored hole profile, the blocker plate 40 of FIG. 1 can be eliminated. Although the blocker plate is effective at providing a fairly uniform pressure in the lower cavity 30, it does so at the expense of a high flow impedance. That is, the atomized precursor needs to be provided at high pressure through the blocker plate. Atomized precursors are thermodynamically unstable, so a high pressure of the precursors is highly likely to cause a significant deposition in back of the blocker plate 40 and in the lines back to the bubbler. In contrast, with a carefully profiled hole distribution, an even pressure in back across the faceplate of the showerhead is not required, and the precursor can be delivered at a lower pressure, producing less deposition on the backside of the showerhead.
A profiled hole density has been successfully applied to the chemical vapor deposition of copper in a thermal process, the wafer being held at about 200°C, using the well known precursor HFAC-Cu-TMVS, also known as Cu(I). This precursor gas deposits copper at a rate approximately linearly proportional to the pressure. This process is described by Gelatos et al. in "Chemical vapor deposition of copper for advanced on-chip interconnects," MRS Bulletin, vol. 19, no. 8, August 1994, pp. 40-53. Alternative precursors and processes are described by Doppelt et al. in "Chemical vapor deposition of copper for IC metallization: precursor chemistry and molecular structure," ibid., pp. 41-48. Experience with a uniform showerhead pattern together with blocker plates showed more copper deposition in the supply channels than on the wafer. Profiled circular arrangements of holes were utilized for a 200mm wafer according to the distribution listed in TABLE 1 , which is based upon a constant radial gap between the circles.
-12- SUBSTΠTJTE SHEET (Rule 26) Circle Number Circle Hole of Radius Density Number Holes (inch) (cm"2)
1 1 0.00 6.09
2 6 0.18 4.57
3 13 0.36 4.95
4 19 0.54 4.82
5 25 0.72 4.76
6 31 0.90 4.72
7 38 1.08 4.82
8 44 1.26 4.79
9 50 1.44 4.76
10 57 1.62 4.82
11 63 1.80 4.80
12 70 1.98 4.85
13 77 2.16 4.89
14 84 2.34 4.92
15 92 2.52 5.00
16 100 2.70 5.08
17 108 2.88 5.14
18 117 3.06 5.24
19 127 3.24 5.37
20 137 3.42 5.49
21 148 3.60 5.63
22 161 3.78 5.84
23 173 3.92 5.99
Figure imgf000015_0001
24 182 4.06 6.03
TABLE 1 The hole densities shown in the table are based upon bands centered on the hole circles. Much of the variations, especially for the inner circles, are caused by quantization error arising from use of just a few holes in the circle. Other distributions are available which eliminate these quantization errors.
The profiled hole distribution allow the holes to be formed in a straight cylindrical shape with a diameter of 1.6mm versus the usual diameter of 0.4mm, and it
13-
SUBSTΠTJTE SHEET (Rule 26) further allows the elimination of the blocking plate. These two features reduce the aerodynamic impedance so that the copper precursor gas can be supplied at a much lower pressure. Nonetheless, the copper thickness uniformity was improved over the standard showerhead from 5% to 3%.
The modifications of the showerhead are effective at compensating for thermal distributions that degrade the uniformity of deposition. However, a more direct approach is to improve the cooling of the faceplate of the showerhead so as to keep it at a constant temperature, thus ensuring wafer-to-wafer reproducibility. One approach to better thermal control of the showerhead is illustrated for a Ti deposition chamber 150 illustrated in cross section in FIG. 8 and in cut-away isometric view in FIG. 9, for which parts similar to those of FIG. 1 are commonly numbered. Its heater pedestal 152 is shallower and is connected to a pedestal stem 154, itself connected to vertical moving means. The heater portion of the heater pedestal 152 is formed of a ceramic because of the high temperatures required. The ceramic composition alleviates some of the thermal transfer problems so that the thermally isolated edge ring is not required for the titanium chamber
A metallic showerhead 156 has a relatively short upwardly extending rim 158 screwed to a metallic gas box plate 160. A convolute water channel 162, illustrated in plan view in FIG. 10, is formed in the top of the gas box plate 160 and covered and sealed by the cooling water cover plate 34. The water ports 48, 50 through the cooling water cover plate 34 are not illustrated in FIG. 8, but they connect ends 164, 166 of the water channel 162 near to the center of the gas box plate 160. The convolute water channel 162 is formed in a serpentine though generally circumferential path having three sharp U-turns 168 or U-shaped bends as it progresses from the inside to the outside until it returns to the inside in a radial channel 170.
The water channel 162 is narrow enough and its bends 168 are sharp enough to ensure that the flow of water become turbulent, thus aiding the flow of heat from the flange of the gas box plate 160 to the water in the channel 162. In contrast, the single moat-shaped water channel 46 in FIG. 1 is relatively wide with no sharp bends with the result that the water may well flow laminarly over much of the moat 46. In laminar flow, the portion of water next to the channel edges flows much more slowly than in the center.
-14- SUBSTΠTJTE SHEET (Rule 26) As a result, heat is not efficiently transferred to the fastest flowing central portion of the cooling water.
Returning to FIGS. 8 and 9, the upwardly extending rim 158 of the showerhead 156 is screwed to a bottom rim 172 of the gas box plate 160. Both these rims 158, 172 are maximally sized between an encompassing lid isolator 174 and the encompassed lower cavity 30 of the showerhead 156. The screw fastening between the two members 156, 160 ensure good thermal contact over the maximally sized contact area. The thermal flow area extends from the outside at the lid isolator 174 (except for a gap between the isolator 174 and either the showerhead 156 or the gas box plate 160) to the inside at the lower cavity 30. There is no vertically extending gap 54 (see FIG. 1) between the flange of the water-cooled gas box plate 160 and the showerhead 156 that would impede conductive cooling of the showerhead 156. Note that the outer flange of the gas box plate 160 rests on the lid isolator 174 in contrast to the prior art structure of FIG. 1 in which the showerhead 24 rests on the lid isolator 64.
The structure of the water cooling channels 162 ensures efficient thermal transfer between the water and the gas box plate 160, and the mechanical interface between the flange of the gas box plate 160 and the showerhead 172 ensures efficient thermal transfer between them. Accordingly, the cooling of the showerhead 172 is greatly enhanced.
The shape of holes 180 in the showerhead 156 can be improved to decrease thermal transfer between the showerhead 156 and the plasma. As illustrated in cross section in FIG. 11, each hole 180 includes a wider cylindrical portion 182 at the side facing the plasma in the processing area 26, and it is connected to a narrower cylindrical portion 184 facing the bottom cavity 30. This configuration is the opposite of the standard configuration of FIG. 6 and exposes a minimum amount of the showerhead material directly to the plasma while the more massive back of the showerhead provides mechanical strength.
Gas is supplied to the gas port 32 and water is supplied to and from the water ports 48, 50 through a voltage gradient assembly 190 (also referred to as an output manifold), illustrated in FIGS. 9 and 12, having a proximate end supported on the chamber body 20 and a distal end of over the center of the chamber. The voltage gradient assembly 190 also couples RF power to the showerhead 156, 200. In order to
-15- electrically isolate the showerhead 156, 200 from the gas panel, external gas lines, and the water chiller, the voltage gradient assembly 190 includes graphite or quartz tubes through which the gas and water are supplied and which provide a highly resistive path from the showerhead 172, 200 to ground.
The invention has been implemented for a TiN chamber, as shown in the partially sectioned isometric view of FIG. 12 and the cross-sectional side view of FIG. 13. The TiN chamber includes the convolute cooling water channel 62 formed in the gas box plate 36. In the TiN chamber, a showerhead 200 includes a long upwardly extending rim 202 and a wide outwardly extending flange 204 attached to the top of the rim 202. A gas box plate 206 including the convolute cooling water channel 162 has a wide outwardly extending flange 208 resting on the showerhead flange 204, thereby providing good thermal contact though over a longer path. The showerhead flange 204 rests on the ceramic or quartz lid isolator 174. An advantage of the design of FIG. 12 compared to that of FIG. 9 is that better cooling is accomplished through the gas box plate 206. The material continuity of the showerhead 200 of FIG. 12 assures efficient delivery of RF power to the processing region 26.
It is important, for both the plasma titanium deposition process and the titanium nitride plasma treatment, to confine the plasma to the processing region 26 at the edge of the heater pedestal in order to minimize the unwanted deposition of the titanium within the pumping channel 74. The confinement can be achieved in at least two ways, as practiced alternatively by the TiN and Ti chambers.
The lid isolator 174 for the TiN chamber, as best detailed in FIG. 14, includes a generally planar bottom surface 174a extending inwardly generally at a level of the bottom of the showerhead 200. It also includes an outer lip 174b, a concave top side of which defines a side portion of the pumping channel 74. The outer lip 174b has an inner lower surface 174c that slopes downwardly from the level of the showerhead 200 and which includes a concave portion, a linearly sloping portion, and a horizontal portion 174d that terminates next to the pumping channel 74. The inner shield 84 resting on the insert ring 82 includes an upwardly extending ridge 84a, which defines together with the innermost area of the isolator horizontal lower surface portion 174d a choke point for the flow of gas from the processing area 26 to the pumping channel 74. The inner shield 84
-16- is preferably formed of a metal such as aluminum while the insert ring 82 is preferably formed of a ceramic such as alumina or aluminum nitride.
The figures show the heater pedestal 14 to be somewhat lower than its operational position. During generation of the plasma the top of the wafer 10 supported on the heater pedestal 14 is generally at the same height was the isolator horizontal lower surface portion 174d with the wafer 10 separated from the showerhead 200 by about 1/4" (6mm). Two effects are thereby achieved. As illustrated, sloping portion of the iosolator lower surface 174c forces the the gas to flow downwardly from the processing region 26 to below the top of the heater pedestal 14. This is believed to prevent the plasma from propagating into the pumping channel 74. Also, there is no direct line of sight from the plasma in the processing region 26 into the pumping channel, thus preventing ions extracted from the plasma from directly hitting the surfaces within the pumping channel.
The plasma confinement is achieved somewhat differently in the Ti chamber than in the TiN chamber, as illustrated in the cross-sectional view of FIG. 15, which is an enlarged view of the area the heater pedestal edge also shown in FIG. 8. During plasma generation for the TiCl4 process, RF power is applied to the heater pedestal 152 as well as to the showerhead 156. A confinement ring 210, which is individually illustrated in the yet larger cross-sectional view of FIG. 16, is supported on its innermost end by a narrow annular wall or ridge 211 resting on the upper periphery of the heater pedestal 152 outside of the area of wafer. The narrow ridge 211 minimizes thermal contact. The confinement ring 210 includes a curved upper surface 212 that is generally horizontal over the heater pedestal 152 but gradually slopes downwardly outside of the heater pedestal 152. The isolator 174 has a lower annular knob 214 with a generally planar ledge 216 extending inwardly to support the showerhead 156 and in its processing position is spaced from the horizontal portion of the ring's upper surface 212 by a nearly constant gap 218 of about 0.165" (4.2mm).
Both the confinement ring 210 and the lid isolator 174 have inner facing surfaces located just outside of the exposed portion of the showerhead 156 and are formed of quartz so that they are electrically insulating and confine the plasma to the processing area 26 because of adsorbed charge. However, the inner end of the confinement ring 210 may be extended very close to the wafer 10 to protect the otherwise exposed periphery of
-17- the heater pedestal 152. The small gap 218 between the lid isolator 174 and the confinement ring 210 prevents plasma from spreading out to the pumping channel 74, and it also serves as an aerodynamic choke aperture to achieve even radial pumping from the processing region 30 to the pumping channel 74. As described above, the TiN chamber of FIGS. 12 and 13 includes a similar lid isolator 174 but does not include the confinement ring 210.
An outwardly extending portion 220 of the isolator knob 214 includes a curved lower surface 220 generally following the curved ring surface 212 so as to maintain the size of the gap 218. However, the gap 218 curves downwardly to such an extent that there is no straight line view between the processing area 26 and the pumping channel 74. We have demonstrated that the curved gap 218 prevents the plasma within the processing area from propagating into the annular pumping channel, we believe, because the curved gap 218 increases the diffusion length. The confinement of the plasma away from the pumping channel 74 is especially important for Ti CVD because titanium is difficult to plasma clean. Further, plasma confinement prevents Ti deposition on the backside of the heater pedestal 152 and one the lower chamber parts in the presence of the very hot temperatures used in Ti CVD. The confinement ring 210 further acts to protect the periphery of the heater pedestal 152 from the plasma.
The area around the edge ring 90 in the TiN chamber of FIG. 13 has been improved as illustrated in the cross-sectional view of FIG. 17. The upper isolator ring 102 of FIG. 4 has been retained but the lower isolator ring 104 has been replaced by a Z-shaped annular thermal shield 230 including an inner, upwardly extending cylindrical portion 232, an outer, downwardly extending portion 234, and a connecting ring portion 236. Except for a three-point support structure, the thermal shield 230 is separated from the pedestal 14 by a gap, thereby providing thermal isolation. Further, the inner cylindrical portion 232 of the thermal shield 230 protects an inner wall 238 of the ledge 92 formed in the heater pedestal 14 just underneath the periphery of the wafer 10; the ring portion 236 protects the ledge 92 accommodating the edge ring 90; and, the outer cylindrical portion 234 protects the entire outer sidewall 240 of the heater pedestal 14. Thereby, the aluminum heater pedestal 14 is almost completely shielded by either the wafer 10 or the thermal shield 230.
-18- The edge ring 90 is preferably made of aluminum, and the upper isolator ring 102, and the thermal shield 230 are preferably composed of stainless steel, a corrosion- resistant metal with low thermal conductivity.
For the great majority of their circumferences, the edge ring 90, the upper isolator 102, and the thermal shield 230 are separated from each other and from the heater pedestal 14 by gaps and are thus thermally isolated, decreasing thermal flow from the exposed periphery of the heater pedestal 14 to the showerhead 200.
An improved three-point support structure for the edge ring 90 is shown in the cross-sectional view of FIG. 18. A bushing 250 is force fit into an axial hole bored in the isolator ring 102 and has a length greater than the thickness of the isolator ring 102. The force fitting is performed such that ends of the bushing 250 extend above and below the isolator ring 102 to act as spacers between the isolator ring 102 and both the edge ring 90 and the ring portion 236 of the thermal shield 230. Thereby, there is no need for separate washers, as is done in the design of FIG. 4. Three flat-head screws 252 are fit into counter-sunk pass holes in the ring portion 236 of the thermal shield 230, freely pass through a central bore of the bushing 250, and are screwed into tapped bottomed holes 254 extending from the bottom of the upper arm 106 of the edge ring 90.
A flat surface at the bottom of the edge ring 90 rests freely on three slotted support screws 258 threaded into screw holes 258 tapped axially at the periphery of the heater pedestal 14 in the area of local recesses 260. The three-point support provides minimal thermal conductance between the heater pedestal 14 and the edge ring 90, and the three screws 258 can be rotatably adjusted to flatten the orientation of the edge ring 90. The bottom surfaces of the edge ring 90 resting on the support screws are flat, thereby allowing some radial movement of the edge ring to accommodate differential thermal expansion.
To prevent charging of the aluminum edge ring 90, it is solidly electrically connected to the heater pedestal 14 through one or more electrical straps 262 associated with the three support screws 260. The strap 262 includes an inwardly extending tab 264 with a hole through which passes the support screw 256 and which is captured between a boss 266 on the support screw 260 and the heater pedestal 14. The strap 262 also includes an upwardly extending arm 268 passing through a circumferential slot 270 in the
-19- ring portion 236 of the thermal shield. A long second tab 272 connected to the upper end of the strap arm 268 extends circumferentially of the edge ring 90 with a corresponding circumferential recess 274 formed at the bottom of the outer edge of the edge ring 90 with a thin wall 276 formed between the recess 274 and the outer periphery of the edge ring. An unillustrated hole is tapped into near the end the long second tab 272. A set screw 278, illustrated in FIG. 13, is screwed through a tapped through hole in the wall 272 of the edge ring 90 and selectively engages the hole tapped through the tab 272 of the strap 262, thereby providing electrical connection between the edge ring 90 and the heater pedestal 14.
The design of the strap, particularly its bent shape and two screw connections, has the advantage of providing limited mechanical flexibility during the thermal cycling of the parts.
The assembly of the edge ring is straightforward and can be accomplished without removing the heater pedestal 14 from the chamber. The support screw 256 is passed through the hole in the inner tab 266 of the strap 262 and is screwed into the tapped pedestal hole 258 so as to capture the strap 262. In a separate operation, the edge ring 90, isolator ring 102, and thermal shield 234 are fixed to each other by the screws 252. The set screws 278 can at all times be left in the wall 276 of the edge ring 90 to eliminate any extra parts. The edge ring assembly 90, 102, 230 is then lowered onto support screws 256 extending from the top of the heater pedestal 14 with the upper arms 268 of the straps 262 passing through the holes 270 in the thermal shield 230. The set screws 278 are then fastened to the strap tab 272, providing the only fixed, though somewhat flexible, connection between the edge ring 90 and the heater pedestal 14. All the parts associated with the edge ring 90 are preferably made of stainless steel except for that the bent sheet strap 262 and the edge ring 90 itself are of aluminum.
As illustrated best in FIG. 14, the tubular chamber insert 84 supporting the aluminum inner shield is supported in the TiN chamber body 20 surrounding the edge of heater pedestal 14 just outside of the outer cylindrical portion 234 of the thermal shield 230 with a gaps formed between the heater pedestal 14 and the cylindrical portion 234 of the thermal shield and between the chamber insert 84 and the chamber wall 20. Thereby, the lateral thermal impedance is also increased. Accordingly, the heater pedestal 14 and
-20- SUBSTΠTJTE SHEET (Rule 26) the supported wafer 10 can be heated to a high temperature with low thermal flow from the heater pedestal 14 to either the showerhead 200 or the chamber 20. Although there is large thermal flow between the wafer 10 and the showerhead 200, it is uniform over the surface of the wafer 10.
The temperatures associated with the Ti-CVD chamber are substantially above those previously experienced in CVD chambers. Accordingly, extra precautions need to be exercised to prevent deleterious thermal gradients, even on the backside of the heater pedestal. As illustrated in FIG. 8, an annular chamber liner 280 made of quartz not only defines a side of the pumping channel 74 but also partially defines a further choke aperture 282 (see FIG. 15 also) between the processing area 26 and the pumping channel 74. It also supports the confinement ring 210 in the lowered position of the heater pedestal 152, 154. Further, as better illustrated in FIG. 8, the chamber liner 280 also surrounds a circumference at the back of the heater pedestal 152. The chamber liner 280 rests on a narrow ledge 283 in the chamber body 20, but there is little other contact, so as to minimize thermal transport.
Below the chamber liner 280 is located a Z-shaped lower chamber shield 284, preferably made of opaque quartz. The lower chamber shield 284 rests on the bottom of the chamber 20 on an annular boss 286 formed on the bottom of the bottom inwardly extending arm of the isolator 284. The quartz prevents radiative coupling between the bottom of the heater pedestal 152 and the Ti chamber 20, and the narrow boss 286 minimizes conductive heat transfer to the chamber 20. Tests have shown that with the lower chamber thermal shield 284, better uniformity of deposition onto the wafer 10 is achieved. An alternative design for the lower chamber shield 284 includes an inwardly extending bottom lip joined to a conically shaped upper portion conforming to the inner wall of the chamber 20. While this design is operationally satisfactory, the sloping shape is much more expensive to fabricate in quartz.
Preferably, the lift ring 16 is also made of quartz so as to minimize heat transfer from the heater pedestal 152 through the lift pins 18 (FIG. 1) and the lift tube 17 to the lift mechanism.
Although the two reactors described in detail have been designed for the deposition of Ti and TiN, the many aspects of the invention may be applied to other
-21- plasma reactors, especially CVD chambers for the high-temperature deposition of metals or of other materials, such as the perovskite oxides, polysilicon, metal suicides, refractory nitrides, etc..
These features alone or in combination provide for a more uniform temperature distribution and hence a more uniform coating thickness. Several of the features also facilitate maintenance and reduce wear on larger parts. Nonetheless, the required changes from the prior art are relatively small.
-22- SUBSTITTJTE SHEET (Rule 26)

Claims

What is claimed is:
1. An apparatus for chemical vapor deposition, comprising: a reaction chamber including a pedestal for supporting a substrate; a showerhead faceplate member disposed in parallel opposition to and above said pedestal and having a plurality of holes therethrough and a rim extending upwardly of a face of said faceplate and outwardly of said holes, said holes for transporting a processing gas from within a showerhead assembly including said faceplate member to a processing area adjacent said substrate; and a cooling plate fixed to said faceplate member on a bottom side of said plate and having formed in a top side thereof a convolute channel for passing a cooling liquid therethrough.
2. The apparatus of Claim 1, wherein said convolute channel includes at least one U-shaped bend.
3. The apparatus of Claim 2, wherein said convolute channel includes at least three U-shaped bends.
4. The apparatus of Claim 2, wherein said convolute channel includes portions extending circumferentially of a center of said cooling plate and connecting said U-shaped bends.
5. The apparatus of Claim 1, wherein said convolute channel causes said cooling liquid to turbulently flow in said convolute channel.
6. The apparatus of Claim 1, wherein said faceplate member is adapted to receive RF power to excite said processing gas in said processing area into a plasma.
7. The apparatus of Claim 6, further comprising a lid isolator electrically
-23- insulating said faceplate member from said a sidewall of said chamber.
8 The apparatus of Claim 7, wherein said plate is fixed to said faceplate member in an area on top of said lid isolator.
9. The apparatus of Claim 8, wherein said plate extends laterally at least as far as said faceplate member.
10. The apparatus of Claim 7, wherein a gas cavity is formed on top of said faceplate member and wherein an area of contact between said plate and said faceplate member is at least as great as a lateral area extending from said isolator to said gas cavity less any gap between said faceplate member and said isolator.
11. The apparatus of Claim 1 , further comprising a cover plate joined to a top of said cooling plate and sealing a top of said convolute channel.
12. The apparatus of Claim 1 , wherein a recess is formed in an upper periphery of said pedestal and further comprising a thermally isolating ring assembly fitted into said recess and including: an edge ring having a generally flat upper surface on a top of said assembly; and a heat shield disposed between said edge ring and said pedestal and having a first cylindrical portion covering a cylindrical wall of said recess and a ring portion covering a bottom of said recess, gaps being formed between said edge ring and said heat shield and between said heat shield and said pedestal.
13. An apparatus for chemical vapor deposition, comprising: a reaction chamber including a showerhead faceplate for supplying processing gas through apertures in said faceplate; a generally circular pedestal included within said reaction chamber and having a support surface placeable below said faceplate for holding a substrate being coated, a recess being formed in an upper periphery of said pedestal;
-24- a thermally isolating ring assembly fitted into said recess and including an edge ring having a generally flat upper surface on a top of said assembly, and a heat shield disposed between said edge ring and said pedestal and having a first cylindrical portion covering a cylindrical wall of said recess and a ring portion covering a bottom of said recess, gaps being formed between said edge ring and said heat shield and between said heat shield and said pedestal.
14. The apparatus of Claim 12, wherein said ring assembly includes a three-point support between said assembly and said pedestal.
15. The apparatus of Claim 13, wherein said heat shield includes a second cylindrical portion extending in parallel to a side of said pedestal below said edge ring.
16. The apparatus of Claim 11, wherein said edge ring comprises an electrical conductive material and further comprising a bent electrical strap having respective point connections to said ring assembly and to said pedestal.
17. The apparatus of Claim 11, further comprising a ring isolator disposed between said edge ring and said heat shield with gaps therebetween.
18. The apparatus of Claim 17, wherein three bushings are extend through said ring isolator and have respective two ends protruding therefrom to form spacers against said edge ring and said heat shield.
19. A processing chamber for forming films on a substrate, comprising: a chamber body having a chamber cavity; a pedestal movably disposed within said chamber cavity of said chamber body; a chamber lid assembly supported by said chamber body and including an isolator ring member and a showerhead both supported by said isolator ring member, said isolator ring member having an isolator ring lip with a sloping surface communicating with said
-25- chamber cavity and extending downwardly and away from said showerhead; and a chamber insert assembly supported by said chamber body within said chamber cavity and having a shield member supported on said chamber body and including a shield body and a shield ridge protruding from said shield body towards said isolator ring lip.
20. The processing chamber of Claim 19, further comprising an insert member supported on said chamber body and supporting said inner shield member.
21. The processing chamber of Claim 20, wherein said inner shield member comprises a metal and said insert member comprises a ceramic.
22. The processing chamber of Claim 19, wherein said isolator ring member additionally includes a generally planar surface terminating in said sloping surface.
23. A method for forming a CVD layer on a substrate, comprising the steps of: providing a processing chamber including a chamber body, a pedestal movably disposed in the chamber body and having an upper pedestal surface, and a chamber lid assembly supported by the chamber body and including an isolator ring member including an isolator ring lip having a sloping surface terminating in a lower lip edge; disposing a substrate on said pedestal; elevating said pedestal including said substrate until said upper pedestal of said pedestal extends to at least a vertical level of said lower lip edge of said isolator ring lip of said isolator ring member; and processing said substrate including contacting said substrate with a processing gas to form a CVD layer on said substrate.
24. The method of Claim 23, wherein said processing step includes forming a
-26- plasma within said chamber body adjacent to said substrate.
-27-
PCT/US1999/002841 1998-02-13 1999-02-09 Reactor for chemical vapor deposition WO1999041426A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000531602A JP4511722B2 (en) 1998-02-13 1999-02-09 Chemical vapor deposition reactor

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/023,852 1998-02-13
US09/023,852 US6106625A (en) 1997-12-02 1998-02-13 Reactor useful for chemical vapor deposition of titanium nitride

Publications (1)

Publication Number Publication Date
WO1999041426A1 true WO1999041426A1 (en) 1999-08-19

Family

ID=21817581

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/002841 WO1999041426A1 (en) 1998-02-13 1999-02-09 Reactor for chemical vapor deposition

Country Status (3)

Country Link
US (1) US6106625A (en)
JP (1) JP4511722B2 (en)
WO (1) WO1999041426A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001297991A (en) * 2000-02-22 2001-10-26 Applied Materials Inc Heater for processing chamber
WO2002063065A1 (en) * 2001-02-09 2002-08-15 Tokyo Electron Limited Film forming device
US6607898B1 (en) * 1996-03-26 2003-08-19 Oncomedx, Inc. Method for detection of hTR and hTERT telomerase-associated RNA in plasma or serum
US8743598B2 (en) 2008-07-29 2014-06-03 Micron Technology, Inc. Reversing a potential polarity for reading phase-change cells to shorten a recovery delay after programming
US8980045B2 (en) 2007-05-30 2015-03-17 Applied Materials, Inc. Substrate cleaning chamber and components
US9481608B2 (en) 2005-07-13 2016-11-01 Applied Materials, Inc. Surface annealing of components for substrate processing chambers
CN110819966A (en) * 2018-08-10 2020-02-21 东京毅力科创株式会社 Film forming apparatus and film forming method

Families Citing this family (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP4230596B2 (en) 1999-03-12 2009-02-25 東京エレクトロン株式会社 Thin film formation method
JP4220075B2 (en) * 1999-08-20 2009-02-04 東京エレクトロン株式会社 Film forming method and film forming apparatus
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8696875B2 (en) * 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6656831B1 (en) * 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
JP2001284267A (en) * 2000-04-03 2001-10-12 Canon Inc Exhaust gas processing method, and plasma processing method and apparatus
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6572706B1 (en) * 2000-06-19 2003-06-03 Simplus Systems Corporation Integrated precursor delivery system
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
KR100413145B1 (en) * 2001-01-11 2003-12-31 삼성전자주식회사 Gas injector and apparatus for etching the gas injector
US6652713B2 (en) * 2001-08-09 2003-11-25 Applied Materials, Inc. Pedestal with integral shield
US20030217693A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate support assembly having an edge protector
US7504006B2 (en) * 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
CN1249789C (en) 2002-11-28 2006-04-05 东京毅力科创株式会社 Plasma processing container internal parts
CN100495413C (en) 2003-03-31 2009-06-03 东京毅力科创株式会社 A method for adjoining adjacent coatings on a processing element
JP4532479B2 (en) 2003-03-31 2010-08-25 東京エレクトロン株式会社 A barrier layer for a processing member and a method of forming the same.
KR100490049B1 (en) * 2003-04-14 2005-05-17 삼성전자주식회사 Chemical vapor deposition apparatus having a single body type diffuser frame
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
JPWO2004111297A1 (en) * 2003-06-10 2006-07-20 東京エレクトロン株式会社 Process gas supply mechanism, film forming apparatus, and film forming method
US7413612B2 (en) * 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
JP4399206B2 (en) * 2003-08-06 2010-01-13 株式会社アルバック Thin film manufacturing equipment
KR20060120707A (en) * 2003-12-15 2006-11-27 어플라이드 머티어리얼스, 인코포레이티드 Edge flow faceplate for improvement of cvd film properties
US20050133165A1 (en) * 2003-12-23 2005-06-23 Taiwan Semiconductor Manufacturing Co. Apparatus for the prevention of arcing in a CVD-TiN chamber
US20050150452A1 (en) * 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
JP2005229043A (en) * 2004-02-16 2005-08-25 Sumitomo Electric Ind Ltd Heater unit and equipment provided therewith
JP4698251B2 (en) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド Movable or flexible shower head mounting
EP1789605A2 (en) * 2004-07-12 2007-05-30 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
KR20060014495A (en) * 2004-08-11 2006-02-16 주식회사 유진테크 Shower head of chemical vapor deposition apparatus
US7572340B2 (en) * 2004-11-29 2009-08-11 Applied Materials, Inc. High resolution substrate holder leveling device and method
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
KR101153161B1 (en) * 2005-04-01 2012-06-18 주성엔지니어링(주) Gas injector and Apparatus including the same for fabricating Liquid Crystal Display Device
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7743730B2 (en) * 2005-12-21 2010-06-29 Lam Research Corporation Apparatus for an optimized plasma chamber grounded electrode assembly
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
US8747555B2 (en) * 2006-05-09 2014-06-10 Ulvac, Inc. Thin film production apparatus and inner block for thin film production apparatus
JP5069427B2 (en) * 2006-06-13 2012-11-07 北陸成型工業株式会社 Shower plate, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the same
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
DE502007001071D1 (en) * 2007-03-05 2009-08-27 Re Coating plant and gas pipeline system
JP5295515B2 (en) * 2007-03-30 2013-09-18 東京エレクトロン株式会社 Surface treatment method for mounting table
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US20090025636A1 (en) * 2007-07-27 2009-01-29 Applied Materials, Inc. High profile minimum contact process kit for hdp-cvd application
US8033769B2 (en) * 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US20090178763A1 (en) 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
US8288288B1 (en) 2008-06-16 2012-10-16 Novellus Systems, Inc. Transferring heat in loadlocks
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
JP5268626B2 (en) * 2008-12-26 2013-08-21 株式会社日立ハイテクノロジーズ Plasma processing equipment
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
EP2360292B1 (en) * 2010-02-08 2012-03-28 Roth & Rau AG Parallel plate reactor for uniform thin film deposition with reduced tool foot-print
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
WO2012039833A2 (en) 2010-09-24 2012-03-29 Applied Materials, Inc. Low temperature silicon carbide deposition process
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10233541B2 (en) 2012-06-29 2019-03-19 Applied Materials, Inc. Deposition of films containing alkaline earth metals
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
DE102012111896A1 (en) * 2012-12-06 2014-06-12 Aixtron Se Chemical vapor deposition reactor has cleaning element that is arranged in annular duct of gas outlet, and movable within annular duct by rotary actuator to mechanically clean interior or exterior wall of annular duct
KR101356537B1 (en) 2012-12-28 2014-01-29 주식회사 테스 Substrate processing apparatus
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10100408B2 (en) * 2014-03-03 2018-10-16 Applied Materials, Inc. Edge hump reduction faceplate by plasma modulation
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
WO2016099826A1 (en) * 2014-12-19 2016-06-23 Applied Materials, Inc. Edge ring for a substrate processing chamber
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) * 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
TWI689619B (en) * 2016-04-01 2020-04-01 美商應用材料股份有限公司 Apparatus and method for providing a uniform flow of gas
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US20190390336A1 (en) * 2017-01-27 2019-12-26 Aixtron Se Transport ring
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
WO2018226755A1 (en) * 2017-06-05 2018-12-13 Seescan, Inc Deep water enclosures for lighting and imaging
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR102431354B1 (en) * 2017-07-11 2022-08-11 삼성디스플레이 주식회사 Chemical vapor deposition device and method of manufacturing display device using the same
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN109750279A (en) * 2017-11-07 2019-05-14 中微半导体设备(上海)股份有限公司 A kind of substrate tray and reactor for thermal chemical vapor deposition
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (en) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. Devices with clean compact zones
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
CN115938902A (en) * 2017-12-15 2023-04-07 朗姆研究公司 Toroidal structure and system for use in a plasma chamber
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
CN111321391A (en) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 Spray head for semiconductor manufacturing
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming device structure using selective deposition of gallium nitride, and system for the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (en) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 Method of forming topologically controlled amorphous carbon polymer films
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
JP2022543747A (en) * 2019-07-29 2022-10-14 アプライド マテリアルズ インコーポレイテッド Semiconductor processing chamber and method for cleaning same
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
KR102315665B1 (en) * 2019-08-19 2021-10-22 세메스 주식회사 Apparatus for treating substrate
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11804363B2 (en) * 2019-11-08 2023-10-31 Applied Materials, Inc. Chamber components for gas delivery modulation
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210116249A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
US11781212B2 (en) * 2021-04-07 2023-10-10 Applied Material, Inc. Overlap susceptor and preheat ring
US20220328293A1 (en) * 2021-04-13 2022-10-13 Applied Materials, Inc. Isolator for processing chambers
US11851758B2 (en) 2021-04-20 2023-12-26 Applied Materials, Inc. Fabrication of a high temperature showerhead
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113235069B (en) * 2021-05-11 2023-05-02 中国石油天然气集团有限公司 Corrosion-resistant titanium nitride wear-resistant coating, preparation method thereof and product containing coating
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114300336B (en) * 2021-12-28 2024-02-23 拓荆科技股份有限公司 Plasma reactor
CN117004928B (en) * 2023-09-21 2023-12-26 上海谙邦半导体设备有限公司 Chemical vapor deposition wafer protection system

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
EP0780490A1 (en) * 1995-12-22 1997-06-25 Applied Materials, Inc. Methods and apparatus for reducing residues in semiconductor processing chambers
EP0818558A1 (en) * 1996-07-12 1998-01-14 Applied Materials, Inc. Components peripheral to the pedestal in the gas flow path within a chemical vapor deposition chamber
EP0835950A1 (en) * 1996-10-11 1998-04-15 Ebara Corporation Reactant gas ejector head

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5755886A (en) * 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5595606A (en) * 1995-04-20 1997-01-21 Tokyo Electron Limited Shower head and film forming apparatus using the same
EP0780490A1 (en) * 1995-12-22 1997-06-25 Applied Materials, Inc. Methods and apparatus for reducing residues in semiconductor processing chambers
EP0818558A1 (en) * 1996-07-12 1998-01-14 Applied Materials, Inc. Components peripheral to the pedestal in the gas flow path within a chemical vapor deposition chamber
EP0835950A1 (en) * 1996-10-11 1998-04-15 Ebara Corporation Reactant gas ejector head

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6607898B1 (en) * 1996-03-26 2003-08-19 Oncomedx, Inc. Method for detection of hTR and hTERT telomerase-associated RNA in plasma or serum
US7910336B2 (en) 1996-03-26 2011-03-22 Oncomedx, Inc. Method for detection of hTR and hTERT telomerase-associated RNA in plasma or serum
JP2001297991A (en) * 2000-02-22 2001-10-26 Applied Materials Inc Heater for processing chamber
WO2002063065A1 (en) * 2001-02-09 2002-08-15 Tokyo Electron Limited Film forming device
US7661386B2 (en) 2001-02-09 2010-02-16 Tokyo Electron Limited Film forming device
US8128751B2 (en) 2001-02-09 2012-03-06 Tokyo Electron Limited Film-forming apparatus
US9481608B2 (en) 2005-07-13 2016-11-01 Applied Materials, Inc. Surface annealing of components for substrate processing chambers
US8980045B2 (en) 2007-05-30 2015-03-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8743598B2 (en) 2008-07-29 2014-06-03 Micron Technology, Inc. Reversing a potential polarity for reading phase-change cells to shorten a recovery delay after programming
CN110819966A (en) * 2018-08-10 2020-02-21 东京毅力科创株式会社 Film forming apparatus and film forming method

Also Published As

Publication number Publication date
JP4511722B2 (en) 2010-07-28
US6106625A (en) 2000-08-22
JP2002503765A (en) 2002-02-05

Similar Documents

Publication Publication Date Title
US6106625A (en) Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) Reactor optimized for chemical vapor deposition of titanium
US6410089B1 (en) Chemical vapor deposition of copper using profiled distribution of showerhead apertures
US6553932B2 (en) Reduction of plasma edge effect on plasma enhanced CVD processes
US6364949B1 (en) 300 mm CVD chamber design for metal-organic thin film deposition
US5846332A (en) Thermally floating pedestal collar in a chemical vapor deposition chamber
US6364954B2 (en) High temperature chemical vapor deposition chamber
US6063441A (en) Processing chamber and method for confining plasma
US6051286A (en) High temperature, high deposition rate process and apparatus for depositing titanium layers
JP3597871B2 (en) Stacked showerhead assemblies for providing gas and RF (radio frequency) output to a reaction chamber
US5983906A (en) Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
EP0646285B1 (en) Semiconductor wafer processing method and apparatus with heat and gas flow control
US5994678A (en) Apparatus for ceramic pedestal and metal shaft assembly
US6085690A (en) Chemical vapor deposition apparatus
US5968379A (en) High temperature ceramic heater assembly with RF capability and related methods
US20060144334A1 (en) Method and apparatus for deposition of low dielectric constant materials
EP0855735A2 (en) A high temperature, high flow rate chemical vapor deposition apparatus and related methods
KR20010080441A (en) Gas distribution system for a cvd processing chamber
KR19980033001A (en) Faceplate Heat Chokes in Chemical Vapor Deposition Reactors
CN113337810B (en) Lining device and semiconductor processing equipment

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref document number: 2000 531602

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 1020007008905

Country of ref document: KR

WWW Wipo information: withdrawn in national office

Ref document number: 1020007008905

Country of ref document: KR

WWR Wipo information: refused in national office

Ref document number: 1020007008905

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: CR2008-009842

Country of ref document: CR