WO1999064645A1 - A method and apparatus for the formation of dielectric layers - Google Patents

A method and apparatus for the formation of dielectric layers Download PDF

Info

Publication number
WO1999064645A1
WO1999064645A1 PCT/US1999/013300 US9913300W WO9964645A1 WO 1999064645 A1 WO1999064645 A1 WO 1999064645A1 US 9913300 W US9913300 W US 9913300W WO 9964645 A1 WO9964645 A1 WO 9964645A1
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
substrate
dielectric
dielectric layer
reactive oxygen
Prior art date
Application number
PCT/US1999/013300
Other languages
French (fr)
Inventor
Turgut Sahin
Pravin K. Narwankar
Randall S. Urdahl
Ankineedu Velaga
Patricia Liu
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to JP2000553633A priority Critical patent/JP2002517914A/en
Priority to KR1020007014109A priority patent/KR20010052799A/en
Priority to EP99930223A priority patent/EP1093532A1/en
Publication of WO1999064645A1 publication Critical patent/WO1999064645A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material

Definitions

  • a substrate is placed in a furnace or a chamber of a rapid thermal apparatus and heated to a high temperature, greater than 800°C, while an anneal gas such as 0 2 or N 2 is fed directly into the furnace or chamber, respectively, where the substrate is located.
  • anneal gas such as 0 2 or N 2
  • a problem with utilizing such high anneal temperatures is that dielectric films such as tantalum pentaoxide crystallize when exposed to high temperatures which can lead to high leakage currents. Additionally high anneal temperatures can cause other ions to diffuse into the film, especially at the interfaces of the devices, and cause poor electrical performance. Still further, many modern high density processes require a reduced thermal budget in order to prevent or minimize dopant diffusion or redistribution in a device. Still further some processes utilize materials with low melting points which preclude subsequent use of high temperature processing.
  • Figure 1 is a flow chart which illustrates a process of forming a dielectric layer in accordance with the present invention.
  • Figure 2d is an illustration of a cross-sectional view showing the formation of an annealed dielectric film on the substrate of Figure 2b.
  • Figure 3a is an illustration of an apparatus which may be utilized to anneal a dielectric layer in accordance with the present invention.
  • Figure 3b is an illustration of a chamber which may be used in the apparatus of Figure 3a.
  • the present invention describes a novel method and apparatus for passivating and /or annealing films.
  • highly reactive atomic species are used to nitridate, passivate, deposit and anneal films.
  • the highly reactive atomic species are formed in a plasma created by exposing an anneal gas such as 0 2 and N.O, and N 2 to microwaves.
  • the plasma creates electrically neutral highly energized atoms from the molecular anneal gas.
  • the plasma used to generate the active atomic species is created in a cavity or chamber which is separate (remote) from the chamber in which the substrate to be annealed or passivated is located.
  • the atomic species are in a highly energized state when they enter the anneal chamber, they readily react with films and substrates, and so do not require high substrate temperatures to initiate reaction. Because the present invention utilizes remotely generated highly reactive atomic species low substrate temperatures, less than or equal to 400°C, can be used nitridating, passivating, depositing, and annealing films and substrate. The low temperature processes of the present invention can substantially reduce the thermal budget necessary to manufacturer integrated circuits. Additionally because the active atomic species are remotely generated, the substrate to be annealed or passivated is not exposed to the harmful plasma used for generating the active atomic species.
  • remotely generated active atomic species are used to anneal an active dielectric film, such as a gate dielectric or a capacitor dielectric.
  • an active dielectric film such as a gate dielectric or a capacitor dielectric.
  • a dielectric film is deposited over substrate.
  • the dielectric film is then exposed to remotely generated active atomic species, such as reactive oxygen atoms or reactive nitrogen atoms.
  • the highly energized atomic species readily react with the dielectric film to fill vacancies in the lattice which left unfilled can lead to high leakage currents and poor device performance.
  • remotely generated active atomic species can be used in all phases of dielectric film formation including substrate passivation prior to dielectric layer deposition, annealing during dielectric deposition and annealing after dielectric deposition. In this way high quality, high performance capacitor and gate dielectrics as well as barrier layers can be fabricated.
  • Figure 1 illustrates a flow chart which depictsasingle process which utilizes the different nitridation, passivation, deposition, and anneal processes of the present invention.
  • Figures 2a-2e illustrate an embodiment of the present invention where the processes of the present invention are used to form a capacitor of a DRAM cell. It is to be appreciated that these specific details are only illustrative of an embodiment of the present invention and are not to be taken as limiting to the present invention.
  • Chamber of 350 of apparatus 300 includes a wafer support 352 for supporting a wafer or substrate 351 face up in chamber 350.
  • Wafer support 352 can include an aluminum chuck 354.
  • Chamber 350 includes a quartz window 356 through which infrared radiation from a plurality (14) of quartz tungsten halogen lamp 358 is transmitted.
  • the lamps mounted directly below the process chamber radiantly heat the chuck which in turn heats the wafer by conduction.
  • a closed loop temperature control system senses the temperature of the substrate or wafer using a thermocouple mounted in the chuck. The temperature control system regulates the temperature of the wafer by varying the intensity of lamps 358.
  • chamber 350 is also configured to receive deposition gases used to deposit a film by chemical vapor deposition (CVD). In this way, a dielectric film can be annealed in the same chamber as used to deposit the film, or the dielectric film can be annealed as it is deposited. Additionally, chamber 350 can be a thermal reactor such as the Applied Material's Poly Centura single wafer chemical vapor deposition reactor or the Applied Material's RTP Centura with the honeycomb source, each configured to receive active atomic species from remote plasma generator 301. In one embodiment of the present invention apparatus 300 is part of a cluster tool which includes among other chambers, a chemical vapor deposition (CVD) chamber, a load lock, and a transfer chamber with a robot arm. Configuring the various chambers around a transfer chamber in the form of a cluster tool enables wafers or substrates to be transferred between the various chambers of the cluster tool without being exposed to an oxygen ambient.
  • CVD chemical vapor deposition
  • the first step in one embodiment of the present invention, as set forth in block 102 of flow chart 100, is to nitridate substrate 200 to form a thin, between 10-25A, silicon nitride barrier layer 205 on bottom electrode 206 as shown in Figure 2a.
  • Nitridating bottom electrode 206 is desirable when bottom electrode 206 is a silicon electrode.
  • Silicon nitride film 205 forms an oxidation prevention barrier layer for bottom electrode 206. In this way, oxygen can not penetrate grain boundaries of polysilicon electrode 206 and form oxides therein which can lead to a decrease in the effective dielectric constant of a capacitor dielectric and to an increase in electrode resistance.
  • nitridating substrate 201 is desirable.
  • a thin silicon nitride layer can be formed by nitridating substrate 200 by exposing substrate 200 to remotely generated reactive nitrogen atoms in anneal chamber 350 while substrate 200 is heated to a temperature between 700 - 900°C and chamber 350 maintain at a pressure between 0.5 torr - 2 torr.
  • Reactive nitrogen atoms can be formed by flowing between 0.5 to 2 SLM of N 2 or ammonia (NH 3 ) into cavity 310 and applying a power between 1400-5000 watts to magnatron 302 to create plasma from the N 2 or NH 3 gas in cavity 310.
  • the nitradation process forms silicon nitride only on those locations where silicon is available to react with the reactive nitrogen atoms, such as polysilicon electrode 206 and not on those areas where no silicon is available for reaction such as ILD 206.
  • a suitable silicon nitride layer 205 can be formed by nitridating substrate 200 with remotely generated reactive nitrogen atoms for between 30-120 seconds.
  • a thin silicon nitride layer 205 can be formed by other well known techniques such as by thermal nitridation in a LPCVD batch type furnace.
  • Highly reactive electrically neutral nitrogen atoms 207 then flow through conduit 314 into chamber 350 where they passivate 209 substrate 200.
  • Exposing substrate 200 to active nitrogen atoms 207 can be used to stuff the capacitor electrode 206 with nitrogen atoms and thereby prevent subsequent oxidation of the capacitor electrode.
  • Silicon nitride layer 205 can be sufficiently passivated by exposing substrate 200 to remotely generated reactive nitrogen atoms for between 30-120 seconds.
  • silicon nitride barrier layer 205 can be passivated by subsituting forming gas (3-10% H 2 and 97-90% N 2 ) for the N 2 anneal gas. The addition of hydrogen (H 2 ) helps to cure defects and to remove contaminates.
  • dielectric layer 208 can be a silicon-oxide dielectric such as silicon dioxide and silicon oxynitride and composite dielectric stacks of silicon-oxide and silicon nitride film such as well known ONO and NO and nitrided oxides.
  • silicon-oxide dielectric such as silicon dioxide and silicon oxynitride
  • composite dielectric stacks of silicon-oxide and silicon nitride film such as well known ONO and NO and nitrided oxides.
  • ONO and NO and nitrided oxides are well known and can be used in the fabrication of gate dielectric layers and capacitor dielectrics.
  • a low temperature silicon dioxide film can be formed by chemical vapor deposition utilizing a silicon source, such as TEOS, and an oxygen source, such as 0 2 .
  • the substrate can be placed into a thermal process chamber such as the chamber of an Applied Materials CVD single wafer reactor.
  • substrate 201 can be placed or left in anneal chamber 350 configured to receive deposition gases.
  • the substrate is then heated to a desired deposition temperature while the pressure within the chamber is pumped down (reduced) to a desired deposition pressure.
  • Deposition gases are then fed into the chamber and a dielectric layer formed therefrom.
  • a deposition gas mix comprising, a source of tantalum, such as but not limited to, TAETO [Ta (OC2Hs)5] and TAT-DMAE [Ta (OC2H5)4 (OCHCH2 N(CH3)2], and source of oxygen such as 0 2 or N 2 0 can be fed into a deposition chamber while the substrate is heated to a deposition temperature of between 300-500°C and the chamber maintained at a deposition pressure of between 0.5 -10 Torr.
  • a source of tantalum such as but not limited to, TAETO [Ta (OC2Hs)5] and TAT-DMAE [Ta (OC2H5)4 (OCHCH2 N(CH3)2]
  • source of oxygen such as 0 2 or N 2 0
  • TAETO or TAT-DMAE is fed into the chamber at a rate of between 10 - 50 milligrams per minute while 0 2 or N 2 0 is fed into the chamber at a rate of 0.3 - 1.0 SLM.
  • TAETO and TAT-DMAE can be provided by direct liquid injection or vaporized with a bubbler prior to entering the deposition chamber.
  • a carrier gas, such as N 2 , H 2 and He, at a rate of between 0.5-2.0 SLM can be used to transport the vaporized TAETO or TAT-DMAE liquid into the deposition chamber.
  • Deposition is continued until a dielectric film 508 of a desired thickness is formed.
  • a tantalum pentaoxide (Ta 2 O s ) dielectric film having a thickness between 50-200 A provides a suitable capacitor dielectric.
  • N 2 0 nitrous oxide
  • oxygen gas 0 2 oxygen gas
  • dielectric layer 208 is a tantalum pentaoxide (Ta 2 O s ) film doped with titanium (Ti).
  • a tantalum pentaoxide film doped with titanium can be formed by thermal chemical vapor deposition by providing a source of titanium, such as but not limited to TTPT (C 12 H 26 0 4 Ti), into the process chamber while forming a tantalum pentaoxide film as described above.
  • TIPT diluted by approximately 50 % with a suitable solvent such as isopropyl alcohol (IPA) can be fed into the process chamber by direct liquid injection or through the use of a bubbler and carrier gas such as N 2 .
  • IPA isopropyl alcohol
  • a TIPT diluted flow rate of between 5-20 mg/minute can be used to produce a tantalum pentaoxide film having a titanium doping density of between 5-20 atomic percent and a dielectric constant between 20-40.
  • the precise Ti doping density can be controlled by varying the tantalum source flow rate relative to the titanium source flow rate. It is to be appreciated that a tantalum pentaoxide film doped with titanium atoms exhibits a higher dielectric constant than an undoped tantalum pentaoxide film.
  • dielectric layer 208 is a composite dielectric layer comprising a stack of different dielectric materials such as a Ta 2 0 5 /Ti0 2 /Ta 2 0 5 stack.
  • a Ta 2 0 5 /Ti0 2 /Ta 2 0 5 composite film can be formed by first depositing a tantalum pentaoxide film as described above. After depositing a tantalum pentaoxide film having a thickness between 20-50 A the flow of the tantalum source is stopped and replaced with a flow of a source of titanium, such as TIPT, at a diluted flow rate of between 5- 20mg/min.
  • the titanium source is replaced with the tantalum source and the deposition continued to form a second tantalum pentaoxide film having a thickness of between 20-50 A.
  • a higher dielectric constant titanium oxide (TiO z ) film between two tantalum pentaoxide (Ta 2 O s ) films, the dielectric constant of a composite stack is increased over that of a homogeneous layer of tantalum pentaoxide (Ta 2 O s ).
  • dielectric film 208 is annealed with remotely generated active atomic species 211 as shown in Figure 2d, to form an annealed dielectric layer 210.
  • Dielectric film 208 can be annealed by placing substrate 200 into anneal chamber 350 coupled to remote plasma generator 301. Substrate 200 is then heated to an anneal temperature and exposed to active atomic species 211 generated by disassociating an anneal gas in applicator chamber 310. By generating the active atomic species in a chamber remote from the anneal chamber (the chamber in which the substrate is situated) a low temperature anneal can be accomplished without exposing the substrate to the harmful plasma used to form the active atomic species.
  • dielectric film 208 is a transition metal dielectric and is annealed with reactive oxygen atoms formed by remotely disassociating 0 2 gas.
  • Dielectric layer 208 can be annealed in chamber 350 with a reactive oxygen atoms created by providing an anneal gas comprising two SLM of 0 2 and one SLM of N2 into chamber 310, and applying a power between 500 - 1500 watts to magnatron 302 to generate microwaves which causes a plasma to ignite from the anneal gas.
  • reactive oxygen atoms can be formed by flowing an anneal gas comprising two SLM of 0 2 and three SLM of argon (Ar) into cavity 310.
  • Dielectric layer 208 can be sufficiently annealed by exposing substrate 200 to reactive oxygen atoms for between 30-120 seconds.
  • An inert gas such as N 2 or argon (Ar) is preferably included in the anneal gas stream in order to help prevent recombination of the active atomic species.
  • the active atomic species e.g. reactive oxygen atoms
  • the active atomic species travel from the applicator cavity 310 to the anneal chamber 350, they collide with one another and recombine to form 0 2 molecules.
  • the inert gas does not disassociate and so provides atoms which the active atomic species can collide into without recombining.
  • Figure 4 illustrates how exposing a tantalum pentaoxide dielectric film to remotely generated reactive oxygen atoms improves the quality and electrical performance of the as deposited film.
  • Graph 402 shows how the leakage current of a capacitor having a lOOA unannealed tantalum pentaoxide dielectric film varies for different top electrode voltages.
  • Graph 404 shows how the leakage current of a capacitor having a lOOA tantalum pentaoxide dielectric film annealed with remotely generated reactive oxygen atoms varies for different top electrode voltages.
  • a capacitor utilizing an unannealed tantalum pentaoxide dielectric experiences high leakage current of about lx 10 -1 (amps/cm 2 ) when ⁇ 1.5 volts is applied to the top electrode and a high leakage current of 1x10 " * (amps/cm 2 ) when zero volts is applied to the top electrode.
  • the leakage current has a relatively low leakage current of
  • the deposition step 106 and the anneal step 108 occur simultaneously so that the dielectric film is annealed as it is deposited.
  • a dielectric film can be deposited and annealed simultaneously using a single deposition/ anneal chamber coupled to receive a remote plasma from a remote plasma generator source and coupled to receive a deposition gas mix.
  • a deposition gas mix comprising a metal source such as a TAT-DMAE or TIPT, or a silicon source, such as TEOS, and a source of oxygen such as 0 2 or N 2 0 can be fed into a common anneal /deposition chamber while the substrate is heated to a desired deposition temperature and the chamber maintained at a desired deposition pressure.
  • an anneal gas such as 0 2
  • an anneal gas can be supplied into applicator cavity chamber 310 of the remote plasma generator 300 at a rate of between 0.5 - 2 SLM. Reactive oxygen atoms can then flow from chamber 310 into the anneal /deposition chamber.
  • the reactive oxygen atoms then react with the metal or silicon provided from the deposition gas mix to form a metal- oxide or silicon-oxide compound respectively.
  • the only source of oxygen atoms into the deposition /anneal chamber is reactive oxygen atoms from applicator 310.
  • top capacitor electrode 212 can be formed over annealed dielectric layer 210.
  • Any well known technology can be used to form top electrode 212 including blanket depositing a polysilicon film or metal film, such as TiN, over annealed dielectric film 210 and then using well known photolithography and etching techniques to pattern the electrode film and dielectric layer.
  • remotely generated active atomic species can be used to fabricate a metal oxide semiconductor (MOS) transistor.
  • the first step is to nitridate a monocrystalline silicon substrate 502 with remotely generated reactive nitrogen atoms 503 as describe above.
  • Nitridating substrate 502 with remotely generated reactive nitrogen atom forms a thin silicon nitride film 501 on substrate 502 which improves the interface between the silicon substrate 502 and the subsequently deposited gate dielectric layer.
  • a gate dielectric layer 504 is formed over nitridated substrate 502.
  • Gate dielectric layer 504 can be a thermally grown silicon dioxide film, a CVD deposited silicon dioxide film, or a transition metal film such as tantalum pentaoxide or titanium oxide or combinations thereof. Gate dielectric 504 will typically have a thickness between 20 to lOOA.
  • the dielectric film 504 is annealed with remotely generated active atomic species 505, such as reactive oxygen atoms, to form an annealed dielectric film 506 as described above. Annealing of the gate dielectric film fills vacancies in the lattice and generally improves the quality of the film. The annealing step can occur as a separate step after the deposition of the gate dielectric or can occur simultaneous with the deposition of the gate dielectric.
  • a gate electrode material such as polysilicon or a metal or a combination thereof, can be blanket deposited over annealed gate dielectric 506 and then patterned into a gate electrode 508, as shown in Figure 5d, with well known photolithography and etching techniques.
  • a pair of source/drain regions 510 can then be formed on opposite sides of the gate electrode 508 with well known ion implantation or solid source diffusion techniques, in order to complete fabrication of the MOS device.
  • a novel method and apparatus for forming and /or annealing a dielectric film with a remotely generated active atomic species has been described. Utilizing a, remotely generated active atomic species to anneal and /or deposit a film enables a high quality, high dielectric constant film to be formed at low temperatures.

Abstract

A method and apparatus for forming and annealing a dielectric layer. According to the present invention an active atomic species is generated in a first chamber. A dielectric layer formed on a substrate is then exposed to the active atomic species in a second chamber, wherein the second chamber is remote from the first chamber.

Description

A METHOD AND APPARATUS FOR THE FORMATION OF DIELECTRIC
LAYERS
BACKGROUND OF THE INVENTION
1. FIELD OF THE INVENTION
The present invention relates to the field of dielectric formation and more specifically to a method and apparatus for annealing a dielectric film.
2. DISCUSSION OF RELATED ART
Integrated circuits are made up of literally millions of active and passive devices such as transistors, capacitors and resistors. In order to provide more computational power and /or more storage capability in an integrated circuit, device features are reduced or scaled down in order to provide higher packing density of devices. An important feature to enable scaling of devices is the ability to form high quality, high dielectric constant films for capacitor and gate dielectrics.
High dielectric constant films are generally ceramic films (i.e., metal- oxides) such as tantalum pentaoxide and titanium oxide. When these films are deposited they tend to have vacancies at the anionic (oxygen) sites in the lattice. Presently these vacancies are filled by annealing the film in a gas mixture which can provide an active species to occupy the lattice vacancies. For example, furnace anneals and rapid thermal oxidation (RNO) are presently used to anneal dielectric films. In such processes a substrate is placed in a furnace or a chamber of a rapid thermal apparatus and heated to a high temperature, greater than 800°C, while an anneal gas such as 02 or N2 is fed directly into the furnace or chamber, respectively, where the substrate is located. These processes must be performed at very high temperatures, greater than 800°C, in order to generate the active species from the anneal gas.
A problem with utilizing such high anneal temperatures is that dielectric films such as tantalum pentaoxide crystallize when exposed to high temperatures which can lead to high leakage currents. Additionally high anneal temperatures can cause other ions to diffuse into the film, especially at the interfaces of the devices, and cause poor electrical performance. Still further, many modern high density processes require a reduced thermal budget in order to prevent or minimize dopant diffusion or redistribution in a device. Still further some processes utilize materials with low melting points which preclude subsequent use of high temperature processing.
Thus, what is desired is a method and apparatus for forming a high quality, high dielectric constant dielectric film at a low temperature.
SUMMARY OF THE INVENTION
A method and apparatus for annealing a dielectric layer is described. According to the present invention active atomic species are generated in a first chamber. A dielectric layer formed on a substrate is then exposed to the active atomic species in a second chamber which is remote from the first chamber.
BRIEF DESCRIPTION OF THE DRAWINGS
Figure 1 is a flow chart which illustrates a process of forming a dielectric layer in accordance with the present invention.
Figure 2a is an illustration of a cross-section view of a substrate including a interlayer dielectric and a bottom electrode. Figure 2b is an illustration of a cross-sectional view showing the passivation of the substrate of figure 2a.
Figure 2c is an illustration of a cross-sectional view showing the formation of a dielectric film on the substrate of Figure 2b.
Figure 2d is an illustration of a cross-sectional view showing the formation of an annealed dielectric film on the substrate of Figure 2b.
Figure 2e is an illustration of a cross-sectional view showing the formation of a top electrode on the substrate of Figure 2d.
Figure 3a is an illustration of an apparatus which may be utilized to anneal a dielectric layer in accordance with the present invention.
Figure 3b is an illustration of a chamber which may be used in the apparatus of Figure 3a.
Figure 4 is a graph which illustrates how leakage current varies for different electrode voltages for a capacitor formed with a unannealed tantalum pentaoxide dielectric layer and for a capacitor formed with a tantalum pentaoxide dielectric layer annealed with remotely generated active atomic species.
Figure 5a is an illustration of a cross-section view of a substrate having been passivated with active atomic species.
Figure 5b is an illustration of the cross-sectional view as showing the formation of the dielectric film on the substrate of Figure 5a. Figure 5c is an illustration of cross-sectional view showing the formation of an annealed dielectric on the substrate of Figure 5a.
Figure 5d is an illustration of the cross-sectional view showing the formation of a gate electrode and source /drain regions on the substrate of Figure 5c.
DETAILED DESCRIPTION OF THE PRESENT INVENTION
The present invention describes a novel method and apparatus for annealing a dielectric film. In the following description numerous specific details such as specific equipment configurations, and process parameters are set forth in order to provide a thorough understanding of the present invention. One skilled in the art will appreciate the ability to use alternative configurations and process details to the disclosed specifics without departing from the scope of the present invention. In other instances, well known semiconductor processing equipment and methodology have not been described in detail in order to not unnecessarily obscure the present invention.
The present invention describes a novel method and apparatus for passivating and /or annealing films. According to the present invention highly reactive atomic species are used to nitridate, passivate, deposit and anneal films. The highly reactive atomic species are formed in a plasma created by exposing an anneal gas such as 02 and N.O, and N2 to microwaves. The plasma creates electrically neutral highly energized atoms from the molecular anneal gas. The plasma used to generate the active atomic species is created in a cavity or chamber which is separate (remote) from the chamber in which the substrate to be annealed or passivated is located. Because the atomic species are in a highly energized state when they enter the anneal chamber, they readily react with films and substrates, and so do not require high substrate temperatures to initiate reaction. Because the present invention utilizes remotely generated highly reactive atomic species low substrate temperatures, less than or equal to 400°C, can be used nitridating, passivating, depositing, and annealing films and substrate. The low temperature processes of the present invention can substantially reduce the thermal budget necessary to manufacturer integrated circuits. Additionally because the active atomic species are remotely generated, the substrate to be annealed or passivated is not exposed to the harmful plasma used for generating the active atomic species.
In one embodiment of the present invention remotely generated active atomic species are used to passivate a silicon substrate prior to the formation of a gate dielectric layer or are used to passivate a capacitor electrode prior to the formation of a capacitor dielectric layer thereon. It is to be appreciated that as gate and capacitor dielectric film thicknesses shrink, to enable the fabrication of high density integrated circuits, the atomic level interfaces between the substrate and dielectric are becoming increasingly more important for device reliability and performance. By passivating a substrate with remotely generated active atomic species one can improve the atomic level interfaces between the substrate and the dielectric film and thereby improve device reliability and performance.
In another embodiment of the present invention, remotely generated active atomic species are used to anneal an active dielectric film, such as a gate dielectric or a capacitor dielectric. According to this embodiment of the present invention a dielectric film is deposited over substrate. The dielectric film is then exposed to remotely generated active atomic species, such as reactive oxygen atoms or reactive nitrogen atoms. The highly energized atomic species readily react with the dielectric film to fill vacancies in the lattice which left unfilled can lead to high leakage currents and poor device performance. The remotely generated active atomic species can be used to anneal a wide range of dielectrics such as but not limited to silicon oxides, such as silicon dioxide and silicon oxynitride, transition-metal dielectrics such as tantalum pentaoxide (Ta2 05), titanium oxide (Ti02) and titanium doped tanatalum pentaoxide, as well as ferroelectric and piezoelectric dielectrics such as BST, and PZT. Additionally, active atomic species, such as reactive nitrogen atoms can be used to anneal dielectric barrier layers, such as silicon nitride, to improve their barrier qualities.
In an embodiment of the present invention the remotely generated active atomic species are provided into the deposition chamber while the dielectric film is being deposited. In this way the dielectric film is annealed as it is deposited thereby eliminating the need for a separate anneal step.
As such remotely generated active atomic species can be used in all phases of dielectric film formation including substrate passivation prior to dielectric layer deposition, annealing during dielectric deposition and annealing after dielectric deposition. In this way high quality, high performance capacitor and gate dielectrics as well as barrier layers can be fabricated.
In one specific embodiment of the present invention, remotely generated reactive oxygen atoms are used to anneal a transition-metal dielectric used as a capacitor dielectric in a dynamic random access memory (DRAM). In this embodiment of the present invention a transition-metal dielectric film is formed by chemical vapor deposition (CVD) over a bottom electrode of a DRAM cell. The transition-metal film is then annealed at a temperature less than 400°C with reactive oxygen atoms formed in a chamber separate from the anneal chamber. The remotely generated reactive oxygen atoms readily react with the deposited transition-metal film and satisfy open sites in the film. Additionally, the reactive oxygen atoms remove carbon contaminates by chemically reacting with carbon and forming carbon dioxide (C02) vapor which is then exhausted from the chamber. By annealing the dielectric film with remotely generated reactive oxygen atoms, the leakage current of the film can be substantially reduced. A top capacitor electrode can then be formed on the high quality high dielectric constant film thereby improving the performance and reliability of the fabricated cell.
A method of forming and annealing a dielectric layer in accordance with the present invention will be described in reference to Figure 1 and Figures 2a- 2e. Figure 1 illustrates a flow chart which depictsasingle process which utilizes the different nitridation, passivation, deposition, and anneal processes of the present invention. Figures 2a-2e illustrate an embodiment of the present invention where the processes of the present invention are used to form a capacitor of a DRAM cell. It is to be appreciated that these specific details are only illustrative of an embodiment of the present invention and are not to be taken as limiting to the present invention. Additionally, it is to be appreciated that the nitridation, passivation, deposition and anneal processes of the present invention need not all be used in a single process and can be used independently or in different combination with one another to form a wide variety of different integrated circuits.
An example of an apparatus 300 which can be used to provide active atomics species for the anneal and/or passivation steps of the present invention is illustrated in Figures 3a and 3b. An example of a commercially available apparatus which can be used to provide active atomic species is the Applied Materials Centura Advanced Strip Passivation Plus (ASP) chamber. Apparatus 300 includes a remote plasma generator 301 which generates and provides active atomic species to a process chamber 350 in which the substrate to be passivated or annealed is located. Remote plasma generator 301 includes a magnatron 302 which generates microwaves with a microwave source. Magnatron 302 can preferably generate up to 10,000 watts of 2.5 Ghz microwave energy. It is to be noted that the amount of power required is dependent (proportional) to the size of anneal chamber 350. For an anneal chamber used to process 300mm wafers, 10,000 watts of power should be sufficient. Although a microwave source is used to generate a plasma in apparatus 300, other energy sources such as radio frequency (RF) may be used. Magnatron 302 is coupled to an isolator and dummy load 304 which is provided for impedance matching. The dummy load absorbs the reflected power so no reflective power goes to the magnatron head. Isolator and dummy load 304 is coupled by a wave guide 306, which transmits microwave energy to an autotuner 308. Autotuner 308 consist of an impedance matching head and a separate detector module that uses three stepper motor driven impedance matching stubs to reduce the reflective power of the microwave energy directed to the power source. Autotuner 308 focuses the microwave energy into the center of a microwave applicator cavity (or chamber) 310 so that energy is absorbed by annealed gas fed into the applicator cavity 310. Although an autotuner is preferred a manual tuner may be employed.
Applicator 310 uses microwave energy received from magnatron 302 to create a plasma from the anneal gas as it flows down through a quartz plasma tube located inside applicator 310. A source 312, such as a tank, of a anneal gas such as but not limited to 02, N20, and N2 used for generating the active atomic species is coupled to microwave applicator 310. Additionally, a source of an inert gas such as argon (Ar) or helium (He) can also be coupled to applicator 310. A prefire mercury lamp can be used to radiate ultraviolet light into the plasma tube to partially ionize the process gases and thereby make it easier for the microwave energy to ignite the plasma.
The microwave energy from magnetron 302 converts the anneal gas into a plasma which consist of essentially three components; ionized or charged atoms (radicals), activated (reactive) atomic species, and nondissociated anneal gas. For example when 02 is the anneal gas, microwave energy disassociates the 02 gas into oxygen radicals, reactive oxygen atoms, and some anneal gas remains as 02 molecules. When N2 is the anneal gas, microwaves disassociate the N2 gas into nitrogen radicals, reactive nitrogen atoms, and some anneal gas remains as N2 molecules. Reactive atomic species such as reactive oxygen atoms or reactive nitrogen atoms are not charged or ionized but are highly energized atoms. Because the reactive atomic species are highly energized they are in a highly reactive state so they readily react with dielectric films to fill vacancies therein or to passivate films or substrates. Because the atomic species are highly energized when they enter anneal chamber 350, high temperatures are not necessary in chamber 350 to activate the anneal gas.
Applicator 310 is bolted to the lid of chamber 350. The concentrated plasma mixture flows downstream through conduit 314 to chamber 350. As a plasma flows through the conduit 314 the ionized atoms become electrically neutral before reaching chamber 350 and become highly reactive atomic species. Thus, only electrically neutral, highly reactive atoms flow into chamber 350. Although the process gas at this point is highly reactive, the mixture is no longer electrically damaging to the substrate or electrical devices such as transistors formed therein. Because the active atomic species are generated at location (chamber 310) which is separate or remote from the chamber 350 in which the substrate to be annealed is located, the active atomic species are said to be "remotely generated".
Chamber of 350 of apparatus 300, as shown in Figure 3b, includes a wafer support 352 for supporting a wafer or substrate 351 face up in chamber 350. Wafer support 352 can include an aluminum chuck 354. Chamber 350 includes a quartz window 356 through which infrared radiation from a plurality (14) of quartz tungsten halogen lamp 358 is transmitted. During processing, the lamps mounted directly below the process chamber radiantly heat the chuck which in turn heats the wafer by conduction. A closed loop temperature control system senses the temperature of the substrate or wafer using a thermocouple mounted in the chuck. The temperature control system regulates the temperature of the wafer by varying the intensity of lamps 358. Although lamps are preferably used as the heat source for heating the wafer, other heat sources, such as resistive heaters, can be used. A vacuum source 360, such as the pump, is coupled to an exhaust outlet 362 and controls the chamber pressure and removes gas by products. A shower head or gas distribution plate 364 is mounted directly above the wafer. Shower head 364 consist of three quartz plates having a plurality of holes formed therein to evenly distribute the active atomic species over the wafer as they flow through gas inlet 366.
In one embodiment of the present invention, chamber 350 is also configured to receive deposition gases used to deposit a film by chemical vapor deposition (CVD). In this way, a dielectric film can be annealed in the same chamber as used to deposit the film, or the dielectric film can be annealed as it is deposited. Additionally, chamber 350 can be a thermal reactor such as the Applied Material's Poly Centura single wafer chemical vapor deposition reactor or the Applied Material's RTP Centura with the honeycomb source, each configured to receive active atomic species from remote plasma generator 301. In one embodiment of the present invention apparatus 300 is part of a cluster tool which includes among other chambers, a chemical vapor deposition (CVD) chamber, a load lock, and a transfer chamber with a robot arm. Configuring the various chambers around a transfer chamber in the form of a cluster tool enables wafers or substrates to be transferred between the various chambers of the cluster tool without being exposed to an oxygen ambient.
The nitridation, passivation, deposition and anneal steps of the present invention occur on a substrate. For the purpose of the present invention a substrate is the material on which dielectric films are deposited and annealed in accordance with the present invention. The substrate can be a substrate used in the manufacturing of semiconductor products such as silicon substrates and gallium arsenide substrates and can be other substrates used for other purposes such as glass substrates used for the production of flat panel displays.
In one embodiment of the present invention, the substrate is a substrate used in the fabrication of a dynamic random access memory (DRAM) cells such as substrate 200 shown in Figure 2a. Substrate 200 includes well known silicon epitaxial substrate 201 having a doped region 202 and a patterned interlayer dielectric 204. A bottom capacitor electrode 206 is formed in contact with the diffusion region 202 and over ILD 204. Bottom capacitor electrode 206 can be formed by any well known technique such as by blanket depositing a polysilicon layer by chemical vapor deposition (CVD) utilizing a reactive gas comprising silane (SiH4) and H2 and then patterning the blanket deposited material into an electrode with well known photolithography and etching techniques. If bottom electrode 206 is a polysilicon electrode it will typically be doped to a density between 2-5xl020 atoms/cm3. Bottom electrode 206 can also be other types of capacitor electrodes such as but not limited to hemispherical grained polysilicon (HSG) or "rough poly" electrodes and metal electrodes such as titanium nitride (TiN) and tugsten (W) electrodes. In still other cases, the monocyrstalline silicon substrate 201 can act as the bottom electrode.
The first step, in one embodiment of the present invention, as set forth in block 102 of flow chart 100, is to nitridate substrate 200 to form a thin, between 10-25A, silicon nitride barrier layer 205 on bottom electrode 206 as shown in Figure 2a. Nitridating bottom electrode 206 is desirable when bottom electrode 206 is a silicon electrode. Silicon nitride film 205 forms an oxidation prevention barrier layer for bottom electrode 206. In this way, oxygen can not penetrate grain boundaries of polysilicon electrode 206 and form oxides therein which can lead to a decrease in the effective dielectric constant of a capacitor dielectric and to an increase in electrode resistance. Additionally, in well known capacitor structures where the monocrystalline silicon substrate 201 acts as the bottom electrode, nitridating substrate 201 is desirable.
A thin silicon nitride layer can be formed by nitridating substrate 200 by exposing substrate 200 to remotely generated reactive nitrogen atoms in anneal chamber 350 while substrate 200 is heated to a temperature between 700 - 900°C and chamber 350 maintain at a pressure between 0.5 torr - 2 torr. Reactive nitrogen atoms can be formed by flowing between 0.5 to 2 SLM of N2 or ammonia (NH3) into cavity 310 and applying a power between 1400-5000 watts to magnatron 302 to create plasma from the N2 or NH3 gas in cavity 310. The nitradation process forms silicon nitride only on those locations where silicon is available to react with the reactive nitrogen atoms, such as polysilicon electrode 206 and not on those areas where no silicon is available for reaction such as ILD 206. A suitable silicon nitride layer 205 can be formed by nitridating substrate 200 with remotely generated reactive nitrogen atoms for between 30-120 seconds. Alternatively, a thin silicon nitride layer 205 can be formed by other well known techniques such as by thermal nitridation in a LPCVD batch type furnace.
Next, as set forth in step 104 of flow chart 100, in an embodiment of the present invention, substrate 200 is passivated with remotely generated reactive nitrogen atoms, as shown in Figure 2b, to cure defects in silicon nitride barrier layer 205. Silicon nitride barrier layer 205 can be passivated by placing substrate 200 on chuck 354 in chamber 350 and heating substrate 200 to a temperature between 300-500 while an N2 anneal gas is fed into cavity 310 at a rate of between 0.5-2 SLM and a power of between 1400-5000 watts is provided to magnatron 302. Microwaves from magnatron 302 create a plasma in cavity 310 from the N2 process gas. Highly reactive electrically neutral nitrogen atoms 207 then flow through conduit 314 into chamber 350 where they passivate 209 substrate 200. Exposing substrate 200 to active nitrogen atoms 207 can be used to stuff the capacitor electrode 206 with nitrogen atoms and thereby prevent subsequent oxidation of the capacitor electrode. Silicon nitride layer 205 can be sufficiently passivated by exposing substrate 200 to remotely generated reactive nitrogen atoms for between 30-120 seconds. Alternatively, silicon nitride barrier layer 205 can be passivated by subsituting forming gas (3-10% H2 and 97-90% N2) for the N2 anneal gas. The addition of hydrogen (H2) helps to cure defects and to remove contaminates.
Next, as set forth in block 106, a dielectric film is formed over substrate 200. In one embodiment of the present invention a high dielectric constant dielectric film 208 is blanket deposited over ILD 204 and bottom electrode 206 of substrate 200 as shown in Figure 2c. In an embodiment of the present invention the dielectric film is a transition metal dielectric film such as, but not limited to, tantalum pentaoxide (Ta2Os) and titanium oxide (Ti02). In another embodiment dielectric layer 208 is a tantalum pentaoxide film doped with titanium. Additionally dielectric layer 208 can be a composite dielectric film comprising a stack of different dielectric films such as a Ta205/Ti02/ Ta2Os stacked dielectric film. Additionally, dielectric layer 208 can be a piezoelectric dielectric such as Barium Strontium Titanate (BST) and Lead Zerconium Titanate (PZT) or a ferroelectric.
In other embodiments of the present invention dielectric layer 208 can be a silicon-oxide dielectric such as silicon dioxide and silicon oxynitride and composite dielectric stacks of silicon-oxide and silicon nitride film such as well known ONO and NO and nitrided oxides. The fabrication of such oxides are well known and can be used in the fabrication of gate dielectric layers and capacitor dielectrics. For example a low temperature silicon dioxide film can be formed by chemical vapor deposition utilizing a silicon source, such as TEOS, and an oxygen source, such as 02 .
In order to form a dielectric layer 208 onto substrate 200, the substrate can be placed into a thermal process chamber such as the chamber of an Applied Materials CVD single wafer reactor. Alternatively, substrate 201 can be placed or left in anneal chamber 350 configured to receive deposition gases. The substrate is then heated to a desired deposition temperature while the pressure within the chamber is pumped down (reduced) to a desired deposition pressure. Deposition gases are then fed into the chamber and a dielectric layer formed therefrom.
To blanket deposit a tantalum pentaoxide (Ta2Os) dielectric film by thermal chemical vapor deposition a deposition gas mix comprising, a source of tantalum, such as but not limited to, TAETO [Ta (OC2Hs)5] and TAT-DMAE [Ta (OC2H5)4 (OCHCH2 N(CH3)2], and source of oxygen such as 02 or N20 can be fed into a deposition chamber while the substrate is heated to a deposition temperature of between 300-500°C and the chamber maintained at a deposition pressure of between 0.5 -10 Torr. The flow of deposition gas over the heated substrate results in thermal decomposition of the metal organic Ta- containing precursor an subsequent deposition of a tantalum pentaoxide film. In one embodiment TAETO or TAT-DMAE is fed into the chamber at a rate of between 10 - 50 milligrams per minute while 02 or N20 is fed into the chamber at a rate of 0.3 - 1.0 SLM. TAETO and TAT-DMAE can be provided by direct liquid injection or vaporized with a bubbler prior to entering the deposition chamber. A carrier gas, such as N2, H2 and He, at a rate of between 0.5-2.0 SLM can be used to transport the vaporized TAETO or TAT-DMAE liquid into the deposition chamber. Deposition is continued until a dielectric film 508 of a desired thickness is formed. A tantalum pentaoxide (Ta2Os) dielectric film having a thickness between 50-200 A provides a suitable capacitor dielectric.
It has been found that the use of nitrous oxide (N20) as the oxidizer (source of oxygen), as opposed to oxygen gas 02 improves the electrical properties of the deposited tantalum pentaoxide (Ta205) dielectric film during deposition. The use of N20, as opposed to 02, has been found to reduce the leakage current and enhance the capacitance of fabricated capacitors. The inclusion of N20 as an oxidizer aids in the removal of carbon from the film during growth which helps to improve the quality of the film.
In an embodiment of the present invention dielectric layer 208 is a tantalum pentaoxide (Ta2Os) film doped with titanium (Ti). A tantalum pentaoxide film doped with titanium can be formed by thermal chemical vapor deposition by providing a source of titanium, such as but not limited to TTPT (C12H2604Ti), into the process chamber while forming a tantalum pentaoxide film as described above. TIPT diluted by approximately 50 % with a suitable solvent such as isopropyl alcohol (IPA) can be fed into the process chamber by direct liquid injection or through the use of a bubbler and carrier gas such as N2. A TIPT diluted flow rate of between 5-20 mg/minute can be used to produce a tantalum pentaoxide film having a titanium doping density of between 5-20 atomic percent and a dielectric constant between 20-40. The precise Ti doping density can be controlled by varying the tantalum source flow rate relative to the titanium source flow rate. It is to be appreciated that a tantalum pentaoxide film doped with titanium atoms exhibits a higher dielectric constant than an undoped tantalum pentaoxide film.
In another embodiment of the present invention dielectric layer 208 is a composite dielectric layer comprising a stack of different dielectric materials such as a Ta205/Ti02/Ta205 stack. A Ta205/Ti02/Ta205 composite film can be formed by first depositing a tantalum pentaoxide film as described above. After depositing a tantalum pentaoxide film having a thickness between 20-50 A the flow of the tantalum source is stopped and replaced with a flow of a source of titanium, such as TIPT, at a diluted flow rate of between 5- 20mg/min. After depositing a titanium oxide film having a thickness of between 20-50 A, the titanium source is replaced with the tantalum source and the deposition continued to form a second tantalum pentaoxide film having a thickness of between 20-50 A. By sandwiching a higher dielectric constant titanium oxide (TiOz) film between two tantalum pentaoxide (Ta2Os) films, the dielectric constant of a composite stack is increased over that of a homogeneous layer of tantalum pentaoxide (Ta2Os).
Next, as set forth in block 108 of flow chart 100, dielectric film 208 is annealed with remotely generated active atomic species 211 as shown in Figure 2d, to form an annealed dielectric layer 210. Dielectric film 208 can be annealed by placing substrate 200 into anneal chamber 350 coupled to remote plasma generator 301. Substrate 200 is then heated to an anneal temperature and exposed to active atomic species 211 generated by disassociating an anneal gas in applicator chamber 310. By generating the active atomic species in a chamber remote from the anneal chamber (the chamber in which the substrate is situated) a low temperature anneal can be accomplished without exposing the substrate to the harmful plasma used to form the active atomic species. With the process and apparatus of the present invention anneal temperatures of less than 400°C can be used. The use of remotely generated active atomic species to anneal dielectric film 208 enables anneal temperatures of less than or equal to the deposition temperature of the dielectric film to be used.
In one embodiment of the present invention dielectric film 208 is a transition metal dielectric and is annealed with reactive oxygen atoms formed by remotely disassociating 02 gas. Dielectric layer 208 can be annealed in chamber 350 with a reactive oxygen atoms created by providing an anneal gas comprising two SLM of 02 and one SLM of N2 into chamber 310, and applying a power between 500 - 1500 watts to magnatron 302 to generate microwaves which causes a plasma to ignite from the anneal gas. Alternatively, reactive oxygen atoms can be formed by flowing an anneal gas comprising two SLM of 02 and three SLM of argon (Ar) into cavity 310. While reactive oxygen atoms are fed into anneal chamber 350, substrate 200 is heated to a temperature of approximately 300°C and chamber 350 maintained at an anneal pressure of approximately 2 Torr. Dielectric layer 208 can be sufficiently annealed by exposing substrate 200 to reactive oxygen atoms for between 30-120 seconds.
An inert gas, such as N2 or argon (Ar), is preferably included in the anneal gas stream in order to help prevent recombination of the active atomic species. It is to be noted that as the active atomic species (e.g. reactive oxygen atoms) travel from the applicator cavity 310 to the anneal chamber 350, they collide with one another and recombine to form 02 molecules. By including an inert gas, in the anneal gas mix, the inert gas does not disassociate and so provides atoms which the active atomic species can collide into without recombining. Additionally, in order to help prevent recombination of the active atomic species, it is advisable to keep the distance between cavity 310 and anneal chamber 350 as short as possible.
Annealing a transition-metal dielectric film 208 with reactive atoms oxygen fills oxygen vacancies (satisfies sites) in the dielectric film 208 which greatly reduces the leakage of the film. Additionally, annealing transition metal dielectric 208 helps to remove carbon (C) in the film which can contribute to leakage. Carbon can be incorporated into transition metal dielectrics because the tantalum and titanium sources, TAT-DMAE, TAETO, and TIPT are carbon containing compounds. The reactive oxygen atoms remove carbon from the film by reacting with carbon and forming carbon dioxide (C02) vapor which can then be exhausted out from the chamber.
Figure 4 illustrates how exposing a tantalum pentaoxide dielectric film to remotely generated reactive oxygen atoms improves the quality and electrical performance of the as deposited film. Graph 402 shows how the leakage current of a capacitor having a lOOA unannealed tantalum pentaoxide dielectric film varies for different top electrode voltages. Graph 404 shows how the leakage current of a capacitor having a lOOA tantalum pentaoxide dielectric film annealed with remotely generated reactive oxygen atoms varies for different top electrode voltages. As can be seen from graph 402, a capacitor utilizing an unannealed tantalum pentaoxide dielectric experiences high leakage current of about lx 10 -1 (amps/cm2) when ± 1.5 volts is applied to the top electrode and a high leakage current of 1x10 "* (amps/cm2) when zero volts is applied to the top electrode. In comparison, when the tantalum pentaoxide dielectric is exposed to remotely generated reactive oxygen atoms, the leakage current has a relatively low leakage current of
1x10 "5 (amps /cm2) when ± 1.5 volts is applied to the top electrode and a leakage current of less than 1x10 '9 (amps /cm2) when zero volts is applied to the top electrode. As is readily apparent from Figure 4, exposing the tantalum pentaoxide dielectric film to remotely generated active oxygen atoms dramatically improves (reduces) the leakage current of the film.
In an embodiment of the present invention, as set forth in block 107 of flow chart 100 the deposition step 106 and the anneal step 108 occur simultaneously so that the dielectric film is annealed as it is deposited. A dielectric film can be deposited and annealed simultaneously using a single deposition/ anneal chamber coupled to receive a remote plasma from a remote plasma generator source and coupled to receive a deposition gas mix. For example in one embodiment of the present invention a deposition gas mix comprising a metal source such as a TAT-DMAE or TIPT, or a silicon source, such as TEOS, and a source of oxygen such as 02 or N20 can be fed into a common anneal /deposition chamber while the substrate is heated to a desired deposition temperature and the chamber maintained at a desired deposition pressure. Simultaneously, an anneal gas, such as 02, can be supplied into applicator cavity chamber 310 of the remote plasma generator 300 at a rate of between 0.5 - 2 SLM. Reactive oxygen atoms can then flow from chamber 310 into the anneal /deposition chamber. The reactive oxygen atoms then react with the metal or silicon provided from the deposition gas mix to form a metal- oxide or silicon-oxide compound respectively. In one embodiment of the present invention the only source of oxygen atoms into the deposition /anneal chamber is reactive oxygen atoms from applicator 310.
The next step of the present invention, as set forth in block 110 of flow chart 100 is to complete the processing of the device. For example, as shown in Figure 2e, a top capacitor electrode 212 can be formed over annealed dielectric layer 210. Any well known technology can be used to form top electrode 212 including blanket depositing a polysilicon film or metal film, such as TiN, over annealed dielectric film 210 and then using well known photolithography and etching techniques to pattern the electrode film and dielectric layer.
In another embodiment of the present invention, remotely generated active atomic species can be used to fabricate a metal oxide semiconductor (MOS) transistor. The first step, as shown in Figure 5a, which is optional, is to nitridate a monocrystalline silicon substrate 502 with remotely generated reactive nitrogen atoms 503 as describe above. Nitridating substrate 502 with remotely generated reactive nitrogen atom forms a thin silicon nitride film 501 on substrate 502 which improves the interface between the silicon substrate 502 and the subsequently deposited gate dielectric layer. Next, as shown in Figure 5b a gate dielectric layer 504 is formed over nitridated substrate 502. Gate dielectric layer 504 can be a thermally grown silicon dioxide film, a CVD deposited silicon dioxide film, or a transition metal film such as tantalum pentaoxide or titanium oxide or combinations thereof. Gate dielectric 504 will typically have a thickness between 20 to lOOA. Next, as shown in Figure 5c, the dielectric film 504 is annealed with remotely generated active atomic species 505, such as reactive oxygen atoms, to form an annealed dielectric film 506 as described above. Annealing of the gate dielectric film fills vacancies in the lattice and generally improves the quality of the film. The annealing step can occur as a separate step after the deposition of the gate dielectric or can occur simultaneous with the deposition of the gate dielectric. After forming annealed gate dielectric 506, a gate electrode material, such as polysilicon or a metal or a combination thereof, can be blanket deposited over annealed gate dielectric 506 and then patterned into a gate electrode 508, as shown in Figure 5d, with well known photolithography and etching techniques. A pair of source/drain regions 510 can then be formed on opposite sides of the gate electrode 508 with well known ion implantation or solid source diffusion techniques, in order to complete fabrication of the MOS device.
A novel method and apparatus for forming and /or annealing a dielectric film with a remotely generated active atomic species has been described. Utilizing a, remotely generated active atomic species to anneal and /or deposit a film enables a high quality, high dielectric constant film to be formed at low temperatures. Although the present invention has been described with respect to specific equipment, and with respect to a specific processes it is to be appreciated that the described details are to be taken as illustrative rather than limiting, wherein the scope of the present invention is to be measured by the appended claims which follow.
Thus, a method and apparatus for annealing a dielectric film at low temperatures has been described.

Claims

TN THE CLAIMSWe claim:
1. A method of annealing a dielectric layer, said method comprising the steps of: forming a dielectric layer on a substrate; generating an active atomic species in a first chamber; and exposing said dielectric layer to said active atomic species wherein said substrate is located in a second chamber separate from said first chamber while exposing said dielectric layer to said active atomic species.
2. The method of claim 1 wherein said active atomic species comprises reactive oxygen atoms.
3. The method of claim 1 wherein said active atomic species comprises reactive nitrogen atoms.
4. The method of claim 1 wherein said dielectric layer comprises a metal-oxide.
5. The method of claim 1 wherein said dielectric layer comprises a transition metal dielectric.
6. The method of claim 5 wherein said dielectric layer comprises tantalum pentaoxide (Ta2Os).
7. The method of claim 1 wherein said dielectric layer is exposed to said active atomic species while being heated to a temperature of less than 400┬░C.
8. A method of forming a dielectric layer comprising: generating an active atomic species in a first chamber; and depositing a dielectric layer onto a substrate by chemical vapor deposition in a second chamber and while depositing said dielectric layer, providing said active atomic species into said second chamber.
9. The method of claim 8 wherein said active atomic species comprises oxygen radicals.
10. The method of claim 8 wherein said dielectric layer a metal oxide dielectric.
11. The method of claim 8 wherein said dielectric layer comprises a transition metal dielectric.
12. The method of claim 11 wherein said dielectric layer comprises tantalum pentaoxide (Ta2Os).
13. The method of claim 8 wherein said dielectric layer comprises a silicon-oxide.
14. A method of annealing a deposited oxide, said method comprising the steps of: locating a substrate in a first chamber, said substrate having a deposited oxide formed thereon; generating reactive oxygen atoms in a second chamber; and transporting said reactive oxygen atoms from said second chamber into said first chamber and exposing said deposited oxide to said reactive oxygen atoms.
15. The method of claim 14 wherein said deposited oxide is exposed to said reactive oxygen atoms while heating said substrate to at a temperature of less than 400┬░C.
16. The method of claim 14 wherein said second chamber is a microwave applicator cavity of a remote plasma generator.
17. The method of claim 14 wherein said reactive oxygen atoms are formed by generating a plasma from ╬╕2 molecules.
18. The method of claim 14 wherein said reactive oxygen atoms are formed by generating a plasma from N2O molecules.
19. The method of claim 14 wherein said reactive oxygen atoms are formed by generating a plasma from O2 molecules utilizing microwaves.
20. The method of claim 14 wherein said deposited oxide is a silicon- oxide.
21. The method of claim 14 wherein said deposited oxide is a metal- oxide.
22. The method of claim 21 wherein said deposited metal oxide is a transition metal oxide.
23. The method of claim 22 wherein said transition metal-oxide is tantalum pentaoxide (Ta2Os).
24. A method of forming a capacitor, said method comprising the steps of: forming a bottom electrode; depositing a transition metal dielectric on said bottom electrode in a deposition chamber; generating reactive oxygen atoms by forming a plasma from an oxygen containing gas in a microwave applicator cavity in a remote plasma generation chamber; annealing said transition metal dielectric by exposing said transition metal dielectric to said reactive oxygen atoms, wherein said annealing step occurs in a chamber separate from said microwave applicator cavity; and forming a top electrode on said reactive oxygen atom exposed transition metal dielectric.
25. The method of claim 24 wherein said transition metal dielectric is tantalum pentaoxide (Ta205) deposited by chemical vapor deposition utilizing a source gas comprising TAETO.
26. The method of claim 24 wherein said transition metal dielectric is tantalum pentaoxide (Ta2Os ) formed by chemical vapor deposition utilizing a source gas comprising TAT-DMAE.
27. The method of claim 25 wherein said tantalum pentaoxide dielectric layer is formed utilizing a source gas comprising ╬╕2-
28. The method of claim 24 wherein said transition metal dielectric layer is deposited at a temperature between 300-500┬░C.
29. The method of claim 24 wherein said transition metal dielectric is formed with a source gas comprising N20.
30. The method of claim 24 wherein said transition metal dielectric is annealed in the deposition chamber.
31. The method of claim 24 wherein said transition metal dielectric film is annealed at a temperature less than 400┬░C.
32. The method of claim 24 wherein said transition metal dielectric is annealed in a chamber different than the deposition chamber in which said transition metal dielectric was deposited.
33. A method of forming a dielectric film, said method comprising the steps of: placing a substrate in the deposition chamber; heating said substrate to a deposition temperature; providing a metal source into said chamber; thermally decomposing said metal source to provide metal atoms; generating reactive oxygen atoms in a second chamber; providing said reactive oxygen atoms into said deposition chamber; and forming a dielectric film on said substrate by combining said metal atoms with said reactive oxygen atoms.
34. The method of claim 33 wherein no other source of oxygen is provided into said deposition chamber other then said reactive oxygen atoms during said formation of said dielectric film.
35. The method of claim 33 wherein said reactive oxygen atoms are formed from a plasma formed by applying microwaves to oxygen gas (02).
36. The method of claim 33 wherein said reactive oxygen atoms are formed from a plasma created by applying microwaves to N20 molecules.
37. A method of passivating a silicon nitride film, said method comprising the steps of: locating a substrate in a first chamber, said substrate having a silicon nitride layer formed thereon; generating reactive nitrogen atoms in a second chamber; and transporting said reactive nitrogen atoms from said second chamber into said first chamber and exposing said silicon nitride film to said reactive oxygen atoms.
38. The method of claim 37 wherein said reactive nitrogen atoms are formed from an anneal gas comprising N2.
39. The method of claim38 wherein said reactive nitrogen atoms are formed from an anneal gas comprising N2 and H2.
40. A method of forming a silicon nitride film on a substrate, said method comprising the step of: locating a substrate in a first chamber, said substrate having a silicon surface; generating active nitrogen atoms in a second chamber; and transporting said reactive nitrogen atoms from said second chamber into said first chamber and reacting said silicon surface with said reactive nitrogen atoms to form a silicon nitride film on said substrate.
41. The method of claim 40 wherein said reactive nitrogen atoms are formed from an annealed gas comprising N2.
42. The method of claim 40 wherein said reactive nitrogen atoms are formed from an annealed gas comprising ammonia (NH3).
43. A method of forming a tantalum pentaoxide dielectric film, said method comprising the steps of: placing a substrate into a deposition chamber; providing a metal organic tantalum containing precursor into said chamber; providing nitrous oxide (N20) into said chamber; thermally decomposing said metal organic tantalum containing precursor in said chamber to provide tantalum atoms; and reacting said tantalum atoms with said nitrous oxide (NzO) to form a tantalum pentaoxide (Ta2Os) dielectric film on said substrate.
44. The method of claim 43 further comprising the step of heating said substrate to a temperature between 300-500┬░C while providing said metal organic tantalum precursor and said nitrous oxide (N20) into said chamber.
45. The method of claim 43 wherein said metal organic tantalum containing precursor is selected from the group consisting of TAT-DMAE and TAETO.
PCT/US1999/013300 1998-06-12 1999-06-11 A method and apparatus for the formation of dielectric layers WO1999064645A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2000553633A JP2002517914A (en) 1998-06-12 1999-06-11 Method and apparatus for forming a dielectric layer
KR1020007014109A KR20010052799A (en) 1998-06-12 1999-06-11 A method and apparatus for the formation of dielectric layers
EP99930223A EP1093532A1 (en) 1998-06-12 1999-06-11 A method and apparatus for the formation of dielectric layers

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/096,858 US20020009861A1 (en) 1998-06-12 1998-06-12 Method and apparatus for the formation of dielectric layers
US09/096,858 1998-06-12

Publications (1)

Publication Number Publication Date
WO1999064645A1 true WO1999064645A1 (en) 1999-12-16

Family

ID=22259427

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/013300 WO1999064645A1 (en) 1998-06-12 1999-06-11 A method and apparatus for the formation of dielectric layers

Country Status (5)

Country Link
US (1) US20020009861A1 (en)
EP (1) EP1093532A1 (en)
JP (1) JP2002517914A (en)
KR (1) KR20010052799A (en)
WO (1) WO1999064645A1 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001049896A1 (en) * 2000-01-03 2001-07-12 Micron Technology, Inc. Methods of forming a high k dielectric layer and a capacitor
JP2001223282A (en) * 1999-12-30 2001-08-17 Hynix Semiconductor Inc Nonvolatile memory element and its fabricating method
JP2001223346A (en) * 1999-12-22 2001-08-17 Hynix Semiconductor Inc Method pf manufacturing capacitors of semiconductor elements
JP2001230386A (en) * 1999-12-22 2001-08-24 Hynix Semiconductor Inc Semiconductor device including high dielectric capacitor dielectrics and manufacturing method therefor
EP1127956A2 (en) * 2000-02-22 2001-08-29 Applied Materials, Inc. Tantalum nitride CVD deposition by tantalum oxide densification
JP2001257208A (en) * 1999-12-29 2001-09-21 Hynix Semiconductor Inc Method for forming gate insulating film of semiconductor device
US6558517B2 (en) 2000-05-26 2003-05-06 Micron Technology, Inc. Physical vapor deposition methods
US6566147B2 (en) 2001-02-02 2003-05-20 Micron Technology, Inc. Method for controlling deposition of dielectric films
EP1340247A1 (en) * 2000-09-19 2003-09-03 Mattson Technology Inc. Method of forming dielectric films
US6838122B2 (en) 2001-07-13 2005-01-04 Micron Technology, Inc. Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers
US6888188B2 (en) 2001-08-17 2005-05-03 Micron Technology, Inc. Capacitor constructions comprising perovskite-type dielectric materials and having different degrees of crystallinity within the perovskite-type dielectric materials
US6943392B2 (en) * 1999-08-30 2005-09-13 Micron Technology, Inc. Capacitors having a capacitor dielectric layer comprising a metal oxide having multiple different metals bonded with oxygen
US6982103B2 (en) 2001-07-13 2006-01-03 Micron Technology, Inc. Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer
KR100851080B1 (en) * 2000-10-17 2008-08-08 가부시키가이샤 히타치세이사쿠쇼 Production of semiconductor integrated circuit
JP4966466B2 (en) * 2000-03-13 2012-07-04 公益財団法人国際科学振興財団 Method for forming oxide film, method for sputtering oxide film, method for sputtering oxynitride film, method for forming gate insulating film

Families Citing this family (257)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6833329B1 (en) * 2000-06-22 2004-12-21 Micron Technology, Inc. Methods of forming oxide regions over semiconductor substrates
US6686298B1 (en) * 2000-06-22 2004-02-03 Micron Technology, Inc. Methods of forming structures over semiconductor substrates, and methods of forming transistors associated with semiconductor substrates
US6660657B1 (en) * 2000-08-07 2003-12-09 Micron Technology, Inc. Methods of incorporating nitrogen into silicon-oxide-containing layers
US6576564B2 (en) * 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6930041B2 (en) * 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US7192827B2 (en) * 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
JP2002208592A (en) * 2001-01-09 2002-07-26 Sharp Corp Method for formation of insulating film, semiconductor device and manufacturing apparatus
WO2002059956A1 (en) * 2001-01-25 2002-08-01 Tokyo Electron Limited Method of producing electronic device material
US6528374B2 (en) * 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US6878585B2 (en) * 2001-08-29 2005-04-12 Micron Technology, Inc. Methods of forming capacitors
US6723599B2 (en) * 2001-12-03 2004-04-20 Micron Technology, Inc. Methods of forming capacitors and methods of forming capacitor dielectric layers
JP4252749B2 (en) * 2001-12-13 2009-04-08 忠弘 大見 Substrate processing method and substrate processing apparatus
KR100431743B1 (en) * 2001-12-19 2004-05-17 주식회사 하이닉스반도체 Method for forming titanium-nitride layer by atomic layer deposition and method for fabricating capacitor using the same
US20030124873A1 (en) * 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
KR100507860B1 (en) * 2002-06-21 2005-08-18 주식회사 하이닉스반도체 Capacitor having oxidation barrier and method for fabricating the same
CN1780935B (en) * 2003-07-16 2010-05-05 柯尼卡美能达控股株式会社 Method for forming thin film and base having thin film formed by such method
KR100575449B1 (en) * 2004-05-10 2006-05-03 삼성전자주식회사 Method of manufacturing a semiconductor device
US20060270166A1 (en) * 2005-05-31 2006-11-30 Liang-Gi Yao Laser spike annealing for gate dielectric materials
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5663384B2 (en) * 2011-04-19 2015-02-04 三菱電機株式会社 Insulating film manufacturing method
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5933394B2 (en) * 2011-09-22 2016-06-08 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
EP2674393B1 (en) * 2012-06-12 2017-11-29 Imec Device and method for micro-stimulation for and data acquisition from biological cells
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2015133444A (en) * 2014-01-15 2015-07-23 株式会社東芝 Semiconductor manufacturing apparatus and semiconductor device manufacturing method
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
JP2018049915A (en) * 2016-09-21 2018-03-29 マイクロン テクノロジー, インク. Semiconductor device and method of manufacturing the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) * 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03212938A (en) * 1990-01-18 1991-09-18 Seiko Epson Corp Forming method of silicon nitride film
JPH0492423A (en) * 1990-08-08 1992-03-25 Hitachi Ltd Manufacture of semiconductor integrated circuit device
JPH04362017A (en) * 1991-06-06 1992-12-15 Nikko Kyodo Co Ltd Formation of oriented ta2o5 thin film
US5290609A (en) * 1991-03-25 1994-03-01 Tokyo Electron Limited Method of forming dielectric film for semiconductor devices
US5376628A (en) * 1988-06-30 1994-12-27 Anelva Corporation Method of improving or producing oxide superconductor
KR950000861A (en) * 1993-06-08 1995-01-03 이종기 Granular-Softener Composition
WO1995026355A1 (en) * 1994-03-26 1995-10-05 Timothy John Leedham Tantalum compounds
US5907780A (en) * 1998-06-17 1999-05-25 Advanced Micro Devices, Inc. Incorporating silicon atoms into a metal oxide gate dielectric using gas cluster ion beam implantation

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5707692A (en) * 1990-10-23 1998-01-13 Canon Kabushiki Kaisha Apparatus and method for processing a base substance using plasma and a magnetic field
KR100207467B1 (en) * 1996-02-29 1999-07-15 윤종용 Fabricating method for capacitor in semiconductor device

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5376628A (en) * 1988-06-30 1994-12-27 Anelva Corporation Method of improving or producing oxide superconductor
JPH03212938A (en) * 1990-01-18 1991-09-18 Seiko Epson Corp Forming method of silicon nitride film
JPH0492423A (en) * 1990-08-08 1992-03-25 Hitachi Ltd Manufacture of semiconductor integrated circuit device
US5290609A (en) * 1991-03-25 1994-03-01 Tokyo Electron Limited Method of forming dielectric film for semiconductor devices
JPH04362017A (en) * 1991-06-06 1992-12-15 Nikko Kyodo Co Ltd Formation of oriented ta2o5 thin film
KR950000861A (en) * 1993-06-08 1995-01-03 이종기 Granular-Softener Composition
WO1995026355A1 (en) * 1994-03-26 1995-10-05 Timothy John Leedham Tantalum compounds
US5907780A (en) * 1998-06-17 1999-05-25 Advanced Micro Devices, Inc. Incorporating silicon atoms into a metal oxide gate dielectric using gas cluster ion beam implantation

Non-Patent Citations (7)

* Cited by examiner, † Cited by third party
Title
ALERS G B ET AL: "NITROGEN PLASMA ANNEALING FOR LOW TEMPERATURE TA2O5 FILMS", APPLIED PHYSICS LETTERS, vol. 72, no. 11, 16 March 1998 (1998-03-16), pages 1308 - 1310, XP000742858, ISSN: 0003-6951 *
DATABASE WPI Section Ch Week 9304, Derwent World Patents Index; Class E35, AN 1993-033213, "HIGHLY ORIENTED TANTALUM OXIDE MEMBRANE...." *
DATABASE WPI Section Ch Week 9646, Derwent World Patents Index; Class L04, AN 1996-462756, XP002120114, BAEK ET AL: "MFG. INSULATION LAYER OF CAPACITOR HAVING HIGH DIELECTRIC CONSTANT......." *
PATENT ABSTRACTS OF JAPAN vol. 015, no. 490 (E - 1144) 11 December 1991 (1991-12-11) *
PATENT ABSTRACTS OF JAPAN vol. 016, no. 318 (E - 1232) 13 July 1992 (1992-07-13) *
PATENT ABSTRACTS OF JAPAN vol. 017, no. 225 (C - 1055) 10 May 1993 (1993-05-10) *
YASUDA T ET AL: "LOW-TEMPERATURE PREPARATION OF SIO2/SI(100) INTERFACES USING A TWO -STEP REMOTE PLASMA-ASSISTED OXIDATION-DEPOSITION PROCESS", APPLIED PHYSICS LETTERS, vol. 60, no. 4, 27 January 1992 (1992-01-27), pages 434 - 436, XP000305288, ISSN: 0003-6951 *

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6943392B2 (en) * 1999-08-30 2005-09-13 Micron Technology, Inc. Capacitors having a capacitor dielectric layer comprising a metal oxide having multiple different metals bonded with oxygen
JP4671207B2 (en) * 1999-12-22 2011-04-13 株式会社ハイニックスセミコンダクター Method of manufacturing semiconductor device including high dielectric capacitor dielectric
JP2001223346A (en) * 1999-12-22 2001-08-17 Hynix Semiconductor Inc Method pf manufacturing capacitors of semiconductor elements
JP2001230386A (en) * 1999-12-22 2001-08-24 Hynix Semiconductor Inc Semiconductor device including high dielectric capacitor dielectrics and manufacturing method therefor
JP2001257208A (en) * 1999-12-29 2001-09-21 Hynix Semiconductor Inc Method for forming gate insulating film of semiconductor device
JP2001223282A (en) * 1999-12-30 2001-08-17 Hynix Semiconductor Inc Nonvolatile memory element and its fabricating method
JP4493208B2 (en) * 1999-12-30 2010-06-30 株式会社ハイニックスセミコンダクター Nonvolatile memory device and manufacturing method thereof
DE10194692B4 (en) * 2000-01-03 2007-11-29 Micron Technology, Inc. A method of chemical vapor deposition for forming a high K dielectric layer and method of forming a capacitor
US6335049B1 (en) 2000-01-03 2002-01-01 Micron Technology, Inc. Chemical vapor deposition methods of forming a high K dielectric layer and methods of forming a capacitor
WO2001049896A1 (en) * 2000-01-03 2001-07-12 Micron Technology, Inc. Methods of forming a high k dielectric layer and a capacitor
EP1127956A2 (en) * 2000-02-22 2001-08-29 Applied Materials, Inc. Tantalum nitride CVD deposition by tantalum oxide densification
US6638810B2 (en) 2000-02-22 2003-10-28 Applied Materials, Inc. Tantalum nitride CVD deposition by tantalum oxide densification
EP1127956A3 (en) * 2000-02-22 2002-09-25 Applied Materials, Inc. Tantalum nitride CVD deposition by tantalum oxide densification
JP4966466B2 (en) * 2000-03-13 2012-07-04 公益財団法人国際科学振興財団 Method for forming oxide film, method for sputtering oxide film, method for sputtering oxynitride film, method for forming gate insulating film
US6884475B2 (en) 2000-05-26 2005-04-26 Micron Technology, Inc. Chemical vapor deposition method for depositing a high k dielectric film
US6558517B2 (en) 2000-05-26 2003-05-06 Micron Technology, Inc. Physical vapor deposition methods
EP1340247A1 (en) * 2000-09-19 2003-09-03 Mattson Technology Inc. Method of forming dielectric films
EP1340247A4 (en) * 2000-09-19 2007-12-26 Mattson Tech Inc Method of forming dielectric films
KR100851080B1 (en) * 2000-10-17 2008-08-08 가부시키가이샤 히타치세이사쿠쇼 Production of semiconductor integrated circuit
US6962824B2 (en) 2001-02-02 2005-11-08 Micron Technology, Inc. Method for controlling deposition of dielectric films
US6838293B2 (en) 2001-02-02 2005-01-04 Micron Technology, Inc. Method for controlling deposition of dielectric films
US6566147B2 (en) 2001-02-02 2003-05-20 Micron Technology, Inc. Method for controlling deposition of dielectric films
US6982103B2 (en) 2001-07-13 2006-01-03 Micron Technology, Inc. Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers, including such layers having a varied concentration of barium and strontium within the layer
US6838122B2 (en) 2001-07-13 2005-01-04 Micron Technology, Inc. Chemical vapor deposition methods of forming barium strontium titanate comprising dielectric layers
US7011978B2 (en) 2001-08-17 2006-03-14 Micron Technology, Inc. Methods of forming capacitor constructions comprising perovskite-type dielectric materials with different amount of crystallinity regions
US6958267B2 (en) 2001-08-17 2005-10-25 Micron Technology, Inc. Methods of forming perovskite-type dielectric materials with chemical vapor deposition
US6888188B2 (en) 2001-08-17 2005-05-03 Micron Technology, Inc. Capacitor constructions comprising perovskite-type dielectric materials and having different degrees of crystallinity within the perovskite-type dielectric materials

Also Published As

Publication number Publication date
KR20010052799A (en) 2001-06-25
JP2002517914A (en) 2002-06-18
US20020009861A1 (en) 2002-01-24
EP1093532A1 (en) 2001-04-25

Similar Documents

Publication Publication Date Title
US20020009861A1 (en) Method and apparatus for the formation of dielectric layers
US6518203B2 (en) Method and apparatus for integrating a metal nitride film in a semiconductor device
US6204203B1 (en) Post deposition treatment of dielectric films for interface control
US6387761B1 (en) Anneal for enhancing the electrical characteristic of semiconductor devices
KR100363081B1 (en) Thin film formation apparatus
US6677254B2 (en) Processes for making a barrier between a dielectric and a conductor and products produced therefrom
US6638876B2 (en) Method of forming dielectric films
US7622402B2 (en) Method for forming underlying insulation film
JPH02283022A (en) Manufacture of semiconductor device
US6218300B1 (en) Method and apparatus for forming a titanium doped tantalum pentaoxide dielectric layer using CVD
EP1087426A2 (en) Integrated method and apparatus for forming an enhanced capacitor
US6541330B1 (en) Capacitor for semiconductor memory device and method of manufacturing the same
KR100305076B1 (en) Method For Forming The Charge Storage Storage Electrode Of Capacitor
KR100382742B1 (en) Method for forming capacitor of semiconductor device
KR20010088207A (en) Method of forming composite dielectric film of tantalum oxide and titanium oxide
KR100611386B1 (en) Method For Treating The High Temperature Of Tantalium Oxide Capacitor
US6716717B2 (en) Method for fabricating capacitor of semiconductor device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 553633

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1020007014109

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1999930223

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1999930223

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020007014109

Country of ref document: KR

WWW Wipo information: withdrawn in national office

Ref document number: 1999930223

Country of ref document: EP

WWR Wipo information: refused in national office

Ref document number: 1020007014109

Country of ref document: KR