WO2000000998A3 - Electrode for plasma processes and method for manufacture and use thereof - Google Patents

Electrode for plasma processes and method for manufacture and use thereof Download PDF

Info

Publication number
WO2000000998A3
WO2000000998A3 PCT/US1999/015053 US9915053W WO0000998A3 WO 2000000998 A3 WO2000000998 A3 WO 2000000998A3 US 9915053 W US9915053 W US 9915053W WO 0000998 A3 WO0000998 A3 WO 0000998A3
Authority
WO
WIPO (PCT)
Prior art keywords
electrode
support member
electrode assembly
elastomeric joint
manufacture
Prior art date
Application number
PCT/US1999/015053
Other languages
French (fr)
Other versions
WO2000000998A2 (en
Inventor
John Lilleland
Jerome S Hubacek
William S Kennedy
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Priority to JP2000557491A priority Critical patent/JP3450828B2/en
Priority to DE69920453T priority patent/DE69920453T2/en
Priority to KR1020007015011A priority patent/KR100329974B1/en
Priority to AU48562/99A priority patent/AU4856299A/en
Priority to EP99932201A priority patent/EP1092228B1/en
Publication of WO2000000998A2 publication Critical patent/WO2000000998A2/en
Publication of WO2000000998A3 publication Critical patent/WO2000000998A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes

Abstract

An electrode assembly for a plasma reaction chamber wherein processing of a semiconductor substrate such as a single wafer can be carried out, a method of manufacture of the electrode assembly and a method of processing a semiconductor substrate with the assembly. The electrode assembly includes a support member such as a graphite ring, an electrode such as a silicon showerhead electrode in the form of a circular disk of uniform thickness and an elastomeric joint between the support member and the electrode. The elastomeric joint allows movement between the support member and the electrode to compensate for thermal expansion as a result of temperature cycling of the electrode assembly. The elastomeric joint can include an electrically and/or thermally conductive filler and the elastomer can be a catalyst-cured polymer which is stable at high temperatures.
PCT/US1999/015053 1998-06-30 1999-06-30 Electrode for plasma processes and method for manufacture and use thereof WO2000000998A2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2000557491A JP3450828B2 (en) 1998-06-30 1999-06-30 Electrode for plasma processing, method for producing the same, and use thereof
DE69920453T DE69920453T2 (en) 1998-06-30 1999-06-30 ELECTRODE FOR PLASMA TREATMENT METHOD AND METHOD FOR THE PREPARATION AND APPLICATION THEREOF
KR1020007015011A KR100329974B1 (en) 1998-06-30 1999-06-30 Electrode for plasma processes and method for manufacture and use thereof
AU48562/99A AU4856299A (en) 1998-06-30 1999-06-30 Electrode for plasma processes and method for manufacture and use thereof
EP99932201A EP1092228B1 (en) 1998-06-30 1999-06-30 Electrode for plasma processes and method for manufacture and use thereof

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/107,471 1998-06-30
US09/107,471 US6073577A (en) 1998-06-30 1998-06-30 Electrode for plasma processes and method for manufacture and use thereof

Publications (2)

Publication Number Publication Date
WO2000000998A2 WO2000000998A2 (en) 2000-01-06
WO2000000998A3 true WO2000000998A3 (en) 2000-08-10

Family

ID=22316783

Family Applications (2)

Application Number Title Priority Date Filing Date
PCT/US1999/015053 WO2000000998A2 (en) 1998-06-30 1999-06-30 Electrode for plasma processes and method for manufacture and use thereof
PCT/US1999/014790 WO2000000999A1 (en) 1998-06-30 1999-06-30 Elastomer bonded parts for plasma processes and method for manufacture and use thereof

Family Applications After (1)

Application Number Title Priority Date Filing Date
PCT/US1999/014790 WO2000000999A1 (en) 1998-06-30 1999-06-30 Elastomer bonded parts for plasma processes and method for manufacture and use thereof

Country Status (11)

Country Link
US (4) US6073577A (en)
EP (3) EP1105917B1 (en)
JP (3) JP3450828B2 (en)
KR (3) KR100417846B1 (en)
CN (2) CN100585794C (en)
AU (2) AU4963699A (en)
DE (3) DE69931168T2 (en)
ES (2) ES2264263T3 (en)
MY (1) MY120364A (en)
TW (1) TW423072B (en)
WO (2) WO2000000998A2 (en)

Families Citing this family (169)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
JP4592916B2 (en) * 2000-04-25 2010-12-08 東京エレクトロン株式会社 Placement device for workpiece
JP2002093777A (en) 2000-07-11 2002-03-29 Nisshinbo Ind Inc Dry etching system
AU2001273537A1 (en) * 2000-07-20 2002-02-05 Tokyo Electron Limited Improved electrode for plasma processing system
US6753498B2 (en) 2000-07-20 2004-06-22 Tokyo Electron Limited Automated electrode replacement apparatus for a plasma processing system
WO2002008486A2 (en) * 2000-07-20 2002-01-31 Tokyo Electon Limited Electrode apparatus and method for plasma processing
US6412437B1 (en) 2000-08-18 2002-07-02 Micron Technology, Inc. Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process
TW518690B (en) * 2000-09-14 2003-01-21 Tokyo Electron Ltd Plasma processing apparatus and its electrode plate, its electrode supporting body and its shield ring
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20030106644A1 (en) * 2001-07-19 2003-06-12 Sirkis Murray D. Electrode apparatus and method for plasma processing
JP5095058B2 (en) * 2001-08-30 2012-12-12 株式会社日立製作所 Method for determining the thickness of a film made of a plasma resistant polymer material in an etching processing apparatus
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP3876167B2 (en) * 2002-02-13 2007-01-31 川崎マイクロエレクトロニクス株式会社 Cleaning method and semiconductor device manufacturing method
US20030185729A1 (en) * 2002-03-29 2003-10-02 Ho Ko Electrode assembly for processing a semiconductor substrate and processing apparatus having the same
US6846726B2 (en) * 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
AU2003220446A1 (en) * 2002-04-17 2003-11-03 Lam Research Corporation Silicon parts for plasma reaction chambers
JP3868341B2 (en) * 2002-04-22 2007-01-17 日清紡績株式会社 Plasma etching electrode with excellent heat resistance and dry etching apparatus equipped with the same
AU2003233655A1 (en) * 2002-05-23 2003-12-12 Lam Research Corporation Multi-part electrode for a semiconductor processing plasma reactor and method of replacing a portion of a mutli-part electrode
EP1523761A1 (en) 2002-06-21 2005-04-20 Applied Materials, Inc. Transfer chamber for vacuum processing system
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US6838012B2 (en) * 2002-10-31 2005-01-04 Lam Research Corporation Methods for etching dielectric materials
FR2850790B1 (en) * 2003-02-05 2005-04-08 Semco Engineering Sa ELECTROSTATIC COLLAGE SOLE WITH RADIO FREQUENCY ELECTRODE AND INTEGRATED THERMOSTATIC MEANS
US7976673B2 (en) * 2003-05-06 2011-07-12 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US20050042881A1 (en) * 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
US7137444B2 (en) * 2003-09-08 2006-11-21 Pacific Rubber & Packing, Inc. Heat-transfer interface device between a source of heat and a heat-receiving object
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7244336B2 (en) * 2003-12-17 2007-07-17 Lam Research Corporation Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US9032095B1 (en) 2004-01-06 2015-05-12 Juniper Networks, Inc. Routing device having multiple logical routers
CN1669796B (en) * 2004-02-23 2012-05-23 周星工程股份有限公司 Device for manufacturing display basic board and blow head combination assemblaging therein
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060201074A1 (en) * 2004-06-02 2006-09-14 Shinichi Kurita Electronic device manufacturing chamber and methods of forming the same
EP1774076A4 (en) 2004-06-24 2008-04-30 Mmi Ipco Llc Engineered fabric articles
US20120260422A1 (en) 2005-06-23 2012-10-18 Mmi-Ipco, Llc Thermal blankets
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7728823B2 (en) * 2004-09-24 2010-06-01 Apple Inc. System and method for processing raw data of track pad device
US20060108069A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7507670B2 (en) * 2004-12-23 2009-03-24 Lam Research Corporation Silicon electrode assembly surface decontamination by acidic solution
US7247579B2 (en) * 2004-12-23 2007-07-24 Lam Research Corporation Cleaning methods for silicon electrode assembly surface contamination removal
US7442114B2 (en) * 2004-12-23 2008-10-28 Lam Research Corporation Methods for silicon electrode assembly etch rate and etch uniformity recovery
US7480974B2 (en) * 2005-02-15 2009-01-27 Lam Research Corporation Methods of making gas distribution members for plasma processing apparatuses
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
KR100708321B1 (en) 2005-04-29 2007-04-17 주식회사 티씨케이 Cathode electrode geometry for plasma etching device
US7428772B2 (en) * 2005-05-19 2008-09-30 Mmi-Ipco, Llc Engineered fabric articles
US20060272941A1 (en) * 2005-06-06 2006-12-07 Simpson Wayne R Large area elastomer bonded sputtering target and method for manufacturing
US7713379B2 (en) 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
US7431788B2 (en) * 2005-07-19 2008-10-07 Lam Research Corporation Method of protecting a bond layer in a substrate support adapted for use in a plasma processing system
US7588668B2 (en) * 2005-09-13 2009-09-15 Applied Materials, Inc. Thermally conductive dielectric bonding of sputtering targets using diamond powder filler or thermally conductive ceramic fillers
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
US8679252B2 (en) * 2005-09-23 2014-03-25 Lam Research Corporation Actively heated aluminum baffle component having improved particle performance and methods of use and manufacture thereof
US7662253B2 (en) * 2005-09-27 2010-02-16 Lam Research Corporation Apparatus for the removal of a metal oxide from a substrate and methods therefor
CN101336467B (en) * 2005-11-25 2010-05-26 夏普株式会社 Plasma processing apparatus and plasma processing method
US8789493B2 (en) * 2006-02-13 2014-07-29 Lam Research Corporation Sealed elastomer bonded Si electrodes and the like for reduced particle contamination in dielectric etch
JP4615464B2 (en) * 2006-03-16 2011-01-19 東京エレクトロン株式会社 Electrode assembly for plasma processing apparatus and plasma processing apparatus
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
KR101253333B1 (en) * 2006-06-14 2013-04-10 주성엔지니어링(주) Plasma generation electrode having reinforcement means for preventing deformation and substrate processing apparatus using the same
US7476289B2 (en) * 2006-06-29 2009-01-13 Applied Materials, Inc. Vacuum elastomer bonding apparatus and method
JP2008016727A (en) * 2006-07-07 2008-01-24 Tokyo Electron Ltd Heat conductive structure and substrate treatment apparatus
JP5183058B2 (en) * 2006-07-20 2013-04-17 アプライド マテリアルズ インコーポレイテッド Substrate processing with rapid temperature gradient control
US9275887B2 (en) * 2006-07-20 2016-03-01 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US7718029B2 (en) * 2006-08-01 2010-05-18 Applied Materials, Inc. Self-passivating plasma resistant material for joining chamber components
US20080121521A1 (en) * 2006-08-15 2008-05-29 Chunghwa Picture Tubes, Ltd. Plasma sputtering target assembly and manufacturing method therefor
US20140021044A1 (en) * 2006-10-02 2014-01-23 Thermal Conductive Bonding, Inc. Elastomer Bonded Rotary Sputtering Target
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7758718B1 (en) 2006-12-29 2010-07-20 Lam Research Corporation Reduced electric field arrangement for managing plasma confinement
JP5030604B2 (en) * 2007-01-29 2012-09-19 セイコーインスツル株式会社 Wafer appearance inspection system
US7767028B2 (en) * 2007-03-14 2010-08-03 Lam Research Corporation Cleaning hardware kit for composite showerhead electrode assemblies for plasma processing apparatuses
US8171877B2 (en) * 2007-03-14 2012-05-08 Lam Research Corporation Backside mounted electrode carriers and assemblies incorporating the same
US8375890B2 (en) * 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
US8221552B2 (en) * 2007-03-30 2012-07-17 Lam Research Corporation Cleaning of bonded silicon electrodes
US7578889B2 (en) * 2007-03-30 2009-08-25 Lam Research Corporation Methodology for cleaning of surface metal contamination from electrode assemblies
KR101277108B1 (en) 2007-03-30 2013-06-20 주식회사 원익아이피에스 Apparatus for cleaning a chamber in a amorphous carbon-film depositing process and method of cleaning the chamber using the apparatus
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
KR100920417B1 (en) 2007-08-01 2009-10-14 주식회사 에이디피엔지니어링 Sensing unit and substrate processing unit including the same
KR100813106B1 (en) * 2007-09-19 2008-03-17 다이섹(주) Pressurization bonding cathode and the manufacture method
KR101519684B1 (en) 2007-09-25 2015-05-12 램 리써치 코포레이션 Temperature control modules for showerhead electrode assemblies for plasma processing apparatuses
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US8152954B2 (en) * 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
KR101645043B1 (en) * 2007-10-31 2016-08-02 램 리써치 코포레이션 A plasma processing chamber, a plasma processing component and a method of manufacturing a plasma etch chamber processing component
WO2009078923A2 (en) * 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
US8418649B2 (en) * 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
SG188141A1 (en) * 2008-02-08 2013-03-28 Lam Res Corp A protective coating for a plasma processing chamber part and a method of use
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
CN104357807B (en) * 2008-03-26 2019-06-28 Oci有限公司 The system and method for distribution are used in CVD reactor
WO2009120859A1 (en) * 2008-03-26 2009-10-01 Gt Solar, Inc. Gold-coated polysilicon reactor system and method
KR100978115B1 (en) * 2008-04-10 2010-08-26 티씨비코리아(주) Mothod for manufacturing a cathode arc for the plasma chamber and a cathode arc for the plasma chamber
US8679288B2 (en) 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8075701B2 (en) * 2008-06-30 2011-12-13 Lam Research Corporation Processes for reconditioning multi-component electrodes
US8276604B2 (en) * 2008-06-30 2012-10-02 Lam Research Corporation Peripherally engaging electrode carriers and assemblies incorporating the same
TWI494030B (en) * 2008-07-07 2015-07-21 Lam Res Corp Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8449679B2 (en) * 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
US8734604B2 (en) * 2008-12-05 2014-05-27 The Boeing Company Bond line control process
US8795455B2 (en) * 2008-12-05 2014-08-05 The Boeing Company Bonded patches with bond line control
US10022922B2 (en) 2008-12-05 2018-07-17 The Boeing Company Bonded patches with bond line control
US9017499B2 (en) * 2008-12-05 2015-04-28 The Boeing Company Bonded patches with bond line control
KR101592623B1 (en) * 2008-12-10 2016-02-11 램 리써치 코포레이션 Immersive oxidation and etching process for cleaning silicon electrodes
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
TWI511619B (en) * 2009-07-03 2015-12-01 Advanced Micro Fab Equip Inc Electrode elements for plasma processing, internal components and methods for their manufacture and separation
SG170717A1 (en) 2009-11-02 2011-05-30 Lam Res Corp Hot edge ring with sloped upper surface
US8369345B1 (en) 2009-11-13 2013-02-05 Juniper Networks, Inc. Multi-router system having shared network interfaces
US20130196109A1 (en) 2009-11-24 2013-08-01 Mmi-Ipco, Llc Insulated Composite Fabric
KR101820976B1 (en) * 2009-12-18 2018-01-22 램 리써치 코포레이션 Methodology for cleaning of surface metal contamination from an upper electrode used in a plasma chamber
JP5544907B2 (en) * 2010-02-04 2014-07-09 東京エレクトロン株式会社 Structure for gas shower and substrate processing apparatus
US8249900B2 (en) * 2010-02-10 2012-08-21 Morgan Stanley & Co. Llc System and method for termination of pension plan through mutual annuitization
JP2011181677A (en) * 2010-03-01 2011-09-15 Tokyo Electron Ltd Focus ring and substrate mounting system
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
JP2011256946A (en) * 2010-06-09 2011-12-22 Tohoku Univ Pressure-reducible processing apparatus
US9728429B2 (en) * 2010-07-27 2017-08-08 Lam Research Corporation Parasitic plasma prevention in plasma processing chambers
US8444456B2 (en) * 2010-11-02 2013-05-21 Lam Research Corporation Electrode securing platens and electrode polishing assemblies incorporating the same
US8468709B2 (en) 2010-11-04 2013-06-25 The Boeing Company Quick composite repair template tool and method
US20120244684A1 (en) * 2011-03-24 2012-09-27 Kunihiko Suzuki Film-forming apparatus and method
US9129795B2 (en) 2011-04-11 2015-09-08 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
EP2525387A1 (en) * 2011-05-17 2012-11-21 Quadrant Epp Ag Process for plasma treatment employing ceramic-filled polyamideimide composite parts
KR101947519B1 (en) * 2011-05-27 2019-02-14 한국생산기술연구원 Showerhead having multi-layer and method for sealing the same
US9245719B2 (en) 2011-07-20 2016-01-26 Lam Research Corporation Dual phase cleaning chambers and assemblies comprising the same
KR101914731B1 (en) 2011-08-30 2018-11-02 와틀로 일렉트릭 매뉴팩츄어링 컴파니 Method of manufacturing a high definition heater system
TWI830183B (en) * 2011-10-05 2024-01-21 美商應用材料股份有限公司 Plasma processing apparatus comprising symmetric plasma process chamber and lid assembly for the same
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US8545639B2 (en) 2011-10-31 2013-10-01 Lam Research Corporation Method of cleaning aluminum plasma chamber parts
US9396912B2 (en) 2011-10-31 2016-07-19 Lam Research Corporation Methods for mixed acid cleaning of showerhead electrodes
JP2013254901A (en) 2012-06-08 2013-12-19 Toshiba Corp Sealing material and etching apparatus
CA2884696C (en) 2012-09-10 2022-06-21 Mmi-Ipco, Llc Insulated composite fabrics
US8975817B2 (en) * 2012-10-17 2015-03-10 Lam Research Corporation Pressure controlled heat pipe temperature control plate
US8883029B2 (en) * 2013-02-13 2014-11-11 Lam Research Corporation Method of making a gas distribution member for a plasma processing chamber
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US9583377B2 (en) 2013-12-17 2017-02-28 Lam Research Corporation Installation fixture for elastomer bands
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
WO2015112501A1 (en) * 2014-01-22 2015-07-30 Molecular Devices, Llc Replaceable ground electrode for electrophysiology, electrode rejuvenating apparatus, and related methods and systems
KR102278074B1 (en) * 2014-06-30 2021-07-19 세메스 주식회사 Apparatus and method for treating substrate
EP3213598B1 (en) 2014-10-31 2023-07-05 Watlow Electric Manufacturing Company Thermal dynamic response sensing systems for heaters
US9826574B2 (en) 2015-10-28 2017-11-21 Watlow Electric Manufacturing Company Integrated heater and sensor system
US10340171B2 (en) 2016-05-18 2019-07-02 Lam Research Corporation Permanent secondary erosion containment for electrostatic chuck bonds
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
KR102652258B1 (en) * 2016-07-12 2024-03-28 에이비엠 주식회사 Metal component and manufacturing method thereof and process chamber having the metal component
US11742187B2 (en) 2016-12-27 2023-08-29 Evatec Ag RF capacitive coupled etch reactor
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
TWI756475B (en) * 2017-10-06 2022-03-01 日商東京威力科創股份有限公司 Particle generation preventing method and vacuum apparatus
US11094514B2 (en) * 2018-12-21 2021-08-17 Oumeida Applied Materials Technology Co., Ltd. Rotatable sputtering target
KR20210044568A (en) 2019-10-15 2021-04-23 삼성전자주식회사 Etching apparatus
KR20210152072A (en) * 2020-06-05 2021-12-15 삼성디스플레이 주식회사 Apparatus for vapor jet deposition and method for manufacturing vapor jet nozzle unit
CN114188206B (en) * 2020-09-15 2023-09-29 中微半导体设备(上海)股份有限公司 Plasma processing device and adjusting method of upper electrode assembly of plasma processing device
KR102242198B1 (en) * 2021-01-12 2021-04-20 김기재 A method of bonding silicon electrodes used in semiconductor etching process equipment

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
EP0837489A2 (en) * 1996-10-21 1998-04-22 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4595484A (en) * 1985-12-02 1986-06-17 International Business Machines Corporation Reactive ion etching apparatus
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4820371A (en) * 1987-12-15 1989-04-11 Texas Instruments Incorporated Apertured ring for exhausting plasma reactor gases
TW221318B (en) * 1990-07-31 1994-02-21 Tokyo Electron Co Ltd
JP3238925B2 (en) * 1990-11-17 2001-12-17 株式会社東芝 Electrostatic chuck
US5636098A (en) * 1994-01-06 1997-06-03 Applied Materials, Inc. Barrier seal for electrostatic chuck
JP3290036B2 (en) * 1994-10-18 2002-06-10 菱電セミコンダクタシステムエンジニアリング株式会社 Dry etching apparatus and dry etching method
JPH08225947A (en) * 1994-12-16 1996-09-03 Canon Inc Plasma treatment method and device therefor
KR100214267B1 (en) * 1995-04-07 1999-08-02 김영환 Semiconductor device fabrication method
US5690795A (en) * 1995-06-05 1997-11-25 Applied Materials, Inc. Screwless shield assembly for vacuum processing chambers
JPH09172055A (en) * 1995-12-19 1997-06-30 Fujitsu Ltd Electrostatic chuck and method for attracting wafer
US5744199A (en) * 1996-10-31 1998-04-28 Dow Corning Corporation Method of sealing openings in structural components of buildings for controlling the passage of smoke
US6073577A (en) 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
EP0837489A2 (en) * 1996-10-21 1998-04-22 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna

Also Published As

Publication number Publication date
US6376385B2 (en) 2002-04-23
DE69920453D1 (en) 2004-10-28
WO2000000998A2 (en) 2000-01-06
KR100329974B1 (en) 2002-03-27
KR100426149B1 (en) 2004-04-06
WO2000000999A9 (en) 2000-10-12
EP1105917B1 (en) 2006-05-03
US20010031557A1 (en) 2001-10-18
EP1092228A2 (en) 2001-04-18
EP1475820B1 (en) 2008-09-17
JP3450828B2 (en) 2003-09-29
EP1092228B1 (en) 2004-09-22
US6194322B1 (en) 2001-02-27
DE69920453T2 (en) 2005-11-24
MY120364A (en) 2005-10-31
JP2002519863A (en) 2002-07-02
EP1475820A1 (en) 2004-11-10
CN100585794C (en) 2010-01-27
AU4856299A (en) 2000-01-17
KR20010053289A (en) 2001-06-25
CN1574211A (en) 2005-02-02
AU4963699A (en) 2000-01-17
DE69939606D1 (en) 2008-10-30
US6073577A (en) 2000-06-13
CN1167103C (en) 2004-09-15
TW423072B (en) 2001-02-21
DE69931168T2 (en) 2007-03-08
JP4477292B2 (en) 2010-06-09
KR20030070142A (en) 2003-08-27
DE69931168D1 (en) 2006-06-08
WO2000000999A1 (en) 2000-01-06
EP1105917A4 (en) 2003-05-21
KR20010071688A (en) 2001-07-31
ES2229731T3 (en) 2005-04-16
JP2003133296A (en) 2003-05-09
EP1105917A1 (en) 2001-06-13
JP3408245B2 (en) 2003-05-19
CN1312954A (en) 2001-09-12
KR100417846B1 (en) 2004-02-05
JP2002519862A (en) 2002-07-02
US6148765A (en) 2000-11-21
ES2264263T3 (en) 2006-12-16

Similar Documents

Publication Publication Date Title
WO2000000998A3 (en) Electrode for plasma processes and method for manufacture and use thereof
CN1103113C (en) Electrode clamping assembly and method for assembly and use thereof
CN202025711U (en) Showerhead electrode assemblies and gasket for showerhead electrode assemblies
KR101541201B1 (en) Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
JP2004285479A (en) Stacked showerhead assembly for delivering gas and rf (radio frequency) power to reaction chamber
JP4971365B2 (en) Electrode assembly for a plasma reaction chamber
US20090014323A1 (en) High temperature cathode for plasma etching
CA2269862A1 (en) Apparatus and process for controlled atmosphere chemical vapor deposition
EP1542506A1 (en) Wafer holder and system for producing semiconductor
AU6572698A (en) Susceptor designs for silicon carbide thin films
TW201007878A (en) Stage unit for supporting a substrate and apparatus for processing a substrate including the same
US11651987B2 (en) Substrate support carrier with improved bond layer protection
JP2013123062A (en) Wafer carrier with hub
EP0452779B1 (en) Physical vapor deposition clamping mechanism
JP2011254097A (en) Thermal control plate
WO2005059962A3 (en) Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
KR101188185B1 (en) A heating apparatus having electrostatic adsorption function
KR20060134860A (en) Joining construction in mounting table apparatus, processing apparatus and method for protecting discharge between electric supply wires in mounting table apparatus
KR100811389B1 (en) Semiconductor manufacturing equipment and heater
EP1475823B1 (en) Heat treatment device and heat treatment method
US20180082866A1 (en) Heater pedestal assembly for wide range temperature control
TW200532842A (en) Electrostatic chuck including a heater mechanism
KR950006969A (en) Film deposition apparatus and film formation method
JP2004055595A (en) Vapor deposition device
JPH07283292A (en) Sealing mechanism besides treatment device and treatment method using this sealing mechanism

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG UZ VN YU ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW SD SL SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: A3

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG UZ VN YU ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW SD SL SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

WWE Wipo information: entry into national phase

Ref document number: 1999932201

Country of ref document: EP

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 557491

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1020007015011

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1999932201

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWP Wipo information: published in national office

Ref document number: 1020007015011

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1020007015011

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1999932201

Country of ref document: EP