WO2000003424A1 - Two-step integrated self aligned contact etch - Google Patents

Two-step integrated self aligned contact etch Download PDF

Info

Publication number
WO2000003424A1
WO2000003424A1 PCT/US1999/011239 US9911239W WO0003424A1 WO 2000003424 A1 WO2000003424 A1 WO 2000003424A1 US 9911239 W US9911239 W US 9911239W WO 0003424 A1 WO0003424 A1 WO 0003424A1
Authority
WO
WIPO (PCT)
Prior art keywords
silicon oxide
etching
oxide layer
layer
mask
Prior art date
Application number
PCT/US1999/011239
Other languages
French (fr)
Inventor
Raymond Hung
Joseph Patrick Caulfield
Jian Ding
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to JP2000559585A priority Critical patent/JP2002520848A/en
Publication of WO2000003424A1 publication Critical patent/WO2000003424A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Definitions

  • the present invention relates to plasma etching in the fabrication of integrated circuit structures and, in particular, to an integrated plasma etch process module that includes a self aligned, selective oxide etch, nitride removal with high selectivity to corner nitride and stripping of all polymer and photoresist.
  • Advanced integrated circuits include multiple conductive layers that are separated from a silicon substrate and from each other by intervening dielectric material. Contact or via holes are etched through the dielectric material and filled with a conductor to selectively provide electrical contacts or interconnects between the substrate and the various conductive layers. While the dielectric layers in these integrated circuit structures can consist of a single layer of material, such as silicon oxide, more typically the dielectric layer includes an upper layer (e.g. silicon oxide) and a lower stop layer (e.g. silicon nitride with upper oxide) . The stop layer has a composition relative to the upper layer such that a carefully chosen etch process that is selective to the material of the stop layer etches through the upper dielectric but stops on the stop layer, thus protecting the underlying material during the oxide etch. A further etch of the stop layer is then performed to expose the underlying material to complete the contact or via hole.
  • an upper layer e.g. silicon oxide
  • a lower stop layer e.g. silicon nitride with upper oxide
  • the stop layer
  • Fig. 1A shows an integrated circuit structure commonly utilized in dynamic random access memory
  • the illustrated structure includes a silicon substrate 10 and two polysilicon gate electrodes 12.
  • the gate electrodes 12 are electrically insulated by surrounding silicon dioxide 14 which also separates the gate electrodes 12 from the underlying substrate 10.
  • a silicon nitride liner 16 is formed over the gate oxide 14 and exposed surfaces of the substrate 10 between the gate electrodes 12.
  • An intermediate silicon oxide layer 18 is formed over the nitride liner 16.
  • a photoresist (PR) mask 20 having an opening 22 is provided on the intermediate oxide layer 18 to facilitate the etching of a contact hole through the oxide 18 and the nitride liner 16 to the substrate 10.
  • PR photoresist
  • limitations on existing photolithography techniques can cause misalignment of the mask 20 such that the etch opening 22 is formed partially over the gate oxide 14. As a result, as shown in Fig.
  • etching of the intermediate oxide 18 can result in removal of liner nitride 16 on the sidewalls 24, and particularly on the shoulders 26 of the gate oxide. Etch-through of the nitride liner 16 at these points exposes the gate oxide 14 to the oxide etch environment, potentially resulting in shorts between the contact and the gate electrode 12.
  • the selectivity of the oxide etch to nitride both at the relatively open floor of the contact hole and at the shoulders 26 of the hole is particularly crucial.
  • oxide etching is the use of a fluorinated chemical etchant .
  • a fluorine-containing polymer is formed as a passivation material and functions as an etch stop to cover the underlying nitride, thereby increasing the oxide etch selectivity dramatically.
  • the pattern-defining photoresist and the fluorine-containing polymer are removed.
  • Etch stop occurs when the sidewalls of the etched hole become so heavily polymerized that the polymer closes the hole and prevents further etching at the bottom of the hole.
  • the process window for a self-aligned dielectric etch is often limited by the conflicting requirements of the oxide etch to maintain the nitride liner while continuing to open the oxide in the hole.
  • the present invention provides an integrated self-aligned contact etch process.
  • the process steps include oxide etch with high oxide etch rate, integrated selective oxide etch and nitride liner removal with high selectivity to corner nitride and the ability to remove the bottom nitride liner, and stripping of all polymer and photoresist.
  • C 4 F 8 and CH 2 F 2 are used for the high selectivity oxide etch step.
  • the unique behavior of CH 2 F 2 in high density plasma allows polymer protection to form on the nitride corner/sidewall and, at the same time, to etch the bottom nitride.
  • Figs. 1A-1C are cross-section drawings illustrating potential mask misalignment in contact hole etch process.
  • Figs. 2A-2C are cross-section drawings illustrating an integrated self aligned contact etch process in accordance with the present invention.
  • Fig. 3 is a schematic drawing, partially in cross-section view, illustrating an indirectly coupled high-density plasma reactor in which a process in accordance with the present invention may be practiced.
  • DRAM dynamic random access memory
  • Fig. 2A shows a portion of a DRAM structure that includes a silicon substrate 100 and two polysilicon gate electrodes 102.
  • the gate electrodes are insulated by surrounding silicon dioxide 104 which also separates the gate electrodes 102 from the underlying substrate 10.
  • a silicon nitride liner 106 is formed over the gate oxide 104 and on exposed surface regions at the substrate 100 between the gate electrodes 102.
  • An intermediate silicon oxide layer 108 is formed over the nitride 106, a photoresist mask 110 having an opening 112 is formed on the intermediate oxide 108.
  • Fig. 2A shows an organic barrier anti-reflective coating (BARC) 113 formed on the surface of the intermediate oxide 108; utilization of BARC 113 enhances mask definition in the fabrication of high density integrated circuits.
  • BARC organic barrier anti-reflective coating
  • a process sequence in accordance with the present invention begins with the removal of the BARC organic layer 113, which in the disclosed embodiment is approximately 900A thick, before etching of the intermediate oxide layer 108 can begin.
  • CHF 3 , Ar and 0 2 are used as the main etching chemistry for removal of the BARC 113.
  • Loss of photoresist material from the mask 110 is minimal during this BARC removal step, typically about 0.1 ⁇ m.
  • An initial oxide etch step then proceeds using C 4 F 8 and Ar.
  • the etch rate is approximately 8500A per minute.
  • the total etch time is 30 seconds and the target etch depth is 4300A, about one-half of the total thickness of the intermediate oxide 108 in this illustrative embodiment.
  • the thickness of oxide material removed in this initial etch step may vary depending upon circuit design and application.
  • An important feature of the initial oxide etch step is that it etch the intermediate oxide 108 only to depth that remains above the nitride liner material 106 that overlies the gate oxide 104 over the gate electrode 102.
  • an integrated selective oxide etch and nitride liner removal step is performed to complete the etch through the remainder of the intermediate oxide 108 and through the nitride liner material 106, thus exposing the underlying substrate 100.
  • C 4 F 8 and CH 2 F 2 are used as the main etching gases in this step and Argon is used as a carrier gas.
  • Argon is used as a carrier gas. The resulting structure is shown in Fig. 2C.
  • IPS inductive plasma source oxide etch reactor
  • Applied Materials, Inc. Santa Clara, California and described by Collins, et al . in U.S. Patent Application Serial No. 08/733,544, filed October 21, 1996.
  • the general IPS reactor structure and some auxiliary equipment are illustrated in partial cross- section in Fig. 3.
  • a wafer 120 to be processed is supported on a cathode pedestal 122, which is supplied with RF power from a first RF power supply 124.
  • a silicon ring 126 surrounds the pedestal 122 and is controllably heated by an array of heater lamps 128.
  • a grounded silicon wall 130 surrounds the plasma processing area.
  • a silicon roof 132 overlies the plasma processing area, and lamps 134 and water cooling channels 136 control its temperature.
  • the temperature-controlled silicon ring 126, and to a lesser extent the silicon roof 132 can be used to scavenge fluorine from the fluorocarbon or other fluorine-based plasma.
  • Processing gases are supplied from one or more bottom gas feeds 138 through a bank of mass flow controllers 140.
  • a top gas feed may be formed as a small shower head in the center of the silicon roof 132.
  • An unillustrated vacuum pumping system connected to a pumping channel 142 around the lower portion of the chamber maintains the chamber at a preselected pressure.
  • the system controller 144 controls the operation of the reactor and its auxiliary equipment.
  • the silicon roof 132 is grounded. But it's semiconductor resistivity and thickness are chosen to pass generally axial RF magnetic fields produced by an inner inductive coil stack 146 and an outer inductive coil stack 148 powered by respective RF power supplies 150, 152.
  • a single RF power supply may be used in conjunction with a selectable power splitter.
  • the system controller 144 controls the mass flow controllers 138, the heater lamps 128, 134, the supply of chilled water to the cooling channels 136, the throttle valve to the vacuum pumps, and the power supplies 124, 150, and 152. All these regulated functions control the etching chemistry in conformance to a process recipe of the sort described above.
  • the process recipe is stored in the controller 144 and magnetic optical or semiconductor memory, as is well known in the art, and the controller 144 reads the recipe from a recording medium inserted into it. It is typical for the equipment supplier to provide recipes and magnetic medium such as floppy or optical media such as CD ROMS which are then read into controller 144.
  • a principle advantage of the inductively coupled plasma reactor illustrated in Fig. 3 is that different amounts of power can be supplied to the inductive coils 146, 148 and to the capacitive pedestal 122.
  • the inductive power creates a plasma source region and large part remote from the wafer 120 while the capacitive power controls plasma sheath adjacent to the wafer 120 and thus determines the DC bias across the sheath at the wafer 120.
  • the source power can be raised to increase the etching rate and control the number and type of excited radicals while the bias power can be varied to have ions accelerated across the plasma sheath to either high or low energy in which then strike the wafer 120 with the selected energy.

Abstract

An integrated self aligned contact process includes oxide etch with high oxide etch rate, integrated selective oxide etch and nitride liner removal with high selectivity to corner nitride with the ability to remove the bottom nitride liner, and stripping of all polymer and photoresist. C4F8 and Ar are used for the first high high-rate oxide etch step. C4F8, Ar and CH2F2 are used for the second high selectivity oxide etch step. The unique behavior of CH2F2 in high density plasma allows polymer protection to form on the nitride corner/sidewall while at the same time etching the bottom nitride.

Description

TWO-STEP INTEGRATED SELF ALIGNED CONTACT ETCH
BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to plasma etching in the fabrication of integrated circuit structures and, in particular, to an integrated plasma etch process module that includes a self aligned, selective oxide etch, nitride removal with high selectivity to corner nitride and stripping of all polymer and photoresist.
2. Description of the Related Art
Advanced integrated circuits include multiple conductive layers that are separated from a silicon substrate and from each other by intervening dielectric material. Contact or via holes are etched through the dielectric material and filled with a conductor to selectively provide electrical contacts or interconnects between the substrate and the various conductive layers. While the dielectric layers in these integrated circuit structures can consist of a single layer of material, such as silicon oxide, more typically the dielectric layer includes an upper layer (e.g. silicon oxide) and a lower stop layer (e.g. silicon nitride with upper oxide) . The stop layer has a composition relative to the upper layer such that a carefully chosen etch process that is selective to the material of the stop layer etches through the upper dielectric but stops on the stop layer, thus protecting the underlying material during the oxide etch. A further etch of the stop layer is then performed to expose the underlying material to complete the contact or via hole.
Fig. 1A shows an integrated circuit structure commonly utilized in dynamic random access memory
(DRAM) devices. The illustrated structure includes a silicon substrate 10 and two polysilicon gate electrodes 12. The gate electrodes 12 are electrically insulated by surrounding silicon dioxide 14 which also separates the gate electrodes 12 from the underlying substrate 10. A silicon nitride liner 16 is formed over the gate oxide 14 and exposed surfaces of the substrate 10 between the gate electrodes 12. An intermediate silicon oxide layer 18 is formed over the nitride liner 16.
Referring to Fig. IB, as part of the DRAM circuit fabrication, electrical contact is made with the substrate 10 between the gate electrodes 12. To achieve this, a photoresist (PR) mask 20 having an opening 22 is provided on the intermediate oxide layer 18 to facilitate the etching of a contact hole through the oxide 18 and the nitride liner 16 to the substrate 10. However, as shown in Fig. IB by dashed lines, limitations on existing photolithography techniques can cause misalignment of the mask 20 such that the etch opening 22 is formed partially over the gate oxide 14. As a result, as shown in Fig. 1C, if the selectivity of the oxide etch chemistry to nitride is too low, etching of the intermediate oxide 18 can result in removal of liner nitride 16 on the sidewalls 24, and particularly on the shoulders 26 of the gate oxide. Etch-through of the nitride liner 16 at these points exposes the gate oxide 14 to the oxide etch environment, potentially resulting in shorts between the contact and the gate electrode 12.
Thus, the selectivity of the oxide etch to nitride both at the relatively open floor of the contact hole and at the shoulders 26 of the hole is particularly crucial.
One widely utilized technology for oxide etching is the use of a fluorinated chemical etchant . During the oxide etch step, a fluorine-containing polymer is formed as a passivation material and functions as an etch stop to cover the underlying nitride, thereby increasing the oxide etch selectivity dramatically. Following oxide etching, the pattern-defining photoresist and the fluorine-containing polymer are removed.
While increased selectivity can be achieved using a highly polymerizing etch chemistry, the extensive polymerization may cause etch stop, particularly in high density DRAM devices in which the distance between gate electrodes is very small. Etch stop occurs when the sidewalls of the etched hole become so heavily polymerized that the polymer closes the hole and prevents further etching at the bottom of the hole. As a result, the process window for a self-aligned dielectric etch is often limited by the conflicting requirements of the oxide etch to maintain the nitride liner while continuing to open the oxide in the hole.
Furthermore, during stripping of the photoresist and the fluorine-containing polymer, free fluorine radicals are released into the plasma from the dissociation of the polymer residue. The photoresist stripping plasma, now including free fluorine released from the polymer residue can deleteriously effect the device structure. Therefore, any etch chemistry or parameters that provide high selectivity and large etch stopping margin are particularly attractive in oxide etching.
SUMMARY OF THE INVENTION
The present invention provides an integrated self-aligned contact etch process. The process steps include oxide etch with high oxide etch rate, integrated selective oxide etch and nitride liner removal with high selectivity to corner nitride and the ability to remove the bottom nitride liner, and stripping of all polymer and photoresist. C4F8 and CH2F2 are used for the high selectivity oxide etch step. The unique behavior of CH2F2 in high density plasma allows polymer protection to form on the nitride corner/sidewall and, at the same time, to etch the bottom nitride.
The foregoing aspects of the present invention will become more readily appreciated and better understood by reference to the following detailed description which should be considered in conjunction with the accompanying drawings .
BRIEF DESCRIPTION OF THE DRAWINGS
Figs. 1A-1C are cross-section drawings illustrating potential mask misalignment in contact hole etch process.
Figs. 2A-2C are cross-section drawings illustrating an integrated self aligned contact etch process in accordance with the present invention. Fig. 3 is a schematic drawing, partially in cross-section view, illustrating an indirectly coupled high-density plasma reactor in which a process in accordance with the present invention may be practiced.
DETAILED DESCRIPTION OF THE INVENTION
An integrated self aligned contact etch process in accordance with the present invention will now be described in the context of the fabrication of a dynamic random access memory (DRAM) circuit structure. However, description of the invention in this context should be considered illustrative and not limiting. Those skilled in the art will appreciate that methods in accordance with the present invention have application in the fabrication of a wide variety of integrated circuit structures.
Fig. 2A shows a portion of a DRAM structure that includes a silicon substrate 100 and two polysilicon gate electrodes 102. The gate electrodes are insulated by surrounding silicon dioxide 104 which also separates the gate electrodes 102 from the underlying substrate 10. A silicon nitride liner 106 is formed over the gate oxide 104 and on exposed surface regions at the substrate 100 between the gate electrodes 102. An intermediate silicon oxide layer 108 is formed over the nitride 106, a photoresist mask 110 having an opening 112 is formed on the intermediate oxide 108. Fig. 2A shows an organic barrier anti-reflective coating (BARC) 113 formed on the surface of the intermediate oxide 108; utilization of BARC 113 enhances mask definition in the fabrication of high density integrated circuits. As shown in Fig. 2B, a process sequence in accordance with the present invention begins with the removal of the BARC organic layer 113, which in the disclosed embodiment is approximately 900A thick, before etching of the intermediate oxide layer 108 can begin. CHF3, Ar and 02 are used as the main etching chemistry for removal of the BARC 113. Loss of photoresist material from the mask 110 is minimal during this BARC removal step, typically about 0.1 μm.
An initial oxide etch step then proceeds using C4F8 and Ar. The etch rate is approximately 8500A per minute. The total etch time is 30 seconds and the target etch depth is 4300A, about one-half of the total thickness of the intermediate oxide 108 in this illustrative embodiment. Those skilled in the art will appreciate that the thickness of oxide material removed in this initial etch step may vary depending upon circuit design and application. An important feature of the initial oxide etch step is that it etch the intermediate oxide 108 only to depth that remains above the nitride liner material 106 that overlies the gate oxide 104 over the gate electrode 102.
Next, an integrated selective oxide etch and nitride liner removal step is performed to complete the etch through the remainder of the intermediate oxide 108 and through the nitride liner material 106, thus exposing the underlying substrate 100. C4F8 and CH2F2 are used as the main etching gases in this step and Argon is used as a carrier gas. The resulting structure is shown in Fig. 2C.
While C4F8 alone would have good selectivity to flat nitride, it does not form enough polymer to protect the nitride corner and sidewall. Therefore, the corner or sidewall nitride loss slows but does not stop as the etch proceeds. As a result, process performance is sensitive to the amount of over-etch. In a self aligned contact etch, long over-etch is usually needed to accommodate the variations in subsequent chemical mechanical polishing (CMP) uniformity, which is typically 15% and can be as large of 50%. Experiments performed with a CHF3 and CH2F2 mixture on self aligned contact structures showed clearly that CH2F2 played a very important role on sidewall polymer formation.
The process described above can be performed in an inductively coupled high density plasma etch reactor such as the IPS (inductive plasma source) oxide etch reactor available from Applied Materials, Inc., Santa Clara, California and described by Collins, et al . in U.S. Patent Application Serial No. 08/733,544, filed October 21, 1996. The general IPS reactor structure and some auxiliary equipment are illustrated in partial cross- section in Fig. 3.
As shown in Fig. 3, a wafer 120 to be processed is supported on a cathode pedestal 122, which is supplied with RF power from a first RF power supply 124. A silicon ring 126 surrounds the pedestal 122 and is controllably heated by an array of heater lamps 128. A grounded silicon wall 130 surrounds the plasma processing area. A silicon roof 132 overlies the plasma processing area, and lamps 134 and water cooling channels 136 control its temperature. The temperature-controlled silicon ring 126, and to a lesser extent the silicon roof 132, can be used to scavenge fluorine from the fluorocarbon or other fluorine-based plasma. Processing gases are supplied from one or more bottom gas feeds 138 through a bank of mass flow controllers 140. Alternatively, a top gas feed may be formed as a small shower head in the center of the silicon roof 132. An unillustrated vacuum pumping system connected to a pumping channel 142 around the lower portion of the chamber maintains the chamber at a preselected pressure. The system controller 144 controls the operation of the reactor and its auxiliary equipment. In the illustrated configuration, the silicon roof 132 is grounded. But it's semiconductor resistivity and thickness are chosen to pass generally axial RF magnetic fields produced by an inner inductive coil stack 146 and an outer inductive coil stack 148 powered by respective RF power supplies 150, 152. Alternatively, a single RF power supply may be used in conjunction with a selectable power splitter.
The system controller 144 controls the mass flow controllers 138, the heater lamps 128, 134, the supply of chilled water to the cooling channels 136, the throttle valve to the vacuum pumps, and the power supplies 124, 150, and 152. All these regulated functions control the etching chemistry in conformance to a process recipe of the sort described above. The process recipe is stored in the controller 144 and magnetic optical or semiconductor memory, as is well known in the art, and the controller 144 reads the recipe from a recording medium inserted into it. It is typical for the equipment supplier to provide recipes and magnetic medium such as floppy or optical media such as CD ROMS which are then read into controller 144.
A principle advantage of the inductively coupled plasma reactor illustrated in Fig. 3 is that different amounts of power can be supplied to the inductive coils 146, 148 and to the capacitive pedestal 122. The inductive power creates a plasma source region and large part remote from the wafer 120 while the capacitive power controls plasma sheath adjacent to the wafer 120 and thus determines the DC bias across the sheath at the wafer 120. The source power can be raised to increase the etching rate and control the number and type of excited radicals while the bias power can be varied to have ions accelerated across the plasma sheath to either high or low energy in which then strike the wafer 120 with the selected energy.
As will be understood by those skilled in the art, the foregoing description of the present invention is an illustration of the invention rather than a limitation thereon. It is intended that various modifications and similar methods be included within the spirit and scope of the invention.

Claims

WHAT IS CLAIMED IS:
1. An integrated self aligned etch method for etching a hole in an integrated circuit structure that includes dielectric material formed overlying a semiconductor substrate, a layer of silicon nitride liner material formed over the dielectric material and a surface region of the semiconductor substrate, and a layer of silicon oxide formed on the silicon nitride liner material, the method comprising: forming a photoresist mask on the silicon oxide layer, the mask having an opening formed over the substrate surface region; etching the silicon oxide layer through the mask opening to remove a first portion of the total thickness of the silicon oxide layer using a first gas mixture that includes C4F8 and argon; etching the remainder of the silicon oxide layer and the nitride liner material through the mask opening using a second gas mixture that includes C4F8 and CH2F2 to expose the substrate surface region; and removing the photoresist mask.
2. An integrated self aligned contact etch method for etching a contact hole in an integrated circuit structure that includes two spaced-apart conductive gate electrodes surrounded by dielectric material to electrically insulate the gate electrodes and to separate the gate electrodes from an underlying semiconductor substrate, a layer of silicon nitride liner material formed over the dielectric material and a surface region of the semiconductor substrate between the gate electrodes, and a layer of silicon oxide formed on the silicon nitride liner material, the method comprising: forming a photoresist mask on the silicon oxide layer, the mask having an opening formed over the substrate surface region; etching the silicon oxide layer through the mask opening to remove a first portion of the total thickness of the silicon oxide layer using a first gas mixture that includes C4F8 and argon; etching the remainder of the silicon oxide layer and the nitride liner material through the mask opening using a second gas mixture that includes C4F8 and CH2F2 to expose the substrate surface region; and removing the photoresist mask.
3. An integrated self aligned contact etch method as in claim 2, and wherein the step of etching the silicon oxide layer through the mask opening to remove a first portion of the total thickness of the silicon oxide layer comprises etching the silicon oxide layer only to a depth that remains above the nitride liner material that overlies the gate oxide over the gate electrodes.
4. An integrated self aligned etch method for etching a hole in an integrated circuit structure that includes dielectric material formed overlying a semiconductor substrate, a layer of silicon nitride liner material formed over the dielectric material and a surface region of the semiconductor substrate, a layer of silicon oxide formed on the silicon nitride liner, a layer of organic barrier anti- reflective coating (BARC) formed on the silicon oxide layer, and a photoresist mask, formed on the BARC layer, the mask having an opening formed over the substrate surface region, the method comprising: etching the BARC layer through the mask opening to expose the silicon oxide layer; etching the silicon oxide layer through the mask opening to remove a first portion of the total thickness of the silicon oxide layer using a first gas mixture that includes C4F8 and argon; etching the remainder of the silicon oxide layer and the nitride liner through the mask opening using a second gas mixture that includes C4F8 and CH2F2 to expose the substrate surface region; and removing the photoresist mask.
5. An integrated self aligned etch method as in claim 4, and wherein the step of etching the BARC layer is performed a BARC etch gas mixture that includes CHF3, argon and 02.
PCT/US1999/011239 1998-07-09 1999-05-20 Two-step integrated self aligned contact etch WO2000003424A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000559585A JP2002520848A (en) 1998-07-09 1999-05-20 Two-step self-aligned contact etching

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/112,095 US6329292B1 (en) 1998-07-09 1998-07-09 Integrated self aligned contact etch
US09/112,095 1998-07-09

Publications (1)

Publication Number Publication Date
WO2000003424A1 true WO2000003424A1 (en) 2000-01-20

Family

ID=22342083

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/011239 WO2000003424A1 (en) 1998-07-09 1999-05-20 Two-step integrated self aligned contact etch

Country Status (3)

Country Link
US (1) US6329292B1 (en)
JP (1) JP2002520848A (en)
WO (1) WO2000003424A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6815568B2 (en) 2001-01-15 2004-11-09 Showa Denko K.K. Process for purifying octafluorocyclobutane, process for preparing the same, and use thereof
US8226840B2 (en) 2008-05-02 2012-07-24 Micron Technology, Inc. Methods of removing silicon dioxide

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100510067B1 (en) * 1999-12-30 2005-08-26 주식회사 하이닉스반도체 Self align contact etching method for forming semiconductor device
US6890863B1 (en) 2000-04-27 2005-05-10 Micron Technology, Inc. Etchant and method of use
KR20020017182A (en) * 2000-08-29 2002-03-07 윤종용 Method for manufacturing semiconductor device using octafluorobutene etching gas
US6579812B2 (en) * 2001-05-22 2003-06-17 Macronix International Co Ltd. Method for removing residual polymer after the dry etching process and reducing oxide loss
US7560385B2 (en) * 2001-10-17 2009-07-14 Texas Instruments Incorporated Etching systems and processing gas specie modulation
ITMI20020931A1 (en) * 2002-05-02 2003-11-03 St Microelectronics Srl METHOD FOR MANUFACTURING INTEGRATED ELECTRONIC CIRCUITS ON A SEMICONDUCTIVE SUBSTRATE
US20040018741A1 (en) * 2002-07-26 2004-01-29 Applied Materials, Inc. Method For Enhancing Critical Dimension Uniformity After Etch
US7541270B2 (en) * 2002-08-13 2009-06-02 Micron Technology, Inc. Methods for forming openings in doped silicon dioxide
US6878612B2 (en) * 2002-09-16 2005-04-12 Oki Electric Industry Co., Ltd. Self-aligned contact process for semiconductor device
KR100576463B1 (en) * 2003-12-24 2006-05-08 주식회사 하이닉스반도체 A method for forming a contact of a semiconductor device
US7723229B2 (en) * 2005-04-22 2010-05-25 Macronix International Co., Ltd. Process of forming a self-aligned contact in a semiconductor device
US8124537B2 (en) * 2008-02-12 2012-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for etching integrated circuit structure
JP5685762B2 (en) * 2011-03-07 2015-03-18 みずほ情報総研株式会社 Plasma processing shape simulation apparatus and program
US9859389B1 (en) 2016-06-27 2018-01-02 International Business Machines Corporation Sidewall protective layer for contact formation

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5668052A (en) * 1995-11-07 1997-09-16 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing semiconductor device
WO1999016110A2 (en) * 1997-09-19 1999-04-01 Applied Materials, Inc. Plasma process for selectively etching oxide using fluoropropane or fluoropropylene

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3038950B2 (en) * 1991-02-12 2000-05-08 ソニー株式会社 Dry etching method
US5219793A (en) * 1991-06-03 1993-06-15 Motorola Inc. Method for forming pitch independent contacts and a semiconductor device having the same
US5269879A (en) * 1991-10-16 1993-12-14 Lam Research Corporation Method of etching vias without sputtering of underlying electrically conductive layer
US5308742A (en) * 1992-06-03 1994-05-03 At&T Bell Laboratories Method of etching anti-reflection coating
US5627103A (en) * 1995-03-02 1997-05-06 Sony Corporation Method of thin film transistor formation with split polysilicon deposition
US5626716A (en) 1995-09-29 1997-05-06 Lam Research Corporation Plasma etching of semiconductors
KR100244793B1 (en) * 1996-05-01 2000-03-02 김영환 Method of forming contact hole in semiconductor device
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US5920796A (en) * 1997-09-05 1999-07-06 Advanced Micro Devices, Inc. In-situ etch of BARC layer during formation of local interconnects

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5668052A (en) * 1995-11-07 1997-09-16 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing semiconductor device
WO1999016110A2 (en) * 1997-09-19 1999-04-01 Applied Materials, Inc. Plasma process for selectively etching oxide using fluoropropane or fluoropropylene

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
IIJIMA Y ET AL: "HIGHLY SELECTIVE SIO2 ETCH EMPLOYING INDUCTIVELY COUPLED HYDRO- FLUOROCARBON PLASMA CHEMISTRY FOR SELF ALIGNED CONTACT ETCH", JAPANESE JOURNAL OF APPLIED PHYSICS, vol. 36, no. 9A, September 1997 (1997-09-01), pages 5498 - 5501, XP000783525, ISSN: 0021-4922 *
KAZUMI H ET AL: "Model prediction of radical composition in C4F8 plasmas and correlation with measured etch characteristics of silicon dioxide", INTERNATIONAL WORKSHOP ON PLASMA SOURCES AND SURFACE INTERACTIONS IN MATERIALS PROCESSING, FUJI-YOSHIDA, JAPAN, 20-22 SEPT. 1995, vol. 5, no. 2, May 1996 (1996-05-01), Plasma Sources, Science and Technology, IOP Publishing, UK, pages 200 - 209, XP002113080, ISSN: 0963-0252 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6815568B2 (en) 2001-01-15 2004-11-09 Showa Denko K.K. Process for purifying octafluorocyclobutane, process for preparing the same, and use thereof
US8226840B2 (en) 2008-05-02 2012-07-24 Micron Technology, Inc. Methods of removing silicon dioxide
US8580158B2 (en) 2008-05-02 2013-11-12 Micron Technology, Inc. Methods of removing silicon dioxide
US8871120B2 (en) 2008-05-02 2014-10-28 Micron Technology, Inc. Compositions of matter, and methods of removing silicon dioxide

Also Published As

Publication number Publication date
US6329292B1 (en) 2001-12-11
JP2002520848A (en) 2002-07-09

Similar Documents

Publication Publication Date Title
US6074959A (en) Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6015760A (en) Method for enhancing oxide to nitride selectivity through the use of independent heat control
US6451703B1 (en) Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6183655B1 (en) Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US6211092B1 (en) Counterbore dielectric plasma etch process particularly useful for dual damascene
US6380096B2 (en) In-situ integrated oxide etch process particularly useful for copper dual damascene
US6329292B1 (en) Integrated self aligned contact etch
KR101414307B1 (en) Method and apparatus for providing mask in semiconductor processing
US7273566B2 (en) Gas compositions
US6716766B2 (en) Process variation resistant self aligned contact etch
US5849641A (en) Methods and apparatus for etching a conductive layer to improve yield
US6227211B1 (en) Uniformity improvement of high aspect ratio contact by stop layer
US6787475B2 (en) Flash step preparatory to dielectric etch
WO2007098230A2 (en) Shallow trench isolation structure
US5950092A (en) Use of a plasma source to form a layer during the formation of a semiconductor device
JPH07335570A (en) Control method of substrate temperature in plasma treatment
US7709343B2 (en) Use of a plasma source to form a layer during the formation of a semiconductor device
KR20010112878A (en) Method for fabricating a semiconductor device
JPH10247642A (en) Low-temperature etching process
KR19990047250A (en) Insulation Method of Semiconductor Device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 559585

Kind code of ref document: A

Format of ref document f/p: F