WO2000062207A1 - Interconnect delay driven placement and routing of an integrated circuit design - Google Patents

Interconnect delay driven placement and routing of an integrated circuit design Download PDF

Info

Publication number
WO2000062207A1
WO2000062207A1 PCT/US2000/002133 US0002133W WO0062207A1 WO 2000062207 A1 WO2000062207 A1 WO 2000062207A1 US 0002133 W US0002133 W US 0002133W WO 0062207 A1 WO0062207 A1 WO 0062207A1
Authority
WO
WIPO (PCT)
Prior art keywords
interconnect
pin
routing
candidate
computer system
Prior art date
Application number
PCT/US2000/002133
Other languages
French (fr)
Inventor
C. K. Cheng
So-Zen Yao
Original Assignee
Mentor Graphics Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mentor Graphics Corporation filed Critical Mentor Graphics Corporation
Priority to JP2000611206A priority Critical patent/JP2002541591A/en
Priority to EP00905779A priority patent/EP1173817A1/en
Priority to AU27410/00A priority patent/AU2741000A/en
Publication of WO2000062207A1 publication Critical patent/WO2000062207A1/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • G06F30/3947Routing global
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing

Definitions

  • the present invention relates to the field of integrated circuit (IC) design. More specifically, the present invention relates to the optimization of placement and routing for an IC design.
  • IC integrated circuit
  • EDA electronic design automation
  • An EDA tool is provided with a placement and routing (P&R) module that optimizes placement and routing of an IC design in an interconnect delay driven manner.
  • the P&R module systematically determines if it can improve (i.e. reduce) interconnect delays of the critical interconnect routing paths, by determining if it can improve the interconnect delay of each path's constituting segments connecting two pins through a component. For each such segment, the P&R modules determines if the interconnect delay of the segment can be improved by using a different interconnect routing path interconnecting the two pins through the component re-placed to a different location, and alternatively through a logically equivalent component placed at a different location.
  • the interconnect delay determination for each segment is made by determining the interconnect delays between the source pin and a number of candidate locations, and the interconnect delays between the candidate locations and the destination pin. In one embodiment, in each case, the interconnect delay determination includes determining resistance and capacitance of the candidate source/destination portion of the segment.
  • the interconnect delays for the candidate source/destination portions of a segment are determined employing a performance driven routing technique.
  • the resistance determination includes determining the longitudinal length of the candidate source/destination portion of the segment
  • the capacitance determination includes determining the congestion conditions of various interconnect planes and an average inter- wire distance for the interconnect plane where the candidate source/destination portion is disposed.
  • the determined average inter-wire distance is used to look up capacitance contributions of various interconnect plane pairs from a predetermined capacitance table.
  • the looked up capacitance contributions in turn are used to determined the capacitance in a weighted manner.
  • Figure 1 illustrates an overview of the present invention in accordance with one embodiment
  • Figure 2 illustrates interconnect delay determination for an interconnect routing path segment, in accordance with one embodiment
  • Figure 3 illustrates determining interconnect delays for alternative interconnect routings, in accordance with one embodiment
  • Figure 4 illustrates interconnect delay determination for a connection in accordance with one embodiment
  • Figure 5 illustrates capacitance determination for a connection, in accordance with one embodiment
  • Figure 6 further illustrates the concept of adjacent congested planes
  • FIG. 7 illustrates a capacitance table in accordance with one embodiment
  • Figure 8 illustrates an example EDA tool incorporated with the place and route module of the present invention, in accordance with one embodiment
  • Figure 9 illustrates an example computer system suitable to be programmed with the programming instructions implementing the EDA tool of Fig. 8;
  • Figure 10 illustrates an example critical source-destination pin pair interconnected through an intermediate component, and the alternatives considered to improve the interconnect delay between the two pins.
  • a place and route (P&R) module of an EDA tool optimizes placements and routings of an IC design by systematically attempting to improve the interconnect delays of the critical interconnect routing paths.
  • the P&R module attempts to improve the interconnect delay of a critical path by systematically attempting to improve the interconnect delays of the path's constituting segments, each segment interconnecting a source and destination pin pair (P s and P d ) through an intermediate component.
  • the P&R module attempts to improve the interconnect delay of each source/destination portion of a segment by considering a number of candidate locations, to relocate the intermediate component or to substitute the intermediate component with another logically equivalent component at the candidate location (see Fig. 10).
  • the P&R module determines for a given placement and routing the interconnect delays for all interconnect routing paths or path segments.
  • the P&R module based on the determined interconnect delays for the interconnect routing paths and path segments, the P&R module identifies the critical interconnect paths. In one embodiment, these are interconnect paths incurring interconnect delays in excess of a design criteria. In an alternate embodiment, the critical interconnect paths are interconnect paths incurring the largest interconnect delays. In other embodiments, other definitions may also be employed instead.
  • the P&R module determines if the interconnect delay for the critical interconnect path can be improved. The manner in which the determination is made will be described in more detail below.
  • the P&R module determines if the process is to be repeated. That is, whether the P&R module is being used to reduce the interconnect delays of the critical interconnect paths to a level below a design criteria, or the P&R module is being used to determine the best interconnect delay achievable. In the former case, at block 104, all interconnect paths incurring interconnect delays in excess of the design critiera will be identified as critical interconnect paths. After one iteration, the P&R module simply reports and identifies for the designer, those critical interconnect paths still incurring interconnect delays in excess of the design criteria, such that alternative approaches to reducing their delays may be considered instead.
  • the interconnect paths incurring the largest interconnect delays are identified as the critical interconnect paths.
  • the P&R module continues at 104 again to identify the critical interconnect paths incurring the largest interconnect delays. If no new critical interconnect path emerges, the P&R module terminates the process, branch 105, as no further improvement can be achieved using the same technique. For example, there were 3 critical interconnect paths, and the interconnect delays for 2 of the paths were improved by 20% while the third one was improved by 10%, and the third one remains to be the only one incurring the largest interconnect delay. However, if at least one new critical interconnect path emerges, the P&R module repeats 106 for the new critical interconnect paths.
  • the P&R module selects a segment of the critical interconnect path interconnecting a source pin and a destination pin through an intermediate component.
  • the P&R module determines if the interconnect delay of the critical interconnect path segment can be improved (i.e. reduced) by using a different route, interconnecting the source and destination pins through the intermediate component re-placed at a different location, and alternatively, through a logically equivalent component disposed at a different location.
  • the process continues with another segment, if there are additional segments.
  • the P&R modules selects one of these alternative interconnect routing paths, based primarily on interconnect delay. In alternate embodiments, other factors such as wire size, and so forth may be considered also. The process continues until eventually improvements for all such segments of the critical interconnect path have been attempted.
  • Fig. 3 illustrates operation 204 of Fig. 2 in accordance with one embodiment.
  • the P&R module logically partitions the IC into a number of regions (also referred to as locations).
  • the P&R module determines interconnect delays for various candidate routing alternatives interconnecting the source pin to a number of candidate locations.
  • the P&R module determines interconnect delays for various candidate routing alternatives interconnecting the destination pin to a number of candidate locations. In various embodiments, the determinations of these interconnect delays, including identification of the candidate locations, are made using various performance driven routing techniques.
  • the performance driven routing is performed in accordance the approach disclosed in Liu et al., "New Performance Driven Routing Techniques With Explicit Area/Delay Tradeoff and Simultaneous Wiring Sizing", 33 rd Design Automation Conference, June 1996.
  • the performance driven routing is performed in accordance the approach disclosed in Liu et al., "Design and Implementation of a Global Router Based on a New Layout-Driven timing Model with Three Poles", IEEE International Symposium on Circuits and Systems, June 1997.
  • the performance driven routing is performed in accordance the approach disclosed in Hur et al., “Timing Driven Maze Routing", International Symposium on Physical Design (ISPD), April 1999.
  • the P&R module determines the total interconnect delays for the candidate locations. For each candidate location, the P&R sums the interconnect delay incurred for the portion interconnecting the source pin to the location and the interconnect delay incurred for the portion interconnecting the candidate location to the destination pin. Additionally, if it is possible to re-place the component at the candidate location, and there is no logically equivalent component at the candidate location, the P&R module further sums the interconnect delay incurred by the component. However, if it is not possible to replace the component at the candidate location, but a logically equivalent component exists at the candidate location, the P&R module further sums the interconnect delay incurred by the logically equivalent component. If both options are available, the P&R further sums the smaller of the interconnect delay incurred by the component (re-placed at the candidate location) and the logically equivalent component (disposed at the candidate location), i.e. selecting the better of the two options.
  • Figure 4 is a block diagram illustrating the determination of interconnect delay for an interconnect routing path segment.
  • the P&R module determines the resistance for the interconnect routing path segment. In one embodiment, the resistance of the interconnect routing path segment is determined based primarily on the longitudinal dimension of the interconnect routing path segment.
  • the P&R module determines the capacitance for the interconnect routing path segment. In one embodiment, the capacitance of the interconnect routing path segment is determined based primarily on the disposition locations of the ceiling and floor dense planes of the interconnect routing path segment and the congestion conditions of these planes, to be described more fully below.
  • the P&R module determines the interconnect delay for the interconnect routing path segment, using the determined resistance and capacitance for the path segment. In one embodiment, the interconnect delay is determined using the Elmore model. (Note that while resistance is illustrated as being determined before capacitance, the present invention may also be practiced with capacitance being determined first.)
  • the P&R module first determines the congestion conditions of various interconnect planes.
  • the P&R module determines the congestion conditions of the plane where the path segment is disposed, and two planes above as well as the two planes below, if applicable (i.e. except if the plane where the path segment is disposed is the top most, next to the top most, bottom most or next to the bottom most plane).
  • the congestion condition is measured in terms of wire density, i.e. amount of wire per unit area. In alternate embodiments, more or less adjacent planes may be considered instead.
  • the P&R module estimates the average inter-wire distance for the plane where the path segment is disposed. In one embodiment, the estimation is based on the determined congestion condition, using an empirically determined function.
  • the P&R module looks up a number of capacitance values from a predetermined capacitance table using the determined average inter-wire distance, for a number of floor and ceiling dense plane combinations.
  • the P&R module estimates the capacitance value using the capacitance values retrieved from the predetermined capacitance table. In one embodiment, the P&R module makes the estimation in a weight manner.
  • the capacitance is estimated by summing 0.6x0.5xc1 + 0.6x(1-0.5)xc2 + (1-0.6)x0.5xc3 + (1-0.6)x(1-0.5)xc4.
  • the (1-p) term represents the probability that the ceiling/floor dense plane is not disposed at the i+1 /i-1
  • interconnect routing path segment is disposed on one of the layer (also referred to as an interconnect plane), labeled as "connection layer”.
  • the floor and ceiling dense planes are layers or interconnect planes "above” and “below” the connection layer having a congestion level that exceeds a predetermined level.
  • the ceiling dense plane may be disposed at "connection layer + 1", “connection layer + 2", and so forth, whereas the floor dense plane may be disposed at "connection layer- 1" and so forth.
  • FIG. 7 illustrates an example capacitance table in accordance with one embodiment. As shown, for the illustrated embodiment, capacitance table 700 is a multi-dimensional table, with multiple two dimensional tables 702 repeated in accordance with where the "ceiling dense plane" is disposed.
  • Each two dimensional table 702 stores multiple rows of capacitance values (c,,), 704, one for each correspondingdisposition of the floor dense plane. For example, for the "ceiling dense plane" disposed on layer i+1 , the capacitance values for the floor dense planes disposed on connection layer i - 1 and connection layer i - 2 and so forth. Each row 704 stores the capacitance values (c,) for various average inter- wire distances for the particualr ceiling and floor dense plane combination, e.g. planes i+1 and i - 1.
  • EDA tool suite 800 includes P&R module 802 incorporated with the teachings of the present invention as described earlier with references to Fig. 1-7 and 10. Additionally, EDA tool suite 800 includes other tool modules 804. Examples of these other tool modules 802 include but not limited to synthesis module, layout verification module and so forth.
  • Figure 9 illustrates one embodiment of a computer system suitable for use to practice the present invention.
  • computer system 900 includes processor 902 and memory 904 coupled to each other via system bus 906. Coupled to system bus 906 are non-volatile mass storage 908, such as hard disks, floppy disk, and so forth, input/output devices 910, such as keyboard, displays, and so forth, and communication interfaces 912, such as modem, LAN interfaces, and so forth.
  • system memory 904 and non-volatile mass storage 908 are employed to store a working copy and a permanent copy of the programming instructions implementing the above described teachings of the present invention.
  • System memory 904 and non-volatile mass storage 906 may also be employed to store the IC designs.
  • the permanent copy of the programming instructions to practice the present invention may be loaded into non-volatile mass storage 908 in the factory, or in the field, using distribution source/medium 914 and optionally, communication interfaces 912.
  • distribution medium 914 include recordable medium such as tapes, CDROM, DVD, and so forth.
  • the programming instructions are part of a collection of programming instructions implementing EDA tool 800 of Fig. 8. The constitution of elements 902-914 are well known, and accordingly will not be further described.

Abstract

An EDA tool is provided with a placement and routing (P & R) module that optimizes placement and routing of an IC design in an interconnect delay driven manner. The P & R module systematically determines if it can improve (i.e. reduce) interconnect delay of the current critical interconnect routing path by determining if it can improve the interconnect delays of its constituting segments, each interconnecting two pins through a component. For each segment, the P & R module determines if the interconnect delay can be achieved by using different interconnect routing path interconnecting the two pins through the component replaced at a different location, and alternatively, through a logically equivalent component disposed at a different location.

Description

Interconnect Delay Driven Placement and Routing Of An Integrated Circuit Design
BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention relates to the field of integrated circuit (IC) design. More specifically, the present invention relates to the optimization of placement and routing for an IC design.
2. Background Information
Over the years, because of the ever increasing complexity of IC designs, IC designers have become more and more reliant on electronic design automation (EDA) tools to assist them in designing ICs. These assistance span the entire design process, from synthesis, placement, routing, to layout verification.
In the art of placement and routing, i.e. placement of components and routing of connections connecting the various components, various techniques are known. For examples, in U.S. Patent 5,818,729, issued to Wang et al, a method and system for placing cells using "quadratic placement" and a "spanning tree" model was disclosed; in U.S. Patent 5,072,402, issued to Ashtaputre et al., a method for routing interconnections using a "channel" approach is disclosed; and in U.S. Patent 5,550,748, issued to Xiong, a method for "delayed" routing, to satisfy timing constraints, using a "region search" approach is disclosed. In recent years, various techniques for "jointly" performing placement and routing have also become known. For examples, in U.S. Patent 5,798,936, issued to Cheng, a placement method including look ahead for routing congestion was disclosed; in U.S. Patent, 5,838,583, issued to Varadarajan et al., a "joint" method for optimizing placement and routing was disclosed; and in U.S. Patent, 5,847,965, issued to Cheng, a "joint" area based method for placing and routing an IC was disclosed.
While each of these prior art techniques has its own advantages, they all share at least one common disadvantage in that they do not adequately address the placement and routing need of sub-micron ICs. Increasingly, interconnect delay has become the primary obstacle preventing sub-micron ICs from realizing the full benefit of these ICs' further increase in compactness for their operating speed. Thus, a placement and routing technique that more adequately addresses the need of sub-micron IC designs is desired.
SUMMARY OF THE INVENTION
An EDA tool is provided with a placement and routing (P&R) module that optimizes placement and routing of an IC design in an interconnect delay driven manner. The P&R module systematically determines if it can improve (i.e. reduce) interconnect delays of the critical interconnect routing paths, by determining if it can improve the interconnect delay of each path's constituting segments connecting two pins through a component. For each such segment, the P&R modules determines if the interconnect delay of the segment can be improved by using a different interconnect routing path interconnecting the two pins through the component re-placed to a different location, and alternatively through a logically equivalent component placed at a different location. In one embodiment, the interconnect delay determination for each segment is made by determining the interconnect delays between the source pin and a number of candidate locations, and the interconnect delays between the candidate locations and the destination pin. In one embodiment, in each case, the interconnect delay determination includes determining resistance and capacitance of the candidate source/destination portion of the segment.
In one embodiment, the interconnect delays for the candidate source/destination portions of a segment are determined employing a performance driven routing technique. In each case, the resistance determination includes determining the longitudinal length of the candidate source/destination portion of the segment, and the capacitance determination includes determining the congestion conditions of various interconnect planes and an average inter- wire distance for the interconnect plane where the candidate source/destination portion is disposed. The determined average inter-wire distance is used to look up capacitance contributions of various interconnect plane pairs from a predetermined capacitance table. The looked up capacitance contributions in turn are used to determined the capacitance in a weighted manner.
BRIEF DESCRIPTION OF DRAWINGS
The present invention will be described by way of exemplary embodiments, but not limitations, illustrated in the accompanying drawings in which like references denote similar elements, and in which:
Figure 1 illustrates an overview of the present invention in accordance with one embodiment; Figure 2 illustrates interconnect delay determination for an interconnect routing path segment, in accordance with one embodiment;
Figure 3 illustrates determining interconnect delays for alternative interconnect routings, in accordance with one embodiment;
Figure 4 illustrates interconnect delay determination for a connection in accordance with one embodiment;
Figure 5 illustrates capacitance determination for a connection, in accordance with one embodiment;
Figure 6 further illustrates the concept of adjacent congested planes;
Figure 7 illustrates a capacitance table in accordance with one embodiment;
Figure 8 illustrates an example EDA tool incorporated with the place and route module of the present invention, in accordance with one embodiment;
Figure 9 illustrates an example computer system suitable to be programmed with the programming instructions implementing the EDA tool of Fig. 8; and
Figure 10 illustrates an example critical source-destination pin pair interconnected through an intermediate component, and the alternatives considered to improve the interconnect delay between the two pins.
DETAILED DESCRIPTION OF THE INVENTION
In the following description, various aspects of the present invention will be described, and various details will be set forth in order to provide a thorough understanding of the present invention. However, it will be apparent to those skilled in the art that the present invention may be practiced with only some or all aspects of the present invention, and the present invention may be practiced without the specific details. In other instances, well known features are omitted or simplified in order not to obscure the present invention.
Parts of the description will be presented using terminology commonly employed by those skilled in the art to convey the substance of their work to others skilled in the art, such as cells, interconnect planes, and so forth. Also, parts of the description will also be presented in terms of operations performed by a computer system, using terms such as tables, data and the like. As well understood by those skilled in the art, these quantities take the form of electrical, magnetic, or optical signals capable of being stored, transferred, combined, and otherwise manipulated through electrical components of a digital system; and the term digital system includes general purpose as well as special purpose data processing machines, systems, and the like, that are standalone, adjunct or embedded.
Various operations will be described as multiple discrete steps performed in turn in a manner that is most helpful in understanding the present invention. However, the order of description should not be construed as to imply that these operations are necessarily performed in the order they are presented, or even order dependent. Lastly, repeated usage of the phrase "in one embodiment" does not necessarily refer to the same embodiment, although it may.
Referring now Figure 1 which is a block diagram illustrating an overview of the present invention in accordance with one embodiment. As illustrated, in accordance with present invention, a place and route (P&R) module of an EDA tool optimizes placements and routings of an IC design by systematically attempting to improve the interconnect delays of the critical interconnect routing paths. The P&R module attempts to improve the interconnect delay of a critical path by systematically attempting to improve the interconnect delays of the path's constituting segments, each segment interconnecting a source and destination pin pair (Ps and Pd) through an intermediate component. In particular, as will be described in more details below, the P&R module attempts to improve the interconnect delay of each source/destination portion of a segment by considering a number of candidate locations, to relocate the intermediate component or to substitute the intermediate component with another logically equivalent component at the candidate location (see Fig. 10).
At block 102, the P&R module determines for a given placement and routing the interconnect delays for all interconnect routing paths or path segments. At block 104, based on the determined interconnect delays for the interconnect routing paths and path segments, the P&R module identifies the critical interconnect paths. In one embodiment, these are interconnect paths incurring interconnect delays in excess of a design criteria. In an alternate embodiment, the critical interconnect paths are interconnect paths incurring the largest interconnect delays. In other embodiments, other definitions may also be employed instead. At block 106, for each of these critical interconnect paths, the P&R module determines if the interconnect delay for the critical interconnect path can be improved. The manner in which the determination is made will be described in more detail below.
For the illustrated embodiment, after each iteration, the P&R module determines if the process is to be repeated. That is, whether the P&R module is being used to reduce the interconnect delays of the critical interconnect paths to a level below a design criteria, or the P&R module is being used to determine the best interconnect delay achievable. In the former case, at block 104, all interconnect paths incurring interconnect delays in excess of the design critiera will be identified as critical interconnect paths. After one iteration, the P&R module simply reports and identifies for the designer, those critical interconnect paths still incurring interconnect delays in excess of the design criteria, such that alternative approaches to reducing their delays may be considered instead. In the later case, at block 104, the interconnect paths incurring the largest interconnect delays are identified as the critical interconnect paths. After each iteration, the P&R module continues at 104 again to identify the critical interconnect paths incurring the largest interconnect delays. If no new critical interconnect path emerges, the P&R module terminates the process, branch 105, as no further improvement can be achieved using the same technique. For example, there were 3 critical interconnect paths, and the interconnect delays for 2 of the paths were improved by 20% while the third one was improved by 10%, and the third one remains to be the only one incurring the largest interconnect delay. However, if at least one new critical interconnect path emerges, the P&R module repeats 106 for the new critical interconnect paths. For example, again if there were 3 critical interconnect paths, and the interconnect delays for 2 of the paths were improved by 20% while the third one was improved by 10%, and the third one along with a fourth interconnect path now incur the largest interconnect delay. The fourth interconnect path is the new critical interconnect path emerged.
Referring now to Figure 2, wherein a block diagram illustrating operation 106 of Fig. 1 in further detail, in accordance with one embodiment, is shown. As illustrated, at 202, the P&R module selects a segment of the critical interconnect path interconnecting a source pin and a destination pin through an intermediate component. At block 204, the P&R module determines if the interconnect delay of the critical interconnect path segment can be improved (i.e. reduced) by using a different route, interconnecting the source and destination pins through the intermediate component re-placed at a different location, and alternatively, through a logically equivalent component disposed at a different location. At 206, if it is not possible, the process continues with another segment, if there are additional segments. On the other hand, if it is possible, i.e. one or more lower interconnect delay interconnect routing paths exist, at 208, the P&R modules selects one of these alternative interconnect routing paths, based primarily on interconnect delay. In alternate embodiments, other factors such as wire size, and so forth may be considered also. The process continues until eventually improvements for all such segments of the critical interconnect path have been attempted.
Fig. 3 illustrates operation 204 of Fig. 2 in accordance with one embodiment. As illustrated, at block 302, the P&R module logically partitions the IC into a number of regions (also referred to as locations). At 304, the P&R module determines interconnect delays for various candidate routing alternatives interconnecting the source pin to a number of candidate locations. At 306, the P&R module determines interconnect delays for various candidate routing alternatives interconnecting the destination pin to a number of candidate locations. In various embodiments, the determinations of these interconnect delays, including identification of the candidate locations, are made using various performance driven routing techniques. In one embodiment, the performance driven routing is performed in accordance the approach disclosed in Liu et al., "New Performance Driven Routing Techniques With Explicit Area/Delay Tradeoff and Simultaneous Wiring Sizing", 33rd Design Automation Conference, June 1996. In another embodiment, the performance driven routing is performed in accordance the approach disclosed in Liu et al., "Design and Implementation of a Global Router Based on a New Layout-Driven timing Model with Three Poles", IEEE International Symposium on Circuits and Systems, June 1997. In yet another embodiment, the performance driven routing is performed in accordance the approach disclosed in Hur et al., "Timing Driven Maze Routing", International Symposium on Physical Design (ISPD), April 1999. These technical papers are hereby fully incorporated by reference.
At block 308, the P&R module determines the total interconnect delays for the candidate locations. For each candidate location, the P&R sums the interconnect delay incurred for the portion interconnecting the source pin to the location and the interconnect delay incurred for the portion interconnecting the candidate location to the destination pin. Additionally, if it is possible to re-place the component at the candidate location, and there is no logically equivalent component at the candidate location, the P&R module further sums the interconnect delay incurred by the component. However, if it is not possible to replace the component at the candidate location, but a logically equivalent component exists at the candidate location, the P&R module further sums the interconnect delay incurred by the logically equivalent component. If both options are available, the P&R further sums the smaller of the interconnect delay incurred by the component (re-placed at the candidate location) and the logically equivalent component (disposed at the candidate location), i.e. selecting the better of the two options.
Figure 4 is a block diagram illustrating the determination of interconnect delay for an interconnect routing path segment. As shown, at 402, the P&R module determines the resistance for the interconnect routing path segment. In one embodiment, the resistance of the interconnect routing path segment is determined based primarily on the longitudinal dimension of the interconnect routing path segment. At 404, the P&R module determines the capacitance for the interconnect routing path segment. In one embodiment, the capacitance of the interconnect routing path segment is determined based primarily on the disposition locations of the ceiling and floor dense planes of the interconnect routing path segment and the congestion conditions of these planes, to be described more fully below. At 406, the P&R module determines the interconnect delay for the interconnect routing path segment, using the determined resistance and capacitance for the path segment. In one embodiment, the interconnect delay is determined using the Elmore model. (Note that while resistance is illustrated as being determined before capacitance, the present invention may also be practiced with capacitance being determined first.)
Referring now to Figure 5 wherein a block diagram illustrating capacitance determination for an interconnect routing path segment in accordance with one embodiment is shown. As illustrated in Fig. 5, at block 502, the P&R module first determines the congestion conditions of various interconnect planes. In one embodiment, the P&R module determines the congestion conditions of the plane where the path segment is disposed, and two planes above as well as the two planes below, if applicable (i.e. except if the plane where the path segment is disposed is the top most, next to the top most, bottom most or next to the bottom most plane). In one embodiment, the congestion condition is measured in terms of wire density, i.e. amount of wire per unit area. In alternate embodiments, more or less adjacent planes may be considered instead. Then, at block 504, the P&R module estimates the average inter-wire distance for the plane where the path segment is disposed. In one embodiment, the estimation is based on the determined congestion condition, using an empirically determined function. At block 506, the P&R module looks up a number of capacitance values from a predetermined capacitance table using the determined average inter-wire distance, for a number of floor and ceiling dense plane combinations. Finally, at block 508, the P&R module estimates the capacitance value using the capacitance values retrieved from the predetermined capacitance table. In one embodiment, the P&R module makes the estimation in a weight manner. That is, by weighing the retrieved capacitance values by the likelihood of occurrence of the various ceiling and floor dense plane combinations, which is computed from a number of predetermined probability functions, using the determined congestion conditions. For example, in one embodiment, if the determined congestion conditions are 0.7, 0.6, 0.8, 0.5 and 0.3 for planes i+2, i+1 , i, i-1 and i-2 respectively, and the retrieved capacitance values for the various dense plane combinations are d , c2, c3 and c4 for combinations {i+1 , i-1}, {i+1 , i-2}, {i+2, i-1} and {i+2, i-2} respectively, the capacitance is estimated by summing 0.6x0.5xc1 + 0.6x(1-0.5)xc2 + (1-0.6)x0.5xc3 + (1-0.6)x(1-0.5)xc4. The (1-p) term represents the probability that the ceiling/floor dense plane is not disposed at the i+1 /i-1 plane. Other estimation approach may also be employed. The capacitance values stored in the table 700 are empirically determined.
Referring now to Figure 6, wherein a perspective view of a multi-layer IC illustrating the concept of floor and ceiling dense planes for an interconnect routing path segment is shown. As illustrated, interconnect routing path segment is disposed on one of the layer (also referred to as an interconnect plane), labeled as "connection layer". The floor and ceiling dense planes are layers or interconnect planes "above" and "below" the connection layer having a congestion level that exceeds a predetermined level. In other words, the ceiling dense plane may be disposed at "connection layer + 1", "connection layer + 2", and so forth, whereas the floor dense plane may be disposed at "connection layer- 1" and so forth. If none of the interconnect layers above the "connection layer" is sufficiently congested, or if the "connection layer" is the top most layer, the interconnect routing path segment is said to have no ceiling dense plane. Similarly, if none of the interconnect layers below the "connection layer" is sufficiently congested, or the "connection layer" is the bottom most layer, the interconnect routing path segment is said to have no floor dense plane. Figure 7 illustrates an example capacitance table in accordance with one embodiment. As shown, for the illustrated embodiment, capacitance table 700 is a multi-dimensional table, with multiple two dimensional tables 702 repeated in accordance with where the "ceiling dense plane" is disposed. Each two dimensional table 702 stores multiple rows of capacitance values (c,,), 704, one for each correspondingdisposition of the floor dense plane. For example, for the "ceiling dense plane" disposed on layer i+1 , the capacitance values for the floor dense planes disposed on connection layer i - 1 and connection layer i - 2 and so forth. Each row 704 stores the capacitance values (c,) for various average inter- wire distances for the particualr ceiling and floor dense plane combination, e.g. planes i+1 and i - 1.
Referring now to Figure 8, wherein an EDA tool incorporated with the P&R module of the present invention in accordance with one embodiment is shown. As illustrated, EDA tool suite 800 includes P&R module 802 incorporated with the teachings of the present invention as described earlier with references to Fig. 1-7 and 10. Additionally, EDA tool suite 800 includes other tool modules 804. Examples of these other tool modules 802 include but not limited to synthesis module, layout verification module and so forth.
Figure 9 illustrates one embodiment of a computer system suitable for use to practice the present invention. As shown, computer system 900 includes processor 902 and memory 904 coupled to each other via system bus 906. Coupled to system bus 906 are non-volatile mass storage 908, such as hard disks, floppy disk, and so forth, input/output devices 910, such as keyboard, displays, and so forth, and communication interfaces 912, such as modem, LAN interfaces, and so forth. Each of these elements perform its conventional functions known in the art. In particular, system memory 904 and non-volatile mass storage 908 are employed to store a working copy and a permanent copy of the programming instructions implementing the above described teachings of the present invention. System memory 904 and non-volatile mass storage 906 may also be employed to store the IC designs. The permanent copy of the programming instructions to practice the present invention may be loaded into non-volatile mass storage 908 in the factory, or in the field, using distribution source/medium 914 and optionally, communication interfaces 912. Examples of distribution medium 914 include recordable medium such as tapes, CDROM, DVD, and so forth. In one embodiment, the programming instructions are part of a collection of programming instructions implementing EDA tool 800 of Fig. 8. The constitution of elements 902-914 are well known, and accordingly will not be further described.
In general, those skilled in the art will recognize that the present invention is not limited to the embodiments described. Instead, the present invention can be practiced with modifications and alterations within the spirit and scope of the appended claims. The description is thus to be regarded as illustrative, instead of restrictive on the present invention.
Thus, a method and an apparatus for optimizing placement and routing of an IC design in an interconnect delay driven manner have been described.

Claims

CLAIMSWhat is claimed is:
1. A computer implemented method for optimizing placement and routing of an integrated circuit design comprising: determining if interconnect delay of a critical interconnect routing path segment connecting a first pin to a second pin through a component can be reduced by a different interconnect routing path interconnecting the first pin to the second through the component re-placed at a different location, and alternatively through a logically equivalent component placed at a different location; and selecting one of such different interconnect routing paths to interconnect the first pin to the second pin based primarily on the amount of interconnect delay reduction achieved.
2. The method of claim 1 , wherein said method further comprises repeating said determining and selecting for a plurality of critical interconnect routing path segments.
3. The method of claim 1 , wherein said determining if interconnect delay of a critical interconnect routing path segment connecting a first pin to a second pin through a component can be reduced comprises determining interconnect delays for a first plurality of interconnect routing paths interconnecting the first pin to a plurality of candidate locations, and for a second plurality of interconnect routing paths interconnecting the second pin to the same plurality of candidate locations, using performance driven routing.
4. The method of claim 3, wherein said performance driven routing is performance driven maze routing.
5. The method of claim 3, wherein said determining if interconnect delay of a critical interconnect routing path segment connecting a first pin to a second pin through a component can be reduced further comprises summing the interconnect delays incurred between the first pin and a candidate location to the interconnect delay incurred between the second pin and the same candidate location.
6. The method of claim 5, wherein said determining if interconnect delay of a critical interconnect routing path segment connecting a first pin to a second pin through a component can be reduced further comprises summing the interconnect delay sum to the delay incurred at the re-placed component.
7. The method of claim 5, wherein said determining if interconnect delay of a critical interconnect routing path segment connecting a first pin to a second pin through a component can be reduced further comprises summing the interconnect delay sum to the delay incurred at the logically equivalent component disposed at the candidate location.
8. The method of claim 1 , wherein said determining comprises determining resistance for a plurality of candidate interconnect routings based at least in part on longitudinal distances of the candidate interconnect routings.
9. The method of claim 1 , wherein said determining comprises determining capacitance for a plurality of candidate interconnect routings based at least in part on disposition locations of ceiling and floor dense planes of the candidate interconnect routings.
10. The method of claim 9, wherein said determining of capacitance further comprises looking up capacitance values from a pre-determined capacitance table using a determined average inter-wire distance and various floor and ceiling dense plane combinations.
11. The method of claim 9, wherein said determining of capacitance comprises determining congestion conditions for a plurality of interconnect planes.
12. An article of manufacture comprising: a recordable medium having recorded thereon a plurality of programming instructions for use to program a computer system to enable the computer system to be able to optimize placement and routing of an integrated circuit design, including determining if interconnect delay of a critical interconnect routing path segment connecting a first pin to a second pin through a component can be reduced by a different interconnect routing path interconnecting the first pin to the second through the component re-placed at a different location, and alternatively through a logically equivalent component placed at a different location; and selecting one of such different interconnect routing paths to interconnect the first pin to the second pin based primarily on the amount of interconnect delay reduction achieved.
13. The article of claim 12, wherein said programming instructions further enable the computer system to repeat said determining and selecting for a plurality of critical interconnect routing path segments.
14. The article of claim 12, wherein said programming instructions further enable the computer system to determine interconnect delays for a first plurality of interconnect routing paths interconnecting the first pin to a plurality of candidate locations, and for a second plurality of interconnect routing paths interconnecting the second pin to the same plurality of candidate locations, using performance driven routing.
15. The article of claim 14, wherein said programming instructions further enable the computer system to perform performance driven maze routing.
16. The article of claim 14, wherein said programming instructions further enable the computer system to sum the interconnect delays incurred between the first pin and a candidate location to the interconnect delay incurred between the second pin and the same candidate location.
17. The article of claim 16, wherein said programming instructions further enable the computer system to further sum the interconnect delay sum to the delay incurred at the re-placed component.
18. The article of claim 16, wherein said programming instructions further enable the computer system to sum the interconnect delay sum to the delay incurred at the logically equivalent component disposed at the candidate location.
19. The article of claim 12, wherein said programming instructions further enable the computer system to determine resistance for a plurality of candidate interconnect routings based at least in part on longitudinal distances of the candidate interconnect routings.
20. The article of claim 12, wherein said programming instructions further enable the computer system to determine capacitance for a plurality of candidate interconnect routings based at least in part on disposition locations of ceiling and floor dense planes of the candidate interconnect routings.
21. The article of claim 20, wherein said programming instructions further enable the computer system to look up capacitance values from a pre-determined capacitance table using a determined average inter-wire distance and various floor and ceiling dense plane combinations.
22. The article of claim 20, wherein said programming instructions further enable the computer system to determine congestion conditions for a plurality of interconnect planes.
23. A computer system comprising: a storage medium having stored therein a plurality of programming instructions; a processor coupled to the storage medium to execute the programming instructions to optimize placement and routing of an integrated circuit design, including determining if interconnect delay of a critical interconnect routing path segment connecting a first pin to a second pin through a component can be reduced by a different interconnect routing path interconnecting the first pin to the second through the component re-placed at a different location, and alternatively through a logically equivalent component placed at a different location; and selecting one of such different interconnect routing paths to interconnect the first pin to the second pin based primarily on the amount of interconnect delay reduction achieved.
24. The computer system of claim 23, wherein the processor further executes the programming instructions torepeat said determining and selecting for a plurality of critical interconnect routing path segments.
25. The computer system of claim 23, wherein the processor further executes the programming instructions to determine interconnect delays for a first plurality of interconnect routing paths interconnecting the first pin to a plurality of candidate locations, and for a second plurality of interconnect routing paths interconnecting the second pin to the same plurality of candidate locations, using performance driven routing.
26. The computer system of claim 25, wherein the processor further executes the programming instructions to perform performance driven maze routing.
27. The computer system of claim 25, wherein the processor further executes the programming instructions to sum the interconnect delays incurred between the first pin and a candidate location to the interconnect delay incurred between the second pin and the same candidate location.
28. The computer system of claim 27, wherein the processor further executes the programming instructions to further sum the interconnect delay sum to the delay incurred at the re-placed component.
29. The computer system of claim 27, wherein the processor further executes the programming instructions to sum the interconnect delay sum to the delay incurred at the logically equivalent component disposed at the candidate location.
30. The computer system of claim 23, wherein the processor further executes the programming instructions to determine resistance for a plurality of candidate interconnect routings based at least in part on longitudinal distances of the candidate interconnect routings.
31. The computer system of claim 23, wherein the processor further executes the programming instructions to determine capacitance for a plurality of candidate interconnect routings based at least in part on disposition locations of ceiling and floor dense planes of the candidate interconnect routings.
32. The computer system of claim 31 , wherein the processor further executes the programming instructions to look up capacitance values from a pre-determined capacitance table using a determined average inter-wire distance and various floor and ceiling dense plane combinations.
33. The computer system of claim 31 , wherein the processor further executes the programming instructions to determine congestion conditions for a plurality of interconnect planes.
PCT/US2000/002133 1999-04-08 2000-01-24 Interconnect delay driven placement and routing of an integrated circuit design WO2000062207A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2000611206A JP2002541591A (en) 1999-04-08 2000-01-24 Interconnect Delay Driven Placement and Routing in Integrated Circuit Design
EP00905779A EP1173817A1 (en) 1999-04-08 2000-01-24 Interconnect delay driven placement and routing of an integrated circuit design
AU27410/00A AU2741000A (en) 1999-04-08 2000-01-24 Interconnect delay driven placement and routing of an integrated circuit design

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/290,013 1999-04-08
US09/290,013 US6327693B1 (en) 1999-04-08 1999-04-08 Interconnect delay driven placement and routing of an integrated circuit design

Publications (1)

Publication Number Publication Date
WO2000062207A1 true WO2000062207A1 (en) 2000-10-19

Family

ID=23114164

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/002133 WO2000062207A1 (en) 1999-04-08 2000-01-24 Interconnect delay driven placement and routing of an integrated circuit design

Country Status (5)

Country Link
US (1) US6327693B1 (en)
EP (1) EP1173817A1 (en)
JP (2) JP2002541591A (en)
AU (1) AU2741000A (en)
WO (1) WO2000062207A1 (en)

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6519745B1 (en) * 2000-05-26 2003-02-11 Magma Design Automation, Inc. System and method for estimating capacitance of wires based on congestion information
US6889372B1 (en) 2000-07-15 2005-05-03 Cadence Design Systems Inc. Method and apparatus for routing
US6898773B1 (en) 2002-01-22 2005-05-24 Cadence Design Systems, Inc. Method and apparatus for producing multi-layer topological routes
US7024650B2 (en) * 2000-12-06 2006-04-04 Cadence Design Systems, Inc. Method and apparatus for considering diagonal wiring in placement
US7055120B2 (en) 2000-12-06 2006-05-30 Cadence Design Systems, Inc. Method and apparatus for placing circuit modules
US7003754B2 (en) * 2000-12-07 2006-02-21 Cadence Design Systems, Inc. Routing method and apparatus that use of diagonal routes
US6957410B2 (en) 2000-12-07 2005-10-18 Cadence Design Systems, Inc. Method and apparatus for adaptively selecting the wiring model for a design region
US7080336B2 (en) 2000-12-06 2006-07-18 Cadence Design Systems, Inc. Method and apparatus for computing placement costs
WO2002047165A2 (en) 2000-12-06 2002-06-13 Simplex Solutions, Inc. Method and apparatus for considering diagonal wiring in placement
US6516455B1 (en) * 2000-12-06 2003-02-04 Cadence Design Systems, Inc. Partitioning placement method using diagonal cutlines
US6826737B2 (en) * 2000-12-06 2004-11-30 Cadence Design Systems, Inc. Recursive partitioning placement method and apparatus
US7073150B2 (en) 2000-12-07 2006-07-04 Cadence Design Systems, Inc. Hierarchical routing method and apparatus that use diagonal routes
US6915501B2 (en) 2001-01-19 2005-07-05 Cadence Design Systems, Inc. LP method and apparatus for identifying routes
US6738960B2 (en) * 2001-01-19 2004-05-18 Cadence Design Systems, Inc. Method and apparatus for producing sub-optimal routes for a net by generating fake configurations
US6564357B2 (en) * 2001-03-30 2003-05-13 Intel Corporation Performance verification/analysis tool for full-chip designs
US7082104B2 (en) 2001-05-18 2006-07-25 Intel Corporation Network device switch
US6877146B1 (en) 2001-06-03 2005-04-05 Cadence Design Systems, Inc. Method and apparatus for routing a set of nets
US6829757B1 (en) 2001-06-03 2004-12-07 Cadence Design Systems, Inc. Method and apparatus for generating multi-layer routes
US7069530B1 (en) 2001-06-03 2006-06-27 Cadence Design Systems, Inc. Method and apparatus for routing groups of paths
US6957408B1 (en) 2002-01-22 2005-10-18 Cadence Design Systems, Inc. Method and apparatus for routing nets in an integrated circuit layout
US7107564B1 (en) 2001-06-03 2006-09-12 Cadence Design Systems, Inc. Method and apparatus for routing a set of nets
US6951005B1 (en) 2001-06-03 2005-09-27 Cadence Design Systems, Inc. Method and apparatus for selecting a route for a net based on the impact on other nets
US6957411B1 (en) 2001-06-03 2005-10-18 Cadence Design Systems, Inc. Gridless IC layout and method and apparatus for generating such a layout
US6795958B2 (en) 2001-08-23 2004-09-21 Cadence Design Systems, Inc. Method and apparatus for generating routes for groups of related node configurations
US7155697B2 (en) 2001-08-23 2006-12-26 Cadence Design Systems, Inc. Routing method and apparatus
US7143382B2 (en) 2001-08-23 2006-11-28 Cadence Design Systems, Inc. Method and apparatus for storing routes
US6931616B2 (en) 2001-08-23 2005-08-16 Cadence Design Systems, Inc. Routing method and apparatus
US7398498B2 (en) 2001-08-23 2008-07-08 Cadence Design Systems, Inc. Method and apparatus for storing routes for groups of related net configurations
US7093224B2 (en) 2001-08-28 2006-08-15 Intel Corporation Model-based logic design
US6721925B2 (en) * 2001-08-29 2004-04-13 Intel Corporation Employing intelligent logical models to enable concise logic representations for clarity of design description and for rapid design capture
US7130784B2 (en) 2001-08-29 2006-10-31 Intel Corporation Logic simulation
US6983427B2 (en) * 2001-08-29 2006-01-03 Intel Corporation Generating a logic design
US6859913B2 (en) 2001-08-29 2005-02-22 Intel Corporation Representing a simulation model using a hardware configuration database
US7107201B2 (en) 2001-08-29 2006-09-12 Intel Corporation Simulating a logic design
US7073156B2 (en) * 2001-08-29 2006-07-04 Intel Corporation Gate estimation process and method
US6618846B2 (en) * 2001-08-31 2003-09-09 Synopsys, Inc. Estimating capacitance effects in integrated circuits using congestion estimations
US7058913B1 (en) 2001-09-06 2006-06-06 Cadence Design Systems, Inc. Analytical placement method and apparatus
US7197724B2 (en) 2002-01-17 2007-03-27 Intel Corporation Modeling a logic design
US6944841B1 (en) 2002-01-22 2005-09-13 Cadence Design Systems, Inc. Method and apparatus for proportionate costing of vias
US7089524B1 (en) 2002-01-22 2006-08-08 Cadence Design Systems, Inc. Topological vias route wherein the topological via does not have a coordinate within the region
US7117468B1 (en) 2002-01-22 2006-10-03 Cadence Design Systems, Inc. Layouts with routes with different spacings in different directions on the same layer, and method and apparatus for generating such layouts
US7080329B1 (en) 2002-01-22 2006-07-18 Cadence Design Systems, Inc. Method and apparatus for identifying optimized via locations
US6938234B1 (en) 2002-01-22 2005-08-30 Cadence Design Systems, Inc. Method and apparatus for defining vias
US6892371B1 (en) 2002-01-22 2005-05-10 Cadence Design Systems, Inc. Method and apparatus for performing geometric routing
US7096449B1 (en) 2002-01-22 2006-08-22 Cadence Design Systems, Inc. Layouts with routes with different widths in different directions on the same layer, and method and apparatus for generating such layouts
US7013451B1 (en) 2002-01-22 2006-03-14 Cadence Design Systems, Inc. Method and apparatus for performing routability checking
US6973634B1 (en) 2002-01-22 2005-12-06 Cadence Design Systems, Inc. IC layouts with at least one layer that has more than one preferred interconnect direction, and method and apparatus for generating such a layout
US7047512B1 (en) 2002-06-04 2006-05-16 Cadence Design Systems, Inc. Method and apparatus for specifying a cost function that represents the estimated distance between an external state and a set of states in a space
US7069531B1 (en) 2002-07-15 2006-06-27 Cadence Design Systems, Inc. Method and apparatus for identifying a path between source and target states in a space with more than two dimensions
US7073151B1 (en) 2002-06-04 2006-07-04 Cadence Design Systems, Inc. Method and apparatus for identifying a path between a set of source states and a set of target states in a triangulated space
US6986117B1 (en) 2002-06-04 2006-01-10 Cadence Design Systems, Inc. Method and apparatus for identifying a path between source and target states
US7624367B2 (en) 2002-11-18 2009-11-24 Cadence Design Systems, Inc. Method and system for routing
US7010771B2 (en) * 2002-11-18 2006-03-07 Cadence Design Systems, Inc. Method and apparatus for searching for a global path
US7480885B2 (en) 2002-11-18 2009-01-20 Cadence Design Systems, Inc. Method and apparatus for routing with independent goals on different layers
US7171635B2 (en) * 2002-11-18 2007-01-30 Cadence Design Systems, Inc. Method and apparatus for routing
US7003752B2 (en) * 2002-11-18 2006-02-21 Cadence Design Systems, Inc. Method and apparatus for routing
US7093221B2 (en) * 2002-11-18 2006-08-15 Cadence Design Systems, Inc. Method and apparatus for identifying a group of routes for a set of nets
US7080342B2 (en) * 2002-11-18 2006-07-18 Cadence Design Systems, Inc Method and apparatus for computing capacity of a region for non-Manhattan routing
US6988257B2 (en) * 2002-11-18 2006-01-17 Cadence Design Systems, Inc. Method and apparatus for routing
US6892369B2 (en) * 2002-11-18 2005-05-10 Cadence Design Systems, Inc. Method and apparatus for costing routes of nets
US7047513B2 (en) * 2002-11-18 2006-05-16 Cadence Design Systems, Inc. Method and apparatus for searching for a three-dimensional global path
US6996789B2 (en) * 2002-11-18 2006-02-07 Cadence Design Systems, Inc. Method and apparatus for performing an exponential path search
US7216308B2 (en) * 2002-11-18 2007-05-08 Cadence Design Systems, Inc. Method and apparatus for solving an optimization problem in an integrated circuit layout
US7013445B1 (en) 2002-12-31 2006-03-14 Cadence Design Systems, Inc. Post processor for optimizing manhattan integrated circuits placements into non manhattan placements
US7089519B1 (en) 2002-12-31 2006-08-08 Cadence Design System, Inc. Method and system for performing placement on non Manhattan semiconductor integrated circuits
US7506295B1 (en) 2002-12-31 2009-03-17 Cadence Design Systems, Inc. Non manhattan floor plan architecture for integrated circuits
US7076758B1 (en) * 2003-08-07 2006-07-11 Xilinx, Inc. Using router feedback for placement improvements for logic design
TW200538919A (en) * 2004-05-21 2005-12-01 Hon Hai Prec Ind Co Ltd System and method for checking split plane of motherboard layout
US7376921B2 (en) * 2006-02-17 2008-05-20 Athena Design Systems, Inc. Methods for tiling integrated circuit designs
US9189587B2 (en) * 2013-10-03 2015-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Chip level critical point analysis with manufacturer specific data

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5610833A (en) * 1992-06-02 1997-03-11 Hewlett-Packard Company Computer-aided design methods and apparatus for multilevel interconnect technologies
US5666290A (en) * 1995-12-27 1997-09-09 Vlsi Technology, Inc. Interactive time-driven method of component placement that more directly constrains critical paths using net-based constraints
EP0814420A1 (en) * 1996-01-08 1997-12-29 Fujitsu Limited Interactive cad apparatus for designing packaging of logic circuit
US5761076A (en) * 1994-04-19 1998-06-02 Hitachi, Ltd. Method for evaluating a driving characteristic of a device for a wiring, based upon lower order coefficients of series expansion form of complex admittance of the wiring
US5764954A (en) * 1995-08-23 1998-06-09 International Business Machines Corporation Method and system for optimizing a critical path in a field programmable gate array configuration

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5072402A (en) 1989-10-10 1991-12-10 Vlsi Technology, Inc. Routing system and method for integrated circuits
US5550748A (en) 1994-03-22 1996-08-27 Cadence Design Systems, Inc. Region search for delay routing and signal net matching
US5838583A (en) 1996-04-12 1998-11-17 Cadence Design Systems, Inc. Optimized placement and routing of datapaths
US5818729A (en) 1996-05-23 1998-10-06 Synopsys, Inc. Method and system for placing cells using quadratic placement and a spanning tree model
US5798936A (en) 1996-06-21 1998-08-25 Avant| Corporation Congestion-driven placement method and computer-implemented integrated-circuit design tool
US5847965A (en) 1996-08-02 1998-12-08 Avant| Corporation Method for automatic iterative area placement of module cells in an integrated circuit layout
US6002857A (en) * 1996-11-14 1999-12-14 Avant! Corporation Symbolic constraint-based system for preroute reconstruction following floorplan incrementing
JPH10163330A (en) * 1996-12-03 1998-06-19 Nec Corp Apparatus and method for optimizing delay in taking layout in consideration

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5610833A (en) * 1992-06-02 1997-03-11 Hewlett-Packard Company Computer-aided design methods and apparatus for multilevel interconnect technologies
US5761076A (en) * 1994-04-19 1998-06-02 Hitachi, Ltd. Method for evaluating a driving characteristic of a device for a wiring, based upon lower order coefficients of series expansion form of complex admittance of the wiring
US5764954A (en) * 1995-08-23 1998-06-09 International Business Machines Corporation Method and system for optimizing a critical path in a field programmable gate array configuration
US5666290A (en) * 1995-12-27 1997-09-09 Vlsi Technology, Inc. Interactive time-driven method of component placement that more directly constrains critical paths using net-based constraints
EP0814420A1 (en) * 1996-01-08 1997-12-29 Fujitsu Limited Interactive cad apparatus for designing packaging of logic circuit

Also Published As

Publication number Publication date
AU2741000A (en) 2000-11-14
US6327693B1 (en) 2001-12-04
JP2003271690A (en) 2003-09-26
EP1173817A1 (en) 2002-01-23
JP2002541591A (en) 2002-12-03

Similar Documents

Publication Publication Date Title
US6327693B1 (en) Interconnect delay driven placement and routing of an integrated circuit design
US6286128B1 (en) Method for design optimization using logical and physical information
US6415422B1 (en) Method and system for performing capacitance estimations on an integrated circuit design routed by a global routing tool
US6349403B1 (en) Interative, gridless, cost-based layer assignment coarse router for computer controlled IC design
Cong et al. Buffer block planning for interconnect-driven floorplanning
US6543043B1 (en) Inter-region constraint-based router for use in electronic design automation
Kastner et al. Predictable routing
US7222318B2 (en) Circuit optimization for minimum path timing violations
EP0403826B1 (en) Minimizing the interconnection cost of electronically linked objects
US7676780B2 (en) Techniques for super fast buffer insertion
US20090013299A1 (en) Buffer insertion to reduce wirelength in vlsi circuits
EP1543449A1 (en) Method for eliminating routing congestion in an ic layout
US6405345B1 (en) Updating placement during technology mapping
US6467069B2 (en) Timing closure and noise avoidance in detailed routing
WO2004044793A1 (en) Placement processing for programmable logic devices
Prabhakaran et al. Simultaneous scheduling, binding and floorplanning in high-level synthesis
US7010767B2 (en) Insertion of repeaters without timing constraints
Wu et al. Coupling aware timing optimization and antenna avoidance in layer assignment
US7519933B2 (en) Converging repeater methodology for channel-limited SOC microprocessors
US5701255A (en) Cell generation method and cell generation system
US20060041852A1 (en) Targeted optimization of buffer-tree logic
Alpert et al. The coming of age of physical synthesis
US8443325B1 (en) Method and apparatus for utilizing constraints for the routing of a design on a programmable logic device
US7107563B1 (en) Integrated circuit signal routing using resource cost assignment and costing data
Chang et al. Interconnect-driven floorplanning with fast global wiring planning and optimization

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AL AM AT AU AZ BA BB BG BR BY CA CH CN CR CU CZ DE DK DM EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref document number: 2000 611206

Country of ref document: JP

Kind code of ref document: A

REEP Request for entry into the european phase

Ref document number: 2000905779

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2000905779

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2000905779

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642