WO2001001442A9 - A plasma reaction chamber component having improved temperature uniformity - Google Patents
A plasma reaction chamber component having improved temperature uniformityInfo
- Publication number
- WO2001001442A9 WO2001001442A9 PCT/US2000/016786 US0016786W WO0101442A9 WO 2001001442 A9 WO2001001442 A9 WO 2001001442A9 US 0016786 W US0016786 W US 0016786W WO 0101442 A9 WO0101442 A9 WO 0101442A9
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- component
- heat transfer
- showerhead electrode
- transfer member
- support member
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45572—Cooled nozzles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32458—Vessel
- H01J37/32522—Temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67103—Apparatus for thermal treatment mainly by conduction
Definitions
- the present invention relates to reactors for processing semiconductor integrated circuit substrates and specifically to a component which controls the temperature distribution across a heated member of the reactor.
- Semiconductor processing includes deposition processes such as chemical vapor deposition (CVD) of conductive, dielectric and semiconducting materials, etching of such layers, ashing of photoresist masking layers, etc.
- CVD chemical vapor deposition
- etching plasma etching is conventionally used to etch metal, dielectric and semiconducting materials.
- showerhead electrodes for plasma processing of semiconductor substrates are disclosed in commonly assigned U.S. Patent Nos. 5,074,456; 5,472,565; 5,534,751; and 5,569,356.
- Other showerhead electrode gas distribution systems are disclosed in U.S. Patent Nos. 4,209,357; 4,263,088; 4,270,999; 4,297,162;
- the dielectric materials include doped silicon oxide such as fluorinated silicon oxide (FSG), undoped silicon oxide such as silicon dioxide, silicate glasses such as boron phosphate silicate glass (BPSG) and phosphate silicate glass (PSG), doped or undoped thermally grown silicon oxide, doped or undoped TEOS deposited silicon oxide, etc.
- the dielectric dopants include boron, phosphorus and/or arsenic.
- the dielectric can overlie a conductive or semiconductive layer such as polycrystalline silicon, metals such as aluminum, copper, titanium, tungsten, molybdenum or alloys thereof, nitrides such as titanium nitride, metal suicides such as titanium suicide, cobalt suicide, tungsten suicide, molybdenum suicide, etc.
- a plasma etching technique wherein a parallel plate plasma reactor is used for etching openings in silicon oxide, is disclosed in U.S. Patent No. 5,013,398.
- U.S. Patent No. 5,736,457 describes single and dual "damascene" metallization processes.
- vias and conductors are formed in separate steps wherein a metallization pattern for either conductors or vias is etched into a dielectric layer, a metal layer is filled into the etched grooves or via holes in the dielectric layer, and the excess metal is removed by chemical mechanical planarization (CMP) or by an etch back process.
- CMP chemical mechanical planarization
- the metallization patterns for the vias and conductors are etched in a dielectric layer and the etched grooves and via openings are filled with metal in a single metal filling and excess metal removal process.
- the showerhead electrode becomes hot.
- the temperature can vary considerably across the surface of the electrode.
- the temperature difference between the center and the edge of the showerhead electrode can be about 100°C or higher, e.g. about 200 °C.
- the nonuniform temperature distribution can cause uneven plasma density and/or process gas distribution which leads to nonuniform etching of the wafer. In showerhead arrangements which are edge cooled, this problem becomes greater as the size of the substrate increases since the temperature differential between the center and the edge of the showerhead electrode will become more pronounced as the diameter of the showerhead increases.
- the temperature differential across a heated member such as a showerhead electrode can be substantially reduced.
- a controlled temperature distribution across the electrode allows more uniform processing of a semiconductor substrate.
- the maximum temperature reached by the showerhead electrode can be reduced, it is possible to increase the useful life of the electrode.
- a component in a reaction chamber for processing semiconductor substrates includes a heat sink (e.g., a support member), a heated member (e.g. , an electrically powered showerhead electrode), and a heat transfer member between the heat sink and the heated member.
- the heat transfer member provides a heat flow path from an elevated temperature region of the heated member to the heat sink.
- FIG. 1 is a sectional view of an example of a gas distribution system including a single gas supply;
- FIG. 2 is an exploded perspective view of a first embodiment of the present invention
- FIG. 3 is a sectional view of a second embodiment of the present invention
- FIG. 4 is an exploded perspective view of a third embodiment of the present invention.
- FIG. 4A is a perspective view of the heat transfer member shown in FIG. 4 wherein the heat transfer member comprises concentric rings;
- FIG. 5 is an exploded perspective view of a fourth embodiment of the present invention.
- FIG. 6 is a perspective view of a heat transfer member which is integral with a support member.
- FIG. 7 is a perspective view of a heat transfer member which is integral with a showerhead electrode.
- the present invention provides improvements in obtaining temperature control of components of a reaction chamber for processing semiconductor substrates such as silicon wafers and flat panel displays.
- Such components include sputtering targets, electrically powered showerhead electrodes, showerheads, substrate supports, etc. Such components may or may not be cooled by passing coolant therethrough.
- the invention will now be discussed with reference to an electrically powered showerhead electrode.
- a surface of a showerhead electrode increases in temperature due to, for example, resistive heating resulting from electric power being applied to the electrode.
- the heat generated flows to the heat sink at its periphery (where the electrode and the heat sink are secured to one another).
- the temperature of the center region of the electrode can be much higher than the periphery of electrode making it difficult to satisfactorily control substrate processing.
- the showerhead or surface below the substrate or target may become hotter in some portions than in others.
- the present invention provides a mechanism to improve temperature uniformity of such surfaces.
- FIG. 1 An exemplary reaction chamber component for a plasma etching process is shown in FIG. 1 wherein a showerhead electrode 20 is secured to a cooled support member 22 to define a gas distribution chamber 23.
- the temperature of the support member 22 can be controlled by circulating coolant through cooling channels 24 in the support member 22.
- the showerhead electrode 20 is preferably of silicon but can be of any other suitable electrically conductive material such as aluminum, graphite, silicon carbide, etc. and gas passes through a plurality of openings 26.
- the showerhead electrode 20 has an edge portion 28 which is integral with the electrode.
- the edge portion 28 can comprise a separate support ring bonded to the outer edge of a circular showerhead plate, as shown in FIG. 3. In either case, the outer edge 28 is in thermal and electrical contact with the support member 22.
- the gas distribution chamber 23 is defined by a top surface 30 of the showerhead electrode 20, the edge portion 28 and a bottom surface 32 of the support member 22.
- Process gas is supplied to the chamber 23 by a central gas supply 29. However, the process gas can be supplied at the periphery of the electrode and/or by more than one gas supply. Gas flows downward through the gas distribution chamber and passes through the openings 26 in the showerhead electrode 20.
- Electric power (typically RF power, although DC power may be used) is supplied to the showerhead electrode 20 in order to energize process gas into plasma.
- RF power typically RF power, although DC power may be used
- Electric power is supplied to the showerhead electrode 20 in order to energize process gas into plasma.
- resistive heating occurs and the showerhead electrode 20 increases in temperature. If heat is removed from only the periphery of the electrode 20, the temperature at a center region 34 of the showerhead electrode 20 can increase more quickly than heat can be laterally transferred through the showerhead electrode 20 to the edge portion 28.
- a large temperature differential e.g., about 100 to 300°C
- This large temperature differential interferes with the uniform distribution of the process gas through the showerhead electrode 20 and/or the uniform distribution of power to the plasma.
- a component 35 according to the present invention includes one or more heat transfer members 36 between the center region 34 of the top surface 30 of the showerhead electrode 20 and a bottom surface 32 of the temperature-controlled support member 22.
- heat is transferred through the heat transfer members 36 to the temperature-controlled support member 22.
- the temperature difference between the center region 34 and the edge portion 28 of the showerhead electrode 20 can be dramatically reduced (e.g., a temperature differential less than 50°C, preferably less than 15 to 20°C between the edge and the center of an electrode can be obtained).
- semiconductor processing such as single wafer plasma etching wherein a wafer is below the showerhead electrode, more uniform processing can be achieved.
- Heat transfer members 36 are preferably formed of a material which is thermally and electrically conductive. However, materials which are not electrically conductive, but are still thermally conductive may also be used.
- Suitable materials include ceramic materials such as SiC, Si 3 N 4 , A1N, etc., metals such as Al, Cu, stainless steel, Mo, etc. and metal composites such as reinforced metals (e.g., carbon fiber-aluminum or copper, boron fiber-aluminum, SiC particle-aluminum, etc.).
- the heat transfer members 36 can be cast aluminum bodies which machined into a desired shape.
- the FIG. 2 embodiment includes a baffle assembly which acts to more uniformly distribute etching gas to the top surface 30 of the showerhead electrode 20.
- the baffle assembly may include one or more baffle plates 40 located between the showerhead electrode 20 and the support member 22.
- the baffle plates 40 can be made of aluminum and include one or more cutouts 42 to accommodate a similarly shaped heat transfer member 36 which fits into the cut-out defined space between the bottom surface 32 of the support member 22 and the top surface of the showerhead electrode.
- the heat transfer member 36 includes a notch 44 which allows process gas to flow from the gas supply inlet 29 into plenums defined by the baffle plates. As a result, the gas supplied by the inlet 29 can be distributed across the surfaces of the baffle plates 40.
- FIG 3 shows a second embodiment of a component 35 in accordance with the invention wherein the baffle plates 40 need not be cut to accommodate a heat transfer member 36.
- heat transfer members 36 are sandwiched between the support member 22, baffle plates 40 and showerhead electrode 20.
- the heat transfer members 36 can include gas passages therethrough to allow gas from the inlet 29 to be distributed in plenums defined by the baffle plates 40.
- the heat transfer members 36 could be solid and the baffle plates could include grooves or channels to allow the process gas to circulate freely in the plenums defined by the baffle plates.
- FIG. 4 A third embodiment of a component 35 according to the present invention is shown in FIG. 4 wherein the reaction chamber does not include baffle plates between the support member 22 and the showerhead electrode 20.
- the heat transfer members 36 are located within a gas distribution chamber defined between the showerhead electrode 20 and the support member 22. As shown in FIG. 4, the heat transfer members 36 include notches 44 which allow process gas to flow between the heat transfer members 36 and across the surfaces of the support member 22 and the showerhead electrode 20.
- the heat transfer members 36 preferably have excellent thermal contact with both the bottom surface 32 of the support member 22 and the top surface 30 of the showerhead electrode 20. Ideally, there are no gaps between the heat transfer members 36, the heated member (e.g., the showerhead electrode 20), and the heat sink (e.g., the support member 22).
- the showerhead electrode 20, the heat transfer members 36 and the support member 22 to provide mating surfaces, providing a thermally conductive material such as a gasket of metallic material such as indium, silver or the like on opposite sides of the heat transfer members, and/or bonding the top surface 30 of the showerhead electrode 20 with metallic material or conductive adhesive such as an elastomer containing electrically and/or thermally conductive particles.
- a thermally conductive material such as a gasket of metallic material such as indium, silver or the like on opposite sides of the heat transfer members
- metallic material or conductive adhesive such as an elastomer containing electrically and/or thermally conductive particles.
- the heat transfer members 36 sandwiched between the showerhead electrode 20 and the support member 22 are concentrically arranged annular rings.
- the rings include notches 44 therein to allow process gas to flow across the gas distribution chamber.
- the number of rings may be increased or decreased to achieve a desired heat transfer effect.
- the heat transfer member or members can be in shapes other than rings (e.g., the heat transfer member could be in the shape of a central hub and radially extending arms or any other suitable shape).
- the heat transfer members 36 are arranged to cover a minimum amount of the top surface 30 of the showerhead electrode 20 while still achieving the desired heat transfer effect.
- the component 35 can include multiple gas supplies 39.
- the gas pressure is highest at the outlet of each gas supply 39, the provision of multiple gas supplies 39 allows a more even distribution of gas pressure distribution to be obtained compared to that of a single gas supply.
- FIG. 5 shows a fourth embodiment of a component 35 according to the present invention wherein the heat transfer member 36 is located between a substrate support surface 37 and a support member 41.
- the surface 37 can be part of a bottom electrode which may or may not have an electrostatic clamp (ESC) associated therewith.
- the heat transfer member 36 can be used to draw heat away from a portion of the surface 37 to the support member 41, thereby controlling the temperature differential across the surface 37.
- the substrate support can omit a He backcooling arrangement typically used for cooling substrates such as Si wafers.
- the heat transfer members 36 can be separate pieces or integral with either the heated member (e.g., the showerhead electrode 20) or the heat sink (e.g., support member 22).
- FIG. 1 shows a fourth embodiment of a component 35 according to the present invention wherein the heat transfer member 36 is located between a substrate support surface 37 and a support member 41.
- the surface 37 can be part of a bottom electrode which may or may not have an electrostatic clamp (ESC) associated there
- FIG. 6 shows an example of heat transfer members 36 which are integral with the showerhead electrode and FIG. 7 shows an example of heat transfer members 36 which are integral with the support member 22.
- the bonding material should have good thermal and optionally electrical conductivity and be compatible in a vacuum environment (e.g., have a low vapor pressure so that the material will not significantly contaminate a semiconductor processing environment).
- Suitable bonding materials include conductive adhesives such as elastomers or epoxies and solder or brazing materials.
- the present invention in the case of a showerhead electrode arrangement, direct or indirect surface to surface contact between the center region 34 of the showerhead electrode 20 and the temperature-controlled support member 22 can be achieved.
- the present invention can control the temperature differential between the center region 34 and the edge portion 28 of a showerhead electrode 20.
- Such better temperature control across the showerhead electrode 20 can provide a more controlled plasma density and/or gas flow/pressure across the substrate being processed.
Abstract
Description
Claims
Priority Applications (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
AU60521/00A AU6052100A (en) | 1999-06-30 | 2000-06-14 | A plasma reaction chamber component having improved temperature uniformity |
JP2001506573A JP4970679B2 (en) | 1999-06-30 | 2000-06-14 | Plasma reaction chamber component with improved temperature uniformity and processing method using the same |
EP00946822A EP1190435A1 (en) | 1999-06-30 | 2000-06-14 | A plasma reaction chamber component having improved temperature uniformity |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/343,482 US6123775A (en) | 1999-06-30 | 1999-06-30 | Reaction chamber component having improved temperature uniformity |
US09/343,482 | 1999-06-30 |
Publications (2)
Publication Number | Publication Date |
---|---|
WO2001001442A1 WO2001001442A1 (en) | 2001-01-04 |
WO2001001442A9 true WO2001001442A9 (en) | 2003-01-30 |
Family
ID=23346293
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2000/016786 WO2001001442A1 (en) | 1999-06-30 | 2000-06-14 | A plasma reaction chamber component having improved temperature uniformity |
Country Status (8)
Country | Link |
---|---|
US (1) | US6123775A (en) |
EP (1) | EP1190435A1 (en) |
JP (1) | JP4970679B2 (en) |
KR (1) | KR100733897B1 (en) |
CN (1) | CN100350545C (en) |
AU (1) | AU6052100A (en) |
TW (1) | TW473781B (en) |
WO (1) | WO2001001442A1 (en) |
Families Citing this family (85)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7515264B2 (en) * | 1999-06-15 | 2009-04-07 | Tokyo Electron Limited | Particle-measuring system and particle-measuring method |
US6206972B1 (en) * | 1999-07-08 | 2001-03-27 | Genus, Inc. | Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes |
US6772827B2 (en) | 2000-01-20 | 2004-08-10 | Applied Materials, Inc. | Suspended gas distribution manifold for plasma chamber |
US6477980B1 (en) * | 2000-01-20 | 2002-11-12 | Applied Materials, Inc. | Flexibly suspended gas distribution manifold for plasma chamber |
US6444040B1 (en) * | 2000-05-05 | 2002-09-03 | Applied Materials Inc. | Gas distribution plate |
US6412437B1 (en) | 2000-08-18 | 2002-07-02 | Micron Technology, Inc. | Plasma enhanced chemical vapor deposition reactor and plasma enhanced chemical vapor deposition process |
US6391787B1 (en) * | 2000-10-13 | 2002-05-21 | Lam Research Corporation | Stepped upper electrode for plasma processing uniformity |
JP3946641B2 (en) * | 2001-01-22 | 2007-07-18 | 東京エレクトロン株式会社 | Processing equipment |
KR100756107B1 (en) * | 2001-02-09 | 2007-09-05 | 동경 엘렉트론 주식회사 | Film forming device |
US6878206B2 (en) * | 2001-07-16 | 2005-04-12 | Applied Materials, Inc. | Lid assembly for a processing system to facilitate sequential deposition techniques |
US20020134513A1 (en) * | 2001-03-22 | 2002-09-26 | David Palagashvili | Novel thermal transfer apparatus |
JP4402860B2 (en) * | 2001-03-28 | 2010-01-20 | 忠弘 大見 | Plasma processing equipment |
US6537928B1 (en) * | 2002-02-19 | 2003-03-25 | Asm Japan K.K. | Apparatus and method for forming low dielectric constant film |
KR100400044B1 (en) * | 2001-07-16 | 2003-09-29 | 삼성전자주식회사 | Shower head of wafer treatment apparatus having gap controller |
US6786175B2 (en) | 2001-08-08 | 2004-09-07 | Lam Research Corporation | Showerhead electrode design for semiconductor processing reactor |
JP4082720B2 (en) * | 2001-09-10 | 2008-04-30 | キヤノンアネルバ株式会社 | Substrate surface treatment equipment |
US7017514B1 (en) * | 2001-12-03 | 2006-03-28 | Novellus Systems, Inc. | Method and apparatus for plasma optimization in water processing |
JP4338355B2 (en) * | 2002-05-10 | 2009-10-07 | 東京エレクトロン株式会社 | Plasma processing equipment |
US7543547B1 (en) * | 2002-07-31 | 2009-06-09 | Lam Research Corporation | Electrode assembly for plasma processing apparatus |
US7252738B2 (en) * | 2002-09-20 | 2007-08-07 | Lam Research Corporation | Apparatus for reducing polymer deposition on a substrate and substrate support |
US7500445B2 (en) * | 2003-01-27 | 2009-03-10 | Applied Materials, Inc. | Method and apparatus for cleaning a CVD chamber |
US20040187787A1 (en) * | 2003-03-31 | 2004-09-30 | Dawson Keith E. | Substrate support having temperature controlled substrate support surface |
US7296534B2 (en) * | 2003-04-30 | 2007-11-20 | Tokyo Electron Limited | Hybrid ball-lock attachment apparatus |
JP4493932B2 (en) * | 2003-05-13 | 2010-06-30 | 東京エレクトロン株式会社 | Upper electrode and plasma processing apparatus |
KR20060064067A (en) * | 2003-09-03 | 2006-06-12 | 동경 엘렉트론 주식회사 | Gas treatment device and heat readiting method |
US7645341B2 (en) * | 2003-12-23 | 2010-01-12 | Lam Research Corporation | Showerhead electrode assembly for plasma processing apparatuses |
JP4698251B2 (en) * | 2004-02-24 | 2011-06-08 | アプライド マテリアルズ インコーポレイテッド | Movable or flexible shower head mounting |
US7210538B2 (en) * | 2004-03-27 | 2007-05-01 | Cnh America Llc | Center pivot wing flotation method and apparatus |
US8083853B2 (en) | 2004-05-12 | 2011-12-27 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser hole design |
US7712434B2 (en) * | 2004-04-30 | 2010-05-11 | Lam Research Corporation | Apparatus including showerhead electrode and heater for plasma processing |
US8317968B2 (en) * | 2004-04-30 | 2012-11-27 | Lam Research Corporation | Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing |
US8074599B2 (en) * | 2004-05-12 | 2011-12-13 | Applied Materials, Inc. | Plasma uniformity control by gas diffuser curvature |
US8328939B2 (en) | 2004-05-12 | 2012-12-11 | Applied Materials, Inc. | Diffuser plate with slit valve compensation |
US8540843B2 (en) | 2004-06-30 | 2013-09-24 | Lam Research Corporation | Plasma chamber top piece assembly |
US7780791B2 (en) * | 2004-06-30 | 2010-08-24 | Lam Research Corporation | Apparatus for an optimized plasma chamber top piece |
US20060000551A1 (en) * | 2004-06-30 | 2006-01-05 | Saldana Miguel A | Methods and apparatus for optimal temperature control in a plasma processing system |
US20060043067A1 (en) * | 2004-08-26 | 2006-03-02 | Lam Research Corporation | Yttria insulator ring for use inside a plasma chamber |
US7429410B2 (en) | 2004-09-20 | 2008-09-30 | Applied Materials, Inc. | Diffuser gravity support |
US20060065632A1 (en) * | 2004-09-27 | 2006-03-30 | Chia-Cheng Cheng | Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency |
US20060065631A1 (en) * | 2004-09-27 | 2006-03-30 | Chia-Cheng Cheng | Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance |
US7323116B2 (en) * | 2004-09-27 | 2008-01-29 | Lam Research Corporation | Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage |
KR100572118B1 (en) * | 2005-01-28 | 2006-04-18 | 주식회사 에이디피엔지니어링 | Plasma processing apparatus |
US7480974B2 (en) * | 2005-02-15 | 2009-01-27 | Lam Research Corporation | Methods of making gas distribution members for plasma processing apparatuses |
US7430986B2 (en) | 2005-03-18 | 2008-10-07 | Lam Research Corporation | Plasma confinement ring assemblies having reduced polymer deposition characteristics |
JP2008540840A (en) * | 2005-05-09 | 2008-11-20 | エイエスエム・ジェニテック・コリア・リミテッド | Reactor of atomic layer deposition apparatus with multiple gas inlets |
KR100629358B1 (en) * | 2005-05-24 | 2006-10-02 | 삼성전자주식회사 | Shower head |
US20070044714A1 (en) * | 2005-08-31 | 2007-03-01 | Applied Materials, Inc. | Method and apparatus for maintaining a cross sectional shape of a diffuser during processing |
US7641762B2 (en) * | 2005-09-02 | 2010-01-05 | Applied Materials, Inc. | Gas sealing skirt for suspended showerhead in process chamber |
KR101036185B1 (en) | 2005-09-30 | 2011-05-23 | 엘아이지에이디피 주식회사 | Apparatus for processing substrate with plasma |
US7826724B2 (en) * | 2006-04-24 | 2010-11-02 | Nordson Corporation | Electronic substrate non-contact heating system and method |
US7776178B2 (en) * | 2006-10-25 | 2010-08-17 | Applied Materials, Inc. | Suspension for showerhead in process chamber |
US20080166880A1 (en) * | 2007-01-08 | 2008-07-10 | Levy David H | Delivery device for deposition |
JP4826483B2 (en) * | 2007-01-19 | 2011-11-30 | 東京エレクトロン株式会社 | Plasma processing equipment |
US20080194112A1 (en) * | 2007-02-09 | 2008-08-14 | International Business Machines Corporation | Method and system for plasma etching having improved across-wafer etch uniformity |
JP4928991B2 (en) | 2007-03-12 | 2012-05-09 | 東京エレクトロン株式会社 | Substrate processing equipment |
US8069817B2 (en) * | 2007-03-30 | 2011-12-06 | Lam Research Corporation | Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses |
US8216418B2 (en) * | 2007-06-13 | 2012-07-10 | Lam Research Corporation | Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings |
US20080317973A1 (en) * | 2007-06-22 | 2008-12-25 | White John M | Diffuser support |
JP5008478B2 (en) * | 2007-06-27 | 2012-08-22 | 東京エレクトロン株式会社 | Substrate processing apparatus and shower head |
US8187414B2 (en) | 2007-10-12 | 2012-05-29 | Lam Research Corporation | Anchoring inserts, electrode assemblies, and plasma processing chambers |
US8187413B2 (en) * | 2008-03-18 | 2012-05-29 | Lam Research Corporation | Electrode assembly and plasma processing chamber utilizing thermally conductive gasket |
CN104357807B (en) * | 2008-03-26 | 2019-06-28 | Oci有限公司 | The system and method for distribution are used in CVD reactor |
TWI464292B (en) * | 2008-03-26 | 2014-12-11 | Gtat Corp | Gold-coated polysilicon reactor system and method |
KR101110080B1 (en) * | 2009-07-08 | 2012-03-13 | 주식회사 유진테크 | Method for processing substrate |
WO2011044451A2 (en) * | 2009-10-09 | 2011-04-14 | Applied Materials, Inc. | Multi-gas centrally cooled showerhead design |
US20110097489A1 (en) * | 2009-10-27 | 2011-04-28 | Kerr Roger S | Distribution manifold including multiple fluid communication ports |
US9540731B2 (en) * | 2009-12-04 | 2017-01-10 | Applied Materials, Inc. | Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads |
US9129778B2 (en) | 2011-03-18 | 2015-09-08 | Lam Research Corporation | Fluid distribution members and/or assemblies |
JP2013012353A (en) * | 2011-06-28 | 2013-01-17 | Hitachi High-Technologies Corp | Plasma processing apparatus |
CN104813440A (en) * | 2012-09-26 | 2015-07-29 | 应用材料公司 | Controlling temperature in substrate processing systems |
US9865501B2 (en) * | 2013-03-06 | 2018-01-09 | Lam Research Corporation | Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer |
KR102156795B1 (en) * | 2013-05-15 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Deposition apparatus |
TWI470105B (en) * | 2013-06-03 | 2015-01-21 | Adpv Technology Ltd | Gas Reaction Continuous Cavity and Gas Reaction |
KR101560623B1 (en) * | 2014-01-03 | 2015-10-15 | 주식회사 유진테크 | Substrate processing apparatus and substrate processing method |
US9469912B2 (en) | 2014-04-21 | 2016-10-18 | Lam Research Corporation | Pretreatment method for photoresist wafer processing |
US9472377B2 (en) | 2014-10-17 | 2016-10-18 | Lam Research Corporation | Method and apparatus for characterizing metal oxide reduction |
JP6886920B2 (en) | 2015-09-08 | 2021-06-16 | Jcrファーマ株式会社 | New human serum albumin mutant |
EP3349902B1 (en) * | 2015-09-15 | 2021-05-26 | Life Technologies Corporation | System for biological analysis |
US10483092B2 (en) * | 2016-04-13 | 2019-11-19 | Lam Research Corporation | Baffle plate and showerhead assemblies and corresponding manufacturing method |
US10577689B2 (en) | 2016-09-23 | 2020-03-03 | Applied Materials, Inc. | Sputtering showerhead |
US10607817B2 (en) * | 2016-11-18 | 2020-03-31 | Applied Materials, Inc. | Thermal repeatability and in-situ showerhead temperature monitoring |
US10443146B2 (en) | 2017-03-30 | 2019-10-15 | Lam Research Corporation | Monitoring surface oxide on seed layers during electroplating |
US11776822B2 (en) * | 2018-05-29 | 2023-10-03 | Applied Materials, Inc. | Wet cleaning of electrostatic chuck |
JP6575641B1 (en) * | 2018-06-28 | 2019-09-18 | 株式会社明電舎 | Shower head and processing equipment |
WO2023140941A1 (en) * | 2022-01-24 | 2023-07-27 | Lam Research Corporation | Active temperature control of showerheads for high temperature processes |
Family Cites Families (37)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4209357A (en) * | 1979-05-18 | 1980-06-24 | Tegal Corporation | Plasma reactor apparatus |
US4270999A (en) * | 1979-09-28 | 1981-06-02 | International Business Machines Corporation | Method and apparatus for gas feed control in a dry etching process |
US4337495A (en) * | 1980-06-13 | 1982-06-29 | Porta Systems Corp. | Carbon electrode having metallic heat sink |
US4481636A (en) * | 1982-05-05 | 1984-11-06 | Council For Mineral Technology | Electrode assemblies for thermal plasma generating devices |
US4534816A (en) * | 1984-06-22 | 1985-08-13 | International Business Machines Corporation | Single wafer plasma etch reactor |
US4590042A (en) * | 1984-12-24 | 1986-05-20 | Tegal Corporation | Plasma reactor having slotted manifold |
CH664303A5 (en) * | 1985-04-03 | 1988-02-29 | Balzers Hochvakuum | HOLDING DEVICE FOR TARGETS FOR CATHODE SPRAYING. |
US4612077A (en) * | 1985-07-29 | 1986-09-16 | The Perkin-Elmer Corporation | Electrode for plasma etching system |
JPH066505Y2 (en) * | 1986-04-11 | 1994-02-16 | 沖電気工業株式会社 | Electrode cooling mechanism |
EP0276962A1 (en) * | 1987-01-27 | 1988-08-03 | Machine Technology Inc. | Cooling device for a sputter target and source |
US4780169A (en) * | 1987-05-11 | 1988-10-25 | Tegal Corporation | Non-uniform gas inlet for dry etching apparatus |
US5134965A (en) * | 1989-06-16 | 1992-08-04 | Hitachi, Ltd. | Processing apparatus and method for plasma processing |
US5078851A (en) * | 1989-07-26 | 1992-01-07 | Kouji Nishihata | Low-temperature plasma processor |
JPH0382022A (en) * | 1989-08-24 | 1991-04-08 | Nec Corp | Dry etching device |
JP2903239B2 (en) * | 1990-03-15 | 1999-06-07 | 東京エレクトロン株式会社 | Plasma etching method |
US5151918A (en) * | 1990-08-28 | 1992-09-29 | Argent Ronald D | Electrode blocks and block assemblies |
US5074456A (en) * | 1990-09-18 | 1991-12-24 | Lam Research Corporation | Composite electrode for plasma processes |
KR100276093B1 (en) * | 1992-10-19 | 2000-12-15 | 히가시 데쓰로 | Plasma etching system |
JP2851229B2 (en) * | 1992-10-19 | 1999-01-27 | 株式会社日立製作所 | Plasma etching system and plasma etching method |
JP3242166B2 (en) * | 1992-11-19 | 2001-12-25 | 株式会社日立製作所 | Etching equipment |
US5614055A (en) * | 1993-08-27 | 1997-03-25 | Applied Materials, Inc. | High density plasma CVD and etching reactor |
KR100264445B1 (en) * | 1993-10-04 | 2000-11-01 | 히가시 데쓰로 | Plasma treatment equipment |
JP3308091B2 (en) * | 1994-02-03 | 2002-07-29 | 東京エレクトロン株式会社 | Surface treatment method and plasma treatment device |
US5628829A (en) * | 1994-06-03 | 1997-05-13 | Materials Research Corporation | Method and apparatus for low temperature deposition of CVD and PECVD films |
GB9413973D0 (en) * | 1994-07-11 | 1994-08-31 | Rank Brimar Ltd | Electrode structure |
US5746875A (en) * | 1994-09-16 | 1998-05-05 | Applied Materials, Inc. | Gas injection slit nozzle for a plasma process reactor |
US5772770A (en) * | 1995-01-27 | 1998-06-30 | Kokusai Electric Co, Ltd. | Substrate processing apparatus |
US5569356A (en) * | 1995-05-19 | 1996-10-29 | Lam Research Corporation | Electrode clamping assembly and method for assembly and use thereof |
US5716485A (en) * | 1995-06-07 | 1998-02-10 | Varian Associates, Inc. | Electrode designs for controlling uniformity profiles in plasma processing reactors |
JP3599204B2 (en) * | 1995-06-08 | 2004-12-08 | アネルバ株式会社 | CVD equipment |
JP3113796B2 (en) * | 1995-07-10 | 2000-12-04 | 東京エレクトロン株式会社 | Plasma processing equipment |
US5879348A (en) * | 1996-04-12 | 1999-03-09 | Ep Technologies, Inc. | Electrode structures formed from flexible, porous, or woven materials |
TW340957B (en) * | 1996-02-01 | 1998-09-21 | Canon Hanbai Kk | Plasma processor and gas release device |
US5730803A (en) * | 1996-02-23 | 1998-03-24 | Applied Materials, Inc. | Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body |
JP3360265B2 (en) * | 1996-04-26 | 2002-12-24 | 東京エレクトロン株式会社 | Plasma processing method and plasma processing apparatus |
JP3310171B2 (en) * | 1996-07-17 | 2002-07-29 | 松下電器産業株式会社 | Plasma processing equipment |
US6589407B1 (en) * | 1997-05-23 | 2003-07-08 | Applied Materials, Inc. | Aluminum deposition shield |
-
1999
- 1999-06-30 US US09/343,482 patent/US6123775A/en not_active Expired - Lifetime
-
2000
- 2000-06-14 KR KR1020017016765A patent/KR100733897B1/en active IP Right Grant
- 2000-06-14 CN CNB008097259A patent/CN100350545C/en not_active Expired - Lifetime
- 2000-06-14 EP EP00946822A patent/EP1190435A1/en not_active Withdrawn
- 2000-06-14 JP JP2001506573A patent/JP4970679B2/en not_active Expired - Lifetime
- 2000-06-14 WO PCT/US2000/016786 patent/WO2001001442A1/en active Application Filing
- 2000-06-14 AU AU60521/00A patent/AU6052100A/en not_active Abandoned
- 2000-06-28 TW TW089112726A patent/TW473781B/en not_active IP Right Cessation
Also Published As
Publication number | Publication date |
---|---|
TW473781B (en) | 2002-01-21 |
AU6052100A (en) | 2001-01-31 |
EP1190435A1 (en) | 2002-03-27 |
KR100733897B1 (en) | 2007-07-02 |
KR20020027374A (en) | 2002-04-13 |
US6123775A (en) | 2000-09-26 |
WO2001001442A1 (en) | 2001-01-04 |
JP2003503838A (en) | 2003-01-28 |
JP4970679B2 (en) | 2012-07-11 |
CN100350545C (en) | 2007-11-21 |
CN1370325A (en) | 2002-09-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US6123775A (en) | Reaction chamber component having improved temperature uniformity | |
US6245192B1 (en) | Gas distribution apparatus for semiconductor processing | |
US6451157B1 (en) | Gas distribution apparatus for semiconductor processing | |
US6377437B1 (en) | High temperature electrostatic chuck | |
KR102471635B1 (en) | Ultra-uniform heated substrate support assembly | |
KR101476566B1 (en) | Substrate support having dynamic temperature control | |
EP1145273B1 (en) | Low contamination high density plasma etch chambers and methods for making the same | |
US6669783B2 (en) | High temperature electrostatic chuck | |
US11043360B2 (en) | Gas distribution plate assembly for high power plasma etch processes | |
US20030029715A1 (en) | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems | |
WO2002103761A1 (en) | Substrate support with multilevel heat transfer mechanism | |
JP2006140455A (en) | Method of controlling substrate temperature and its apparatus | |
JP2012054594A (en) | Heat transfer system for improved semiconductor processing uniformity | |
CN114521288B (en) | Thermal diffuser for semiconductor wafer holder | |
WO2021158612A1 (en) | Electrostatic edge ring mounting system for substrate processing | |
CN112789714A (en) | Detachable thermal leveler | |
US20070044914A1 (en) | Vacuum processing apparatus | |
JP2009064952A (en) | Surface treatment apparatus | |
WO2023140941A1 (en) | Active temperature control of showerheads for high temperature processes | |
CN116194616A (en) | Axial cooling metal spray head for high-temperature process |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
WWE | Wipo information: entry into national phase |
Ref document number: 00809725.9 Country of ref document: CN |
|
AK | Designated states |
Kind code of ref document: A1 Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW |
|
AL | Designated countries for regional patents |
Kind code of ref document: A1 Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application | ||
DFPE | Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101) | ||
WWE | Wipo information: entry into national phase |
Ref document number: 2000946822 Country of ref document: EP |
|
ENP | Entry into the national phase |
Ref document number: 2001 506573 Country of ref document: JP Kind code of ref document: A |
|
WWE | Wipo information: entry into national phase |
Ref document number: 1020017016765 Country of ref document: KR |
|
WWP | Wipo information: published in national office |
Ref document number: 2000946822 Country of ref document: EP |
|
WWP | Wipo information: published in national office |
Ref document number: 1020017016765 Country of ref document: KR |
|
REG | Reference to national code |
Ref country code: DE Ref legal event code: 8642 |
|
COP | Corrected version of pamphlet |
Free format text: PAGES 1/8-8/8, DRAWINGS, REPLACED BY NEW PAGES 1/5-5/5; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE |