WO2001008209A1 - Multiple stage cleaning for plasma etching chambers - Google Patents

Multiple stage cleaning for plasma etching chambers Download PDF

Info

Publication number
WO2001008209A1
WO2001008209A1 PCT/US2000/020320 US0020320W WO0108209A1 WO 2001008209 A1 WO2001008209 A1 WO 2001008209A1 US 0020320 W US0020320 W US 0020320W WO 0108209 A1 WO0108209 A1 WO 0108209A1
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
gas
etching
substrate
residue
Prior art date
Application number
PCT/US2000/020320
Other languages
French (fr)
Inventor
Waiching Chow
Raney Williams
Thorsten B. Lill
Arthur Y. Chen
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to EP00950705A priority Critical patent/EP1198829A1/en
Publication of WO2001008209A1 publication Critical patent/WO2001008209A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Definitions

  • the present invention relates to a method of cleaning chambers that are used in the processing of substrates.
  • materials such as silicon dioxide, silicon nitride, polysilicon, metal, metal suicide, and monocrystalline silicon, that are deposited or otherwise formed on a substrate, are etched in predefined patterns to form gates, vias, contact holes, trenches, and/or interconnect lines.
  • a patterned mask composed of silicon oxide or silicon nitride (hard mask) or photoresist polymer, is formed on the substrate by conventional photolithographic methods.
  • the exposed portions of the underlying material that lie between the features of the patterned mask are etched by capacitive or inductively coupled plasmas of etchant gas.
  • etchant residue (often referred to as a polymer) deposits on the walls and other component surfaces inside the etching chamber.
  • the composition of the etchant residue depends upon the chemical composition of vaporized species of etchant gas, the material being etched, and the mask layer on the substrate. For example, when tungsten suicide, polysilicon or other silicon-containing layers are etched, siiicon- containing gaseous species are vaporized or sputtered from the substrate; similarly, etching of metal layers results in vaporization of metal species.
  • the mask layer on the substrate is also partially vaporized by the etchant gas to form gaseous hydrocarbon or oxygen species.
  • the vaporized and gaseous species condense to form etchant residue comprising polymeric byproducts composed of hydrocarbon species from the resist; gaseous elements such as fluorine, chlorine, oxygen, or nitrogen; and elemental silicon or metal species depending on the composition of the substrate being etched.
  • the polymeric byproducts deposit as thin layers of etchant residue on the walls and components in the chamber.
  • the composition of the etchant residue typically varies considerably across the chamber surface depending upon the composition of the localized gaseous environment, the location of gas inlet and exhaust ports, and the geometry of the chamber.
  • compositional variant, non-homogeneous, etchant residue formed on the etching chamber surfaces has to be periodically cleaned to prevent contamination of the substrate.
  • an in-situ plasma "dry-clean" process is performed in an empty etching chamber to clean the chamber.
  • the energetic plasma species rapidly erode the chamber walls and chamber components, and it is expensive to replace these parts and components.
  • erosion of the chamber surfaces can result in instability of the etching process from one wafer to another.
  • the relatively thin and compositionally variant etchant residue can also make it difficult to stop the in-situ plasma clean process immediately after ail of the etchant residue is removed - which results in erosion of the underlying chamber surfaces.
  • the etchant residue formed near the chamber inlet or exhaust often has a higher concentration of etchant gas species than the residue formed near the substrate which typically contains a higher concentration of resist, hard mask, or of the material being etched.
  • the etching chamber is opened to the atmosphere and cleaned in a "wet-cleaning" process, in which an operator uses an acid or solvent to scrub off and dissolve accumulated etchant residue from the chamber walls.
  • a wet-cleaning process in which an operator uses an acid or solvent to scrub off and dissolve accumulated etchant residue from the chamber walls.
  • the internal chamber surfaces should exhibit consistent chemical surfaces, i.e., surfaces having little or no variations in the concentration, type, or functionality of surface chemical groups; otherwise, the etching processes performed in the chamber produce varying etching results from one substrate to another.
  • the chamber is pumped down to a high vacuum environment for 2 to 3 hours to outgas moisture and other volatile species trapped in the chamber during the wet clean process. Thereafter, the etch process to be performed in the chamber, is run for 10 to 1 5 minutes on a set of dummy wafers, or until the chamber provides consistent and reproducible etching properties.
  • the increased cost per substrate that results from the downtime of the etching chamber during the dry or wet cleaning and seasoning process steps is undesirable. It typically takes 5 to 10 minutes for each dry cleaning process step, and 2 to 3 hours to complete the wet cleaning processes.
  • the wet cleaning and seasoning process often provide inconsistent and variable etch properties. In particular, because the wet cleaning process is manually performed by an operator, it often varies from one session to another, resulting in variations in chamber surface properties and a low reproducibility of etching processes. Thus it is desirable to have an etching process that can remove or eliminate deposition of etchant residue on the chamber surfaces.
  • a typical multilayer polycide structure on a semiconductor substrate 25 comprises metal suicide layers 22 deposited over doped or undoped polysilicon layers 24.
  • the polycide layers are formed over silicon dioxide layers 26 and etched to form the etched features 29.
  • etching selectivity ratio for etching polycide structures that have a non-planar and highly convoluted topography.
  • the thinner metal suicide layer 22 is etched through and etching of the underlying polysilicon layer 24 begins, while the thicker metal suicide layer 22 is still being etched.
  • the same problem arises in the etching of a mask layer of silicon nitride 32 on a very thin silicon dioxide layer 34, prior to forming trenches in a substrate comprising silicon 36, as for example shown in Figures 1 c and 1 d.
  • the etched trenches 38 are used to isolate active MOSFET devices formed on the substrate.
  • the etching selectivity ratio for etching silicon nitride relative to silicon dioxide has to be very high to stop on the silicon dioxide layer 34 without etching through the layer.
  • etching selectivity ratios are obtained using a process gas composition that etches the different silicon-containing materials at significantly different etching rates which depend upon the chemical reactivity of the particular process gas composition with a particular layer.
  • etching metal silicide layers with high selectivity to polysilicon, or etching silicon nitride layers with high selectivity to silicon dioxide layers is particularly difficult because both materials contain elemental silicon and most conventional etchant plasmas etch the silicon containing layers to form gaseous SiCl x or SiF x species.
  • the etchant plasma it is difficult for the etchant plasma to chemically distinguish and preferentially etch the metal silicide layer 22 faster than the polysilicon layer 24, and the silicon nitride layer 32 faster than the silicon dioxide layer 34.
  • etch process that reduces formation of etchant residue in the etching chamber. It is also desirable if the etchant or cleaning gases do not to erode the exposed surfaces in the chamber. It is further desirable to have an etching or cleaning process that restores the original chemical reactivity and surface functional groups of the chamber surfaces. It is further desirable for the cleaning process to remove chemically adhered etchant residue layers having variable thickness and variant chemical compositions and reactivity without excessive erosion of underlying chamber surfaces.
  • the present invention provides a method of etching a substrate in an etching chamber and cleaning off the etchant residues formed on the chamber surfaces.
  • surfaces in the chamber it is meant any surface inside the chamber enclosure including the walls of the chamber itself and the surfaces of the components in the chamber, such as the gas distributor, electrostatic chuck, focus ring and other components.
  • a method of treating a chamber by cleaning etchant residue from the chamber comprises providing a first energized process gas in the chamber to clean the surfaces and then providing a second and different process gas in the chamber to further clean the surfaces.
  • a method of etching a substrate in a chamber and cleaning etchant residue from surfaces in the chamber comprises placing the substrate in the chamber and, in a first stage, providing an energized first process gas comprising substrate etching gas and a first cleaning gas. In a second stage, an energized second process gas comprising a second and different cleaning gas is provided.
  • a method of etching a substrate in a chamber and cleaning etchant residue from surfaces in the chamber comprises placing the substrate in the chamber, etching a first material on the substrate thereby depositing a first etchant residue on the surfaces in the chamber, etching a second material on the substrate while suppressing deposition of a second etchant residue onto the first etchant residue, the first etchant residue being compositionally different from the second etchant residue, and cleaning the first and second etchant residue deposits formed on the surfaces in the chamber.
  • a method of etching a substrate in a chamber and cleaning etchant residue from surfaces in the chamber comprises electrostatically holding the substrate in the chamber, providing a first energized gas comprising an etching gas to etch the substrate and a residue cleaning gas, and providing a second energized gas in the chamber to clean etchant residue deposited on the surfaces in the chamber and simultaneously remove residual charge accumulated in the substrate.
  • a method of etching a substrate in a chamber and cleaning residue that forms on surfaces in the chamber comprises placing the substrate in the chamber, etching one or more materials on the substrate using energized gas, at least one composition of the energized gas including an etching gas comprising one or more of Cl 2 , N 2 , 0 2 , HBr and He-0 2 and a residue cleaning gas comprising one or more of CF 4 , SF 6 and NF 3 and cleaning the residue formed on the surfaces in the chamber using another energized gas comprising oxygen.
  • a method of etching a substrate in a chamber and cleaning residue formed on surfaces in the chamber comprising an electrostatic chuck
  • the method comprising transferring a substrate into the chamber and electrostatically holding the substrate on the electrostatic chuck, providing an energized gas in the chamber to etch a mask layer on the substrate thereby forming residue on the surfaces in the chamber, the residue comprising chemical species originating from the mask layer, providing another energized gas comprising etching gas comprising one or more of Cl 2 , N 2 , 0 2 , HBr, and He-0 2 and residue cleaning gas comprising one or more of CF 4 , SF 6 , and NF 3 in the chamber to etch material below the mask layer, and providing an oxygen containing plasma in the chamber to assist in dechucking the substrate and to clean the residue formed on the surfaces in the chamber.
  • a method of cleaning a chamber to remove residue from surfaces in the chamber comprises providing an energized first process gas in the chamber to clean the surfaces in the chamber, and adjusting the chamber source power to control the amount of residue removed from the surfaces.
  • Figure 1 a is a schematic cross-section of a substrate having silicon dioxide, polysilicon, metal silicide and resist layers;
  • Figure 1 b is a schematic cross-section of the substrate of Figure 1 a after etching of the metal silicide and polysilicon layers;
  • Figure 1 c is a schematic cross-section of a silicon or polysilicon substrate having a mask layer of silicon nitride
  • Figure 1 d is a schematic cross-section of the substrate of Figure 1 c after etching of trenches in the silicon or polysilicon;
  • Figure 2 is a sectional schematic side view of an etching apparatus of the present invention
  • Figure 3 is a flowchart of the process steps used to etch substrates and simultaneously clean the surfaces of the etching chamber and its components;
  • Figure 4 is a graph showing the components of a multilayer etchant residue formed on internal surfaces of the chamber by a conventional process in which a substrate comprising a mask layer and underlying silicon-containing layers was etched
  • Figure 5 is a graph showing the components of a multilayer etchant residue formed on internal surfaces of the chamber by a process of the present invention in which a substrate comprising a mask layer and underlying silicon- containing layers was etched;
  • Figure 6 is a graph showing the deposition and removal rate of etchant residue formed on a bare silicon wafer
  • Figure 7 is a graph showing the rate of removal of residue having different compositions and thicknesses.
  • Figure 8 is a graph showing the rate of removal of residue for increasing plasma source power levels.
  • An apparatus 20 suitable for etching a substrate 25 according to the present invention comprises an enclosed process chamber 30 defining a process zone for processing the substrate.
  • a load-lock transfer area (not shown) is maintained at low pressure for holding a cassette of substrates 25.
  • the particular embodiment of the apparatus 20 shown herein is suitable for processing of semiconductor substrates 25, is provided only to illustrate the invention, and should not be used to limit the scope of the invention.
  • the enclosed chamber 30 has sidewalls 45 and a bottom wall 50 fabricated from any one of a variety of materials including metals, ceramics, glasses, polymers, and composite materials.
  • the process zone defined in the etching chamber 30 comprises a volume of at least about 10,000 cm 3 , and more preferably about 10,000 to about 50,000 cm 3 and is directly above and surrounds the substrate 25.
  • the chamber comprises a ceiling 55 that is flat or rectangular shaped, arcuate, conical, dome-shaped, or multiradius dome shaped.
  • the ceiling 55 is dome-shaped to provide a uniform distribution of plasma source power across the entire volume of the plasma process zone.
  • the dome-shaped ceiling 55 is further from the substrate center 60 than a flat ceiling, and can reduce dissociated ion recombination losses near the substrate 25 to provide more uniform plasma ion density across the substrate surface than a flat ceiling.
  • the dome ceiling 55 can be a flattened dome, conical, truncated conical, cylindrical, or other combination of such shapes, that provides a dome shaped surface above the substrate 25.
  • Process gas is introduced into the chamber 30 through a gas distribution system 65 that includes a process gas supply 70 and a gas flow control system that comprises a conventional computer system 75 operating a gas flow control valve 80.
  • the gas distribution system 65 can comprise gas outlets 85 located peripherally around the substrate 25 (as shown), or a showerhead mounted on the ceiling of the chamber 30 with outlets therein (not shown) .
  • a second gas supply system 200 provides cleaning gas to the chamber 30 via an electronically operated valve 205.
  • Spent process gas and etchant byproducts are exhausted from the process chamber 30 through an exhaust system 90 (typically including a 1000 liter/sec roughing pump) capable of achieving a minimum pressure of about 1 0 "3 mTorr in the chamber 30.
  • a throttle valve 95 is provided in the exhaust 90 to control the flow of spent process gas and the pressure of process gas in the chamber 30.
  • An asymmetric pumping channel 100 is used to pump gases out of the chamber 30 to provide a more symmetrical and uniform distribution of gaseous species around the surface 105 of the substrate.
  • a plasma is generated from the process gas introduced into the chamber 30, using a plasma generator 1 10 that couples an electromagnetic field into the gas in the process zone of the chamber.
  • process gas can be energized or activated in a remote chamber and the energized or activated process gas can be introduced into the chamber 30 from the remote chamber.
  • a suitable plasma generator 1 10 comprises an inductor antenna 1 15 consisting of one or more inductor coils having a circular symmetry with a central axis coincident with the longitudinal vertical axis that extends through the center of the process chamber 30 and perpendicular to the plane of the substrate 25.
  • the inductor antenna 1 15 comprises solenoid coils having from 1 to 10 turns, and more typically from 2 to 6 turns.
  • the arrangement and number of solenoid coils are selected to provide the desired product of current and antenna turns (d/dt)(N » l) near the ceiling 55 to provide a strong inductive flux linkage with close coupling to the plasma and therefore greater plasma ion density in the plasma zone adjacent to the substrate 25, as described in U.S. patent application no. 08/648,254, which is incorporated herein by reference.
  • the ceiling of the chamber 30 comprises dielectric material which is transparent to electromagnetic fields, such as a slab of machined silicon dioxide or tiles of silicon or silicon dioxide bonded to one another to provide a curved shape.
  • the inductor coil 1 15 wrapped around the sidewall 45 of the process chamber 30 is a multiradius dome-shaped inductor coil having a "flattened" dome shape that provides increased plasma ion density directly over the substrate center 60 because ion density is affected by local ionization near the inductor coil 1 15, and a multiradius inductor coil is closer to the substrate center 60 than a hemispherical coil.
  • the ceiling 55 comprises a multiradius dome having at least a center radius R and a corner radius r, wherein r is less than the center radius R and R/r is from about 2 to about 1 0.
  • the frequency of the RF voltage applied to the inductor antenna 1 15 is typically about 50 KHz to about 60 MHz, and more typically about 1 3.56 MHz; and the power level of RF voltage applied to the antenna is about 100 to about 5000 Watts.
  • the plasma formed in the plasma zone can also be enhanced using magnetically enhanced reactors, in which a magnetic field generator (not shown), such as a permanent magnet or electromagnetic coils, are used to apply a magnetic field in the plasma zone to increase the density and uniformity of the plasma.
  • the magnetic field comprises a rotating magnetic field with the axis of the field rotating parallel to the plane of the substrate, as described in U.S. Patent No. 4,842,683, issued June 27, 1 989, which is incorporated herein by reference.
  • the magnetic field in the chamber should be sufficiently strong to increase the density of the ions formed in the plasma, and sufficiently uniform to reduce charge-up damage to features such as CMOS gates.
  • the magnetic field as measured on the surface of the substrate is less than about 500 Gauss, more typically from about 10 to about 100 Gauss, and most typically from about 10 Gauss to about 30 Gauss.
  • one or more process electrodes can be used to accelerate or energize the plasma ions in the chamber 30.
  • the process electrodes include a first electrode forming a wall of the process chamber 30, such as the ceiling 55 and/or sidewalls 45 of the chamber.
  • the first electrode is capacitively coupled to a second electrode below the substrate 25.
  • An electrode voltage supply supplies an RF potential that maintains the first and second electrodes at different electrical potentials relative to one another.
  • the enclosed chamber 30 comprises one or more ceramic surfaces that serve different functions.
  • the walls 45, 50, 55 of the process chamber are coated with or made from a ceramic material, such as boron carbide, boron nitride, silicon, silicon oxide, silicon carbide, or silicon nitride, to protect the walls from chemically erosion in particular etchant gas compositions.
  • boron carbide is useful for protecting sidewalls 45 of chambers from erosion in fluorinated gaseous environments.
  • sapphire (aluminum oxide) gas distribution plates can be used to release process gas into the chamber 30.
  • the etchant residues are difficult to remove, because they can react with some of the ceramic surfaces in the chamber 30 (for example, the surface of the monolithic ceramic member 135 which has highly reactive surface functional groups) such as aluminum oxide, aluminum nitride, silicon, and silicon oxide ceramic surfaces.
  • the ceramic surfaces in the chamber 30 for example, the surface of the monolithic ceramic member 135 which has highly reactive surface functional groups
  • aluminum oxide or nitride surfaces when exposed to oxygen or moisture form AI-OH " surface functional groups that chemically react with the etchant residue to form a hard adherent coating on the chamber surfaces or components.
  • a monolithic ceramic member 135 having a ceramic receiving surface 140 for receiving a substrate 25 thereon.
  • Suitable ceramic materials include one or more of aluminum oxide, aluminum nitride, boron carbide, boron nitride, silicon, silicon oxide, silicon carbide, silicon nitride, and titanium oxide.
  • the second electrode can be embedded in the ceramic member 135 so that ceramic material completely surrounds the second electrode to form a unitary monolithic ceramic member.
  • the second electrode is fabricated from an electrical conductor metal such as tungsten, tantalum or molybdenum.
  • the embedded second electrode is the conductor to which a "hot" RF potential is applied, with the other electrodes in the chamber 30 maintained at different potentials, including electrical ground or floating potentials, relative to the second electrode. Because it is embedded in the unitary ceramic member 135, the second electrode does not have to be electrically isolated from the chamber 30 by additional insulator shields, thereby reducing the parasitic capacitance impedance loads in the chamber 30 that would otherwise result between the second electrode and the grounded chamber walls 45, 50.
  • An electrostatic chuck 145 which can be the second electrode, generates an electrostatic charge for electrostatically holding the substrate 25 to the receiving surface 140 of the ceramic member 135 using a DC chucking voltage applied through an electrical conductor inserted through the ceramic member 135 to connect to the electrode 125.
  • the first and second electrodes are electrically biased relative to one another by an electrode voltage supply that includes an AC voltage supply for providing a plasma generating .
  • the AC voltage supply provides an RF generating voltage having one or more frequencies from 1 3.56 MHz to 400 KHz to form a capacitively coupled plasma in the chamber 30.
  • the power level of the RF bias current applied to the electrode is typically from about 50 to about 3000 Watts.
  • a separate DC voltage from the voltage supply 165 is applied to the electrode 125 to form an electrostatic field that holds the substrate 25 to the chuck 145.
  • the RF power can be coupled to a bridge circuit and an electrical filter to provide DC chucking power to the electrode 125.
  • the substrate 25 comprises, for example, a semiconductor material, such as a silicon or gallium arsenide wafer, with a plurality of layers comprising, for example, an underlayer of silicon dioxide that functions as a gate oxide layer for MOS transistors, and an overlayer of polycide, which is a combination of tungsten silicide, cobalt silicide, and underlying polysilicon layers.
  • the metal silicide layer on the substrate 25 comprises, for example, tungsten, tantalum, titanium, or molybdenum suicides.
  • a polysilicon layer is below the metal silicide layer and over a silicon dioxide layer.
  • a patterned mask layer such as photoresist, or a silicon dioxide or silicon nitride hard mask, is formed on the substrate 25.
  • the exposed portions of the substrate between the mask layer are etched to form features, such as for example, contact holes for fabricating gate electrodes for MOS transistors; polycide interconnecting features that are typically used as gate electrodes; and vias which are multilayer metal structures used to electrically connect two or more electrically conductive layers separated by insulating silicon oxide/nitride layers.
  • the substrate comprises silicon nitride layers or silicon oxide layers on a silicon (doped or undoped) substrate.
  • a substrate 25 is transferred using a robot arm 170 from a load-lock transfer chamber through a slit valve and into the chamber 30.
  • a lift finger assembly (not shown) has lift fingers that extend through lift finger openings in the chuck 145 to receive or lift the substrate 25 off the chuck 145.
  • the robot arm 170 places the substrate 25 on the tips of the lift fingers (not shown) that are elevated by the pneumatic lift mechanism 180 to extend about 2 to 5 centimeters above the surface of the chuck 145.
  • the pneumatic mechanism under the control of a computer system, lowers the substrate 25 onto the electrostatic chuck 145, and helium is supplied through apertures 175 in the chuck to control the temperature of the substrate 25.
  • a process gas comprising etchant gas for etching the substrate is introduced into the chamber 30 through the gas outlet 85, and the chamber is typically maintained at a pressure ranging from about 0.1 to about 400 mTorr.
  • Suitable etchant gases for etching layers on the substrate 25, include for example, HCI, BCI 3 , HBr, Br 2 , Cl 2 , CCI 4 , SiCI 4 , SF 6 , F, NF 3 , HF, CF 3 , CF 4 , CH 3 F, CHF 3 , C 2 H 2 F 2 ,
  • the etchant gas is selected to provide high etch rates, and highly selective etching of the particular layers that are being etched. When multiple layers are sequential etched, first, second, third, etc., etchant gas compositions are sequentially introduced into the chamber to etch each particular layer. Referring to Figure 2, a plasma is energized from the etchant gas using the plasma generator 1 10 by inductively and/or capacitively coupling energy into the chamber 30.
  • the plasma can be formed by applying an RF source current to the inductor antenna 1 15 encircling the chamber 30, and applying an RF bias voltage to the electrodes.
  • the etchant gas ionizes in the applied electric field to form ions and neutrals that etch the layer on the substrate 25 to form volatile gaseous species that are exhausted from the chamber 30.
  • the present process allows etching of one or more layers on a substrate 25 and simultaneous cleaning of the plasma etching chamber 30 in which the etching process is performed, without stopping the etching process.
  • a cleaning gas is added to the etchant gas in a volumetric ratio selected so that the etching residue formed in any one of the etching stages; or the residue formed in all of the etching stages is substantially entirely removed during the etching process.
  • the etchant gas comprises one or more of Cl 2 , N 2 , 0 2 , HBr, or He-0 2 ; and the cleaning gas comprises one or more of NF 3 , CF 4 , or SF 6 . It has been discovered that combinations of these gases provide unique and unexpected etching and cleaning properties.
  • the volumetric flow ratio of cleaning gas to etchant gas is selected to remove the etchant residue generated from processing at least 2000 to at least 3000 substrates 25 without performing a separate cleaning step for cleaning the chamber 30 in between processing of the substrates.
  • a suitable volumetric flow ratio of cleaning gas to etchant gas is from about 1 :20 to about 1 : 1 , and more preferably from about 1 : 10 to about 2:3, and most preferably about 2:3. It has been discovered that at these volumetric flow ratios of cleaning gas to etchant gas, substantially all the etchant residues on the chamber surfaces 45, 50, 55 are removed without eroding the chamber surfaces.
  • the chamber surfaces are cleaned and conditioned by the etchant and cleaning gas combination step, without requiring a separate chamber conditioning or seasoning step.
  • the synergism of etching the substrate 25 and simultaneously removing the etching residues from the surfaces in the chamber 30 (without terminating the etching process or requiring separate cleaning or conditioning steps) provides significantly improved process stability from substrate to substrate, and increased process throughput.
  • a substrate 25 comprising metal silicide or silicon is etched using a plasma of process gas comprising Cl 2 , N 2 , and CF 4 , and optionally 0 2 , in a volumetric flow ratio selected to remove substantially all the etchant residue formed on the chamber surfaces upon completion of the etching process.
  • the volumetric flow ratio of Cl 2 , N 2 , and CF 4 is selected to remove substantially all the etchant residue generated by etching at least 2000 substrates 25 in the chamber 30, without performing a separate cleaning step for cleaning the chamber.
  • the ratio of the volumetric flow ratio of CF 4 : (Cl 2 + N 2 ) may be from about 1 :20 to about 1 : 1 .
  • the process uses a process gas comprising Cl 2 , N 2 , and SF 6 , the volumetric flow ratio of SF 6 : (Cl 2 + N 2 ) being from about 1 :20 to about 1 : 1 .
  • a suitable process gas comprises Cl 2 , N 2 , and NF 3 , the volumetric flow ratio of NF 3 : (Cl 2 + N 2 ) being from about 1 :20 to about 1 : 1 .
  • a burst of cleaning gas is introduced into the etching chamber 30 for a short burst of time to treat and condition the chamber surfaces, while the substrate
  • Process gas comprising etchant gas is introduced into the chamber 30, and the composition of the process gas is adjusted to the desired etchant gas composition to etch one or more of the different layers of material on a substrate.
  • a short burst of cleaning gas is added to the process gas while the substrate is still being etched.
  • the burst of cleaning gas can be added to the etchant gas used to etch a polysilicon layer (during the main etch of the polysilicon layer) and terminated before the polysilicon overetching step.
  • the burst of activated cleaning gas provides a larger number of highly reactive cleaning species that removes the freshly deposited etchant residues before they can chemically adhere to the chamber surfaces.
  • a first etchant gas composition can generate a first etchant residue that is weakly adhered to the chamber surfaces or that has a chemically soft and reactive composition; however, when second etchant gas is introduced into the chamber, the second etchant gas can react with the first etchant residue and make it a chemically hard sticking residue.
  • the short burst of cleaning gas is introduced into the chamber during the first stage of the etch process, to remove the freshly generated etchant residue before it is chemically changed by exposure to another chemical gas composition.
  • a high flow rate burst and quick removal of the cleaning gas from the chamber 30 also reduces the possibility of the cleaning gas combining to form other chemical species that erode the chamber surfaces and components. This mechanism is further aided by the high flow rates of the burst of gas which flush out reaction byproducts from the chamber 30.
  • the burst of activated cleaning gas may be introduced into the etching chamber at a flow rate F R equivalent to a rate of at least about 40 to about 200 seem for an etching chamber 30 having a volume of about 40,000 cm 3 for a time period of about 5 to about 1 00 seconds to clean the etchant residue, substantially without eroding the walls 45, 50, 55 and components in the chamber 30.
  • a pneumatic lifting apparatus 180 raises lift pins through the electrostatic chuck 145 to raise the substrate 25 and a robotic transport arm is inserted between the substrate 25 and the chuck to lift the substrate off the lift pins. Thereafter, the lift pins are retracted into the chuck 145, and the robotic arm transports the first substrate 25 out of the etching chamber 30 and into a transfer chamber maintained in a vacuum environment.
  • multiple stages are used to etch multiple layers on the substrate, and the cleaning gas is introduced in at least one of the stages to remove the etchant residue deposited on the chamber surfaces in one or more of the multiple etching steps, as illustrated in Figure 3.
  • This process is useful for etching, for example, polycide structures that comprise multiple layers of tungsten silicide and polysilicon layers or for etching silicon nitride layers or silicon dioxide layers on silicon wafers.
  • the present process is illustrated in the context of etching such layers, it should be understood that the process can be used to etch other layers such as metal layers and silicon dioxide layers. Thus, the present process should not be limited to the examples and illustrative methods provided herein.
  • the multi-stage process comprises a plurality of etching stages.
  • a first etchant gas is introduced into the process chamber 30, and a plasma is formed from the first etchant gas to etch the first layers on the substrate 25 (for example, a tungsten silicide layer) .
  • the first or tungsten silicide layer is typically etched using a first etchant process gas comprising chlorine-containing gases, for example a mixture of chlorine and nitrogen, and optionally oxygen.
  • the volumetric flow ratio of chlorine to nitrogen is about 1 :3 to about 1 : 1 , and more preferably about 2:3.
  • the pressure in the chamber 30 is maintained at 1 to 10 mTorr, the inductor coil source power level at 200 to 800 Watts, and the process electrodes R.F. bias power level at 20 to 1 20 Watts.
  • a second etchant gas is introduced into the chamber 30, and a plasma is formed to etch the second layers (for example a polysilicon layer below the tungsten silicide layer) after the first layer has completed etching.
  • the second or polysilicon layer can be etched in more than one etching process step, with the etchant gas composition being changed during each etching step.
  • Suitable second etchant gas compositions comprise Cl 2 in a flow rate of from 20 to 1 20 seem, HBr at about 80 to 240 seem, and He-0 2 at about 2 to 10 seem.
  • the chamber pressure is from about 25 to 200 mTorr.
  • the power level of the source current applied to the inductor antenna 1 1 5 is from about 200 to 800 Watts, and the bias RF power level of the voltage applied to the process electrodes , is from about 20 to 1 20 Watts.
  • a cleaning gas is added to the etchant gas in a volumetric ratio selected so that the etching residue formed in any one of the stages is substantially entirely removed during the etching process.
  • the etchant residue formed in the first and second stages typically comprises polymeric organic compounds containing halogen, carbon, hydrogen, and oxygen.
  • the etchant residue comprises predominantly silicon dioxide compounds formed during etching of both the tungsten silicide and polysilicon layers on the substrate 25.
  • the composition of etchant residue can vary from the first to the second layer, the first etchant residue composed more of W and Si species, and the second etchant residue composed more of Si and 0 species.
  • the cleaning gas is added to the process gas in the first or second stage, in a volumetric ratio suitable for removing the first etchant residue formed in the first etching stage in which the tungsten silicide layer was etched, as well as the second etchant residue formed during the second etching stage in which the polysilicon layer was etched.
  • a suitable volumetric flow ratio of cleaning gas to etchant gas is from about 1 : 1 0 to about 2:3.
  • a cleaning gas comprising CF 4 at a flow rate of 80 seem was added to provide a volumetric ratio of cleaning gas to first etchant gas of about 2:3.
  • at these volumetric flow ratios of cleaning gas to etchant gas substantially all the etchant residues on the chamber surfaces (which are formed during the different stages of the etching process) are removed without eroding the chamber surfaces.
  • the chamber surfaces are cleaned and conditioned by the etchant and cleaning gas combination, without requiring a separate seasoning or conditioning process step.
  • the chamber treatment process of the present invention has been found to uniformly remove etchant residues, irrespective of their thickness or chemical composition, during performance of an etching process.
  • Prior art cleaning processes particularly those performed by an operator, often fail to uniformly clean and remove the etchant residue deposits formed on chamber surfaces.
  • Build-up of etchant deposits on the chamber surfaces result in flaking off the etchant deposits and contamination of the substrate 25 etched in the chamber.
  • the cleaning gas also results in much less erosive damage to the chamber compared to conventional in-situ plasma cleaning steps, because of the reduced energy levels of the plasma in the etching chamber. This was difficult to accomplish in prior art processes, in which high powered plasmas used to remove the residue deposits also resulted in extensive erosion of chamber surfaces and components. By reducing the need to replace chamber components, the cost of operating the chamber 30 and the cost per substrate 25 are significantly reduced. Furthermore, the activated cleaning gas can be used to efficiently clean an chamber
  • the cleaning process is expected to increase chamber lifetimes by a factor of at least 2, and also increase substrate yields by reducing the deposition of flaked-off etchant byproducts onto the substrate.
  • the cleaning process removes chemically-adhered etchant deposits from the surfaces in the chamber 30, and restores the original chemical reactivity and surface functional groups of these surfaces, without requiring a chamber conditioning process after the cleaning process.
  • the cleaning and treatment process is also particularly useful for cleaning etchant residue that is strongly adhered to, or chemically reacted with chamber surfaces.
  • the cleaning gas is effective at treating and reconditioning these ceramic surfaces to provide surface chemistries and surface functional groups that are chemically compatible with the etching process.
  • the conditioned ceramic surfaces provide more reproducible etching properties in the chamber 30, than that provided by alternative chamber cleaning processes, such as wet cleaning or RIE cleaning processes. The significantly improved reproducibility of etching processes performed in the chamber 30 is highly desirable.
  • multiple layers of different materials on a substrate 25 are etched in an etching chamber 30, and the etchant residue formed on the internal surfaces of the etching chamber are removed or cleaned by a multiple step cleaning process.
  • the multiple layers may be etched utilizing the chamber of Figure 2 and the etching gas may include for example, HCI, BCI 3 , HBr, Br 2 , Cl 2 , CCI 4 , SiCI 4 , SF 6 , F, NF 3 , HF, CF 3 , CF 4 , CH 3 F, CHF 3 , C 2 H 2 F 2 , C 2 H 4 F 6 , C 2 F 6 , C 3 F 8 , C 4 F 8 , C 2 HF 5 , C 4 F 10 , CF 2 CI 2 , CFCI 3 , 0 2 , N 2 , He, and mixtures thereof.
  • the layers being etched comprise, for example, mask or other hard layers overlying a number of silicon-containing layers - by which it is meant a layer composed of any material containing the element silicon including elemental silicon and all its compounds thereof.
  • the substrate 25 typically comprises a semiconductor material, such as silicon or gallium arsenide wafer, with an exemplary set of layers comprise, for example, an underlayer of silicon dioxide that functions as a gate oxide layer for
  • MOS transistors and a polycide overlayer comprising a combination of metal silicide and silicon-containing layers.
  • the metal silicide layer comprises, for example, tungsten, tantalum, titanium, or molybdenum silicide.
  • a mask layer comprising photoresist or hard mask such as, for example, silicon dioxide or silicon nitride, is formed on the substrate 25. In the etching process, the mask layer is etched in the etching chamber 30, then the metal silicide layer is etched in the same chamber, thereafter a main and overetch etch step for etching polysilicon is also performed in the same chamber.
  • a conventional process sequence for etching the multiple layers includes a mask etching step, a tungsten silicide etching step, and main and overetching steps for etching the polysilicon layer, as illustrated in Table 1 . These process steps are followed by a dechucking step in which a plasma of nitrogen (which is non-reactive to the multi-layer residue deposit) is used to assist in dechucking the substrate from the electrostatic chuck.
  • the nitrogen based plasma provides opposite charges, for example an electronegative charge, which neutralize the electrostatic charge formed on the substrate allowing the substrate to be easily removed from the chuck.
  • the substrate comprised a silicon wafer containing a 1 000 A gate oxide layer, 1 000 A polysilicon layer, 1 200 A tungsten silicide layer, a 2400 A silicon nitride mask layer, and an overlying 8000 A l-line photoresist layer.
  • a fluorocarbon based polymer residue 310 is deposited on the chamber surfaces.
  • a layer of silicon dioxide 320 is deposited over the fluorocarbon based polymer deposit 310
  • This layer of silicon dioxide 320 ( 100-200 A) prevents the cleaning gas from reaching or chemically attacking the underlying polymer residue 310.
  • the multi-step etching process creates a multilayer residue that is difficult to remove by conventional cleaning processes.
  • the sandwich-type multilayer deposit is prone to flaking and depositing contaminants on the substrate during the etching process, especially because the coefficient of thermal expansion of each of the layers can often differ widely from one another.
  • a sandwich-type deposit was formed on the internal surfaces of the chamber typically had a total thickness of from about 0 to about 10 microns.
  • the multiple step cleaning process comprises multiple cleaning stages, each cleaning stage being tailored to remove one of the layers of the residue. For example, if the residue comprises a first layer of silicon-containing material, such as a layer comprising predominantly silicon dioxide, the first cleaning gas comprises primarily silicon or silicon dioxide removing gases, or gases that suppress the formation of the silicon-containing layer. Thereafter, the second layer of residue, such as a layer of fluorocarbon polymer residue is removed using a second cleaning gas comprising primarily fluorocarbon polymer removing species.
  • the two stage cleaning process is far more effective at providing a clean internal surface of the chamber than a single stage cleaning process.
  • the silicon nitride layer was first etched through to form a patterned hard mask layer using an overlying photoresist layer.
  • the exemplary process utilizes a plasma of 45 seem CF 4 , 1 30 seem He, and 20 seem CH 2 F 2 to etch the mask layer, for example a nitride-containing mask layer, in a process chamber at 4 mTorr pressure with a source power of 950 Watts and a bias power of 300 Watts.
  • an energized gas comprising a combination of etching gas and first cleaning gas was used to both etch a layer on the substrate, such as the metal silicide layer, and suppress the deposition of the silicon dioxide containing etchant residue on the chamber surfaces.
  • the cleaning gas is added to the etchant gas in a volumetric flow ratio selected to suppress the deposition of silicon dioxide onto the polymer deposit formed by the earlier etching stage in which the mask layer comprising silicon nitride is etched. It has been found that the silicon dioxide residue deposited during subsequent etching steps is sufficiently thin to allow a subsequent cleaning stage to remove both the remaining silicon dioxide containing material as well as the fluorocarbon material of the remaining residue.
  • the metal silicide layer can be etched with an etching gas comprising one or more of Cl 2 , N 2 , 0 2 , HBr, and He-0 2 , and more preferably Cl 2 and N 2 .
  • the cleaning gas can comprise one or more of CF 4 , SF 6 , and NF 3 , and more preferably CF 4 .
  • the volumetric flow ratio of etching gas to cleaning gas is selected to adequately etch the desired features in the layer and to suppress the deposition of silicon dioxide 320 onto the deposition of residue from the mask etch 310.
  • the etching gas to cleaning gas volumetric flow ratio is about 1 : 1 to about 1 :20, and is more preferably about 3:2.
  • the process gas comprises 80 seem Cl 2 , 80 seem CF 4 , and 40 seem N 2 .
  • the source power is from about 200 and about 800 Watts, and is more preferably about 600 Watts.
  • the bias power is from about 20 Watts to about 1 20 Watts, and is more preferably about 60 Watts.
  • the pressure in the chamber is from about 1 mTorr to about 50 mTorr and more preferably is about 4 mTorr.
  • the polysilicon layer is etched in two stages including a main etching stage and an overetching stage.
  • the main etching stage is performed using a plasma of process gas comprising 20 seem Cl 2 , 90 seem HBr, and 4 seem He-0 2 ; a pressure of 4 mTorr, 270 Watts source power, and 40 Watts bias power.
  • the over etch is performed using a process gas comprising 50 seem HBr and 3 seem He-0 2 and optionally Cl 2 in a chamber maintained at a pressure of 50 mTorr and 500 Watts source power.
  • an oxygen containing plasma can be used to remove the remaining silicon dioxide containing and fluorocarbon based polymer residue 310 remaining on the walls and surfaces of the chamber.
  • the oxygen plasma cleaning step is performed after etching or otherwise processing the last residue creating layer on the substrate, such as the polysilicon over-etching step.
  • an oxygen-containing gas such as an oxygen species generating gas, oxygen or ozone is introduced into the chamber, and a plasma is sustained by applying a current at a power level of 500 Watts to the inductor coil.
  • the oxygen flow is maintained at about 95 seem and at a gas pressure of 1 5 mTorr.
  • the oxygen plasma cleans off substantially all the residue remaining on the chamber surfaces including a relatively thin layer of silicon dioxide residue.
  • the removal of the underlying polymer deposit 310 is much more difficult if the deposit is covered by and partially protected from the cleaning gas by a thick overlying layer of silicon dioxide residue 320.
  • the oxygen plasma also serves to remove residual charge in the chamber to dechuck the substrate when the substrate is electrostatically held on an electrode in the chamber by providing an opposite charge, for example an electronegative charge.
  • the cleaning gas used to clean the residue from the walls and surfaces of the chamber can also comprise other gas compositions that clean a combination of the fluorocarbon polymer residue 310 and silicon dioxide containing residue 320.
  • the cleaning gas can also comprise oxygen at a pressure from about 1 mTorr to about 100 mTorr in a plasma sustained at a source power from about 200 Watts to about 1000 Watts.
  • the process gas comprises 95 seem 0 2 at 1 5 mTorr pressure and the plasma is formed at a 500 Watts source power level.
  • Figure 5 is a schematic graph illustrating the compositional profile of the etchant residue that is deposited on the walls of the chamber by the method of the present invention during the process steps of etching of the nitride mask 351 , the metal silicide etch 352, the polysilicon layer main etch 353, the polysilicon over etch 354, and the oxygen gas cleaning step.
  • the data in Figure 5 was obtained using the same type of substrate as that used for the data in Figure 4. Comparing Figures 4 and 5, it is apparent that the present process significantly improves on the former process by eliminating a 600-700 A thick residue that was previously formed on the chamber surfaces after substrate processing.
  • the metal silicide etch step 352 has been discovered to deposit little or no silicon dioxide 320 over the polymer deposit 310.
  • the subsequent steps 353, 354 deposit a much thinner silicon dioxide layer 320 than the conventional process. This thinner layer of silicon dioxide 320 allows the cleaning gas to attack the polymer deposit 310 and clean the chamber.
  • a substantially clean chamber awaits further use, and the chamber can often be re-used without any further manual cleaning of its internal surfaces.
  • the thickness of the deposition layer is made substantially constant; however, towards the end of the polysilicon overetching step, a net thickness of silicon oxide is being deposited. Although a small amount of silicon oxide is deposited to form the sandwiched layer, this amount is much smaller than the amount deposited in the prior art process by a factor of over about 2.
  • Figure 6 shows both the deposition and removal rate of a residue layer formed on the surface of a bare silicon wafer.
  • the residue layer was formed by exposing the substrate to a process gas comprising 45 seem CF 4 , 1 30 seem He, 20 seem CH 2 F 2 , a bias power of 350 Watts, and a cathode temperature of 65°C. It is seen that the residue deposition is relatively constant and from about 75 to 1 25 ⁇ per minute.
  • the polymer residue deposited on the bare silicon wafer was thereafter removed by the following process conditions: an oxygen flow rate of 95 seem, a gas pressure of 1 5 mTorr, a source power of 500 Watts, no bias power, and a 65°C cathode temperature.
  • the removal rate as shown in the graph was much higher, on the order of 350 A/minute.
  • the much higher efficiency of the oxygen containing cleaning step - as compared to the rate of deposition of the residue - indicates that the residue can be rapidly and efficiently removed by the present process and without excessively reducing the down-time of the substrate processing apparatus.
  • the rate of removal of the residue deposited on specimens 2 and 3 ranged from 50 A to about 350 A.
  • removal of the silicon oxide layer increases the rate of removal of the polymer residue by approximately 6 to 8 times, which is a significantly and unexpectedly higher rate of removal.
  • reducing the thickness of silicon dioxide containing residue formed over the polymer residue - from about 385 A to about 1 30 A - resulted in a net change in the average rate of removal of the residue from about 100 A/min to 200 A per minute, or twice as fast. This provided a significant improvement in the rate of removal of the residue which was wholly unexpected.
  • Figure 8 shows the rate of removal of residue for increasing power levels applied to the inductor coil.
  • the process conditions included an oxygen flow rate of 95 seem, a pressure of 1 5 mTorr, a variable amount of source power, 0 Watts bias power, and a cathode wall temperature of 65°C. It is seen that as the source power is increased from 400 Watts to about 750 to 800 Watts, the rate of removing residue remains constant at about 320 A/minute. However, at a source power level of from about 800 to about 900 Watts, the rate of residue removal is significantly improved and increases from 350 A to 1 200 A/minute. Thus, it has also been discovered in another aspect of the invention, that the source power level should be maintained at a high level. The selected source power level depends upon the composition of the process gas, the gas pressure, and is typically at least about 700 Watts. Residue removal rates exceeding about 600 A/minute have been obtained at these power levels.
  • a quartz crystal microbalance (QCM) was used to determine the amounts of etchant residue being deposited onto the chamber surfaces during the etching process.
  • the quartz crystal microbalance comprises a piezoelectric plate that changes in capacitance when a film of etchant residue is deposited thereon as described in U.S. Patent Application Serial Number 09/1 1 6,621 , the disclosure of which is incorporated herein by reference In making the presently disclosed measurements, the microbalance was mounted on an internal surface of the process chamber and its leads were connected to a computer outside the process chamber.
  • the present invention has been described with reference to certain versions thereof; however, other versions are possible.
  • the treatment and cleaning process of the present invention can be used for treating chambers for other applications, as would be apparent to one of ordinary skill.
  • the process can be applied, as would be apparent to one of ordinary skill in the art, to treat sputtering chambers, ion implantation chambers, or deposition chambers, or in combination with other cleaning processes. Therefore, the spirit and scope of the appended claims should not be limited to the description of the versions contained herein.

Abstract

A process for etching multiple layers (22, 24) on a substrate (25) in an etching chamber (30) and cleaning a multilayer etchant residue formed on the surface of the walls (45) and components of the etching chamber (30). In multiple etching steps, process gas comprising different compositions of etchant gas is used to etch layers on the substrate (25) thereby depositing a compositionally variant etchant residue inside the chamber (30). In one cleaning step, a first cleaning gas is added to the process gas to clean a first residue or to suppress deposition of the first residue onto the chamber surfaces. In a second cleaning step, another residue composition is cleaned off the chamber surfaces using a second cleaning gas composition. The process is particularly advantageous for etching of metal silicides (22) or polysilicon (24) using chlorine or bromine chemistry, whereby fluorides like CF4, NF3 or SF6 are added as first cleaning gas, and oxygen is used as second cleaning gas.

Description

MULTIPLE STAGE CLEANING FOR PLASMA ETCHING CHAMBERS
CROSS-REFERENCE
This application is a continuation-in-part of application Serial No. 08/969,1 22, filed November 1 2, 1997, which is incorporated herein by reference.
BACKGROUND
The present invention relates to a method of cleaning chambers that are used in the processing of substrates.
In the manufacture of integrated circuits, materials such as silicon dioxide, silicon nitride, polysilicon, metal, metal suicide, and monocrystalline silicon, that are deposited or otherwise formed on a substrate, are etched in predefined patterns to form gates, vias, contact holes, trenches, and/or interconnect lines. In the etching process, a patterned mask composed of silicon oxide or silicon nitride (hard mask) or photoresist polymer, is formed on the substrate by conventional photolithographic methods. The exposed portions of the underlying material that lie between the features of the patterned mask are etched by capacitive or inductively coupled plasmas of etchant gas. During the etching processes, etchant residue (often referred to as a polymer) deposits on the walls and other component surfaces inside the etching chamber. The composition of the etchant residue (residue from the etch process) depends upon the chemical composition of vaporized species of etchant gas, the material being etched, and the mask layer on the substrate. For example, when tungsten suicide, polysilicon or other silicon-containing layers are etched, siiicon- containing gaseous species are vaporized or sputtered from the substrate; similarly, etching of metal layers results in vaporization of metal species. In addition, the mask layer on the substrate is also partially vaporized by the etchant gas to form gaseous hydrocarbon or oxygen species. The vaporized and gaseous species condense to form etchant residue comprising polymeric byproducts composed of hydrocarbon species from the resist; gaseous elements such as fluorine, chlorine, oxygen, or nitrogen; and elemental silicon or metal species depending on the composition of the substrate being etched. The polymeric byproducts deposit as thin layers of etchant residue on the walls and components in the chamber. The composition of the etchant residue typically varies considerably across the chamber surface depending upon the composition of the localized gaseous environment, the location of gas inlet and exhaust ports, and the geometry of the chamber.
The compositional variant, non-homogeneous, etchant residue formed on the etching chamber surfaces has to be periodically cleaned to prevent contamination of the substrate. Typically, after processing of about 25 wafers, an in-situ plasma "dry-clean" process is performed in an empty etching chamber to clean the chamber.
However, the energetic plasma species rapidly erode the chamber walls and chamber components, and it is expensive to replace these parts and components. Also, erosion of the chamber surfaces can result in instability of the etching process from one wafer to another. The relatively thin and compositionally variant etchant residue can also make it difficult to stop the in-situ plasma clean process immediately after ail of the etchant residue is removed - which results in erosion of the underlying chamber surfaces. Also, it is difficult to clean-off the chemically hard residue deposited at portions of the chamber surfaces without entirely removing chemically softer residues at other portions of the chamber and eroding the underlying chamber surfaces. For example, the etchant residue formed near the chamber inlet or exhaust often has a higher concentration of etchant gas species than the residue formed near the substrate which typically contains a higher concentration of resist, hard mask, or of the material being etched.
It is difficult to form a cleaning plasma that uniformly etches away the compositionally different variants of etchant residue. Thus after cleaning of about 100 or 300 wafers, the etching chamber is opened to the atmosphere and cleaned in a "wet-cleaning" process, in which an operator uses an acid or solvent to scrub off and dissolve accumulated etchant residue from the chamber walls. To provide consistent chamber properties, after the wet cleaning step, the chamber and its internal surfaces are "seasoned" by pumping down the chamber for an extended period of time, and thereafter, performing a series of runs of the etch process on dummy wafers. The internal chamber surfaces should exhibit consistent chemical surfaces, i.e., surfaces having little or no variations in the concentration, type, or functionality of surface chemical groups; otherwise, the etching processes performed in the chamber produce varying etching results from one substrate to another. In the pump-down process, the chamber is pumped down to a high vacuum environment for 2 to 3 hours to outgas moisture and other volatile species trapped in the chamber during the wet clean process. Thereafter, the etch process to be performed in the chamber, is run for 10 to 1 5 minutes on a set of dummy wafers, or until the chamber provides consistent and reproducible etching properties.
In the competitive semiconductor industry, the increased cost per substrate that results from the downtime of the etching chamber during the dry or wet cleaning and seasoning process steps, is undesirable. It typically takes 5 to 10 minutes for each dry cleaning process step, and 2 to 3 hours to complete the wet cleaning processes. Also, the wet cleaning and seasoning process often provide inconsistent and variable etch properties. In particular, because the wet cleaning process is manually performed by an operator, it often varies from one session to another, resulting in variations in chamber surface properties and a low reproducibility of etching processes. Thus it is desirable to have an etching process that can remove or eliminate deposition of etchant residue on the chamber surfaces.
In semiconductor fabrication, yet another type of problem arises in the etching of multiple layers of materials that have similar constituent elements, for example, silicon-containing materials such as tungsten suicide, polysilicon, silicon nitride, and silicon dioxide. With reference to Figures 1 a and 1 b, a typical multilayer polycide structure on a semiconductor substrate 25 comprises metal suicide layers 22 deposited over doped or undoped polysilicon layers 24. The polycide layers are formed over silicon dioxide layers 26 and etched to form the etched features 29. In these multilayer structures, it is difficult to obtain a high etching selectivity ratio for etching the metal suicide layer 22 relative to the overlying resist layer 28 or the underlying polysilicon layer 24. It is desirable to have a high etching selectivity ratio for etching polycide structures that have a non-planar and highly convoluted topography. At a certain time during the etching process, the thinner metal suicide layer 22 is etched through and etching of the underlying polysilicon layer 24 begins, while the thicker metal suicide layer 22 is still being etched. Thus, it is desirable to etch the metal suicide layer 22 at a faster rate relative to the rate of etching of the polysilicon layer 24, for example. The same problem arises in the etching of a mask layer of silicon nitride 32 on a very thin silicon dioxide layer 34, prior to forming trenches in a substrate comprising silicon 36, as for example shown in Figures 1 c and 1 d. The etched trenches 38 are used to isolate active MOSFET devices formed on the substrate. The etching selectivity ratio for etching silicon nitride relative to silicon dioxide has to be very high to stop on the silicon dioxide layer 34 without etching through the layer.
High etching selectivity ratios are obtained using a process gas composition that etches the different silicon-containing materials at significantly different etching rates which depend upon the chemical reactivity of the particular process gas composition with a particular layer. However, etching metal silicide layers with high selectivity to polysilicon, or etching silicon nitride layers with high selectivity to silicon dioxide layers, is particularly difficult because both materials contain elemental silicon and most conventional etchant plasmas etch the silicon containing layers to form gaseous SiClx or SiFx species. Thus, it is difficult for the etchant plasma to chemically distinguish and preferentially etch the metal silicide layer 22 faster than the polysilicon layer 24, and the silicon nitride layer 32 faster than the silicon dioxide layer 34. This problem is further exacerbated because the etchant residue formed on the chamber sidewalls also contains silicon dioxide and attempts to remove the etchant residue during the polycide etching process can lower the etching rate or etching selectivity ratio for etching these layers.
Thus it is desirable to have an etch process that reduces formation of etchant residue in the etching chamber. It is also desirable if the etchant or cleaning gases do not to erode the exposed surfaces in the chamber. It is further desirable to have an etching or cleaning process that restores the original chemical reactivity and surface functional groups of the chamber surfaces. It is further desirable for the cleaning process to remove chemically adhered etchant residue layers having variable thickness and variant chemical compositions and reactivity without excessive erosion of underlying chamber surfaces. SUMMARY
The present invention provides a method of etching a substrate in an etching chamber and cleaning off the etchant residues formed on the chamber surfaces. By surfaces in the chamber it is meant any surface inside the chamber enclosure including the walls of the chamber itself and the surfaces of the components in the chamber, such as the gas distributor, electrostatic chuck, focus ring and other components.
In one aspect, a method of treating a chamber by cleaning etchant residue from the chamber comprises providing a first energized process gas in the chamber to clean the surfaces and then providing a second and different process gas in the chamber to further clean the surfaces.
In another aspect of the invention, a method of etching a substrate in a chamber and cleaning etchant residue from surfaces in the chamber comprises placing the substrate in the chamber and, in a first stage, providing an energized first process gas comprising substrate etching gas and a first cleaning gas. In a second stage, an energized second process gas comprising a second and different cleaning gas is provided.
In another aspect of the invention, a method of etching a substrate in a chamber and cleaning etchant residue from surfaces in the chamber comprises placing the substrate in the chamber, etching a first material on the substrate thereby depositing a first etchant residue on the surfaces in the chamber, etching a second material on the substrate while suppressing deposition of a second etchant residue onto the first etchant residue, the first etchant residue being compositionally different from the second etchant residue, and cleaning the first and second etchant residue deposits formed on the surfaces in the chamber.
In another aspect of the invention, a method of etching a substrate in a chamber and cleaning etchant residue from surfaces in the chamber comprises electrostatically holding the substrate in the chamber, providing a first energized gas comprising an etching gas to etch the substrate and a residue cleaning gas, and providing a second energized gas in the chamber to clean etchant residue deposited on the surfaces in the chamber and simultaneously remove residual charge accumulated in the substrate.
In another aspect of the invention, a method of etching a substrate in a chamber and cleaning residue that forms on surfaces in the chamber comprises placing the substrate in the chamber, etching one or more materials on the substrate using energized gas, at least one composition of the energized gas including an etching gas comprising one or more of Cl2, N2, 02, HBr and He-02 and a residue cleaning gas comprising one or more of CF4, SF6 and NF3 and cleaning the residue formed on the surfaces in the chamber using another energized gas comprising oxygen.
In yet another aspect of the invention, a method of etching a substrate in a chamber and cleaning residue formed on surfaces in the chamber, the chamber comprising an electrostatic chuck, and the method comprising transferring a substrate into the chamber and electrostatically holding the substrate on the electrostatic chuck, providing an energized gas in the chamber to etch a mask layer on the substrate thereby forming residue on the surfaces in the chamber, the residue comprising chemical species originating from the mask layer, providing another energized gas comprising etching gas comprising one or more of Cl2, N2, 02, HBr, and He-02 and residue cleaning gas comprising one or more of CF4, SF6, and NF3 in the chamber to etch material below the mask layer, and providing an oxygen containing plasma in the chamber to assist in dechucking the substrate and to clean the residue formed on the surfaces in the chamber.
In yet another aspect of the invention, a method of cleaning a chamber to remove residue from surfaces in the chamber comprises providing an energized first process gas in the chamber to clean the surfaces in the chamber, and adjusting the chamber source power to control the amount of residue removed from the surfaces. DRAWINGS
These and other features, aspects, and advantages of the present invention will be better understood from the following drawings, description and appended claims, which illustrate examples of the invention. While the description and drawings below illustrate exemplary features of the invention, it is to be understood that each of the features can be used in the invention in general, not merely in the context of the particular drawings, and the invention includes any combination of these features.
Figure 1 a is a schematic cross-section of a substrate having silicon dioxide, polysilicon, metal silicide and resist layers;
Figure 1 b is a schematic cross-section of the substrate of Figure 1 a after etching of the metal silicide and polysilicon layers;
Figure 1 c is a schematic cross-section of a silicon or polysilicon substrate having a mask layer of silicon nitride;
Figure 1 d is a schematic cross-section of the substrate of Figure 1 c after etching of trenches in the silicon or polysilicon;
Figure 2 is a sectional schematic side view of an etching apparatus of the present invention;
Figure 3 is a flowchart of the process steps used to etch substrates and simultaneously clean the surfaces of the etching chamber and its components;
Figure 4 is a graph showing the components of a multilayer etchant residue formed on internal surfaces of the chamber by a conventional process in which a substrate comprising a mask layer and underlying silicon-containing layers was etched; Figure 5 is a graph showing the components of a multilayer etchant residue formed on internal surfaces of the chamber by a process of the present invention in which a substrate comprising a mask layer and underlying silicon- containing layers was etched;
Figure 6 is a graph showing the deposition and removal rate of etchant residue formed on a bare silicon wafer;
Figure 7 is a graph showing the rate of removal of residue having different compositions and thicknesses; and
Figure 8 is a graph showing the rate of removal of residue for increasing plasma source power levels.
DESCRIPTION
An apparatus 20 suitable for etching a substrate 25 according to the present invention, as schematically illustrated in Figure 2, comprises an enclosed process chamber 30 defining a process zone for processing the substrate. A load-lock transfer area (not shown) is maintained at low pressure for holding a cassette of substrates 25. The particular embodiment of the apparatus 20 shown herein is suitable for processing of semiconductor substrates 25, is provided only to illustrate the invention, and should not be used to limit the scope of the invention. The enclosed chamber 30 has sidewalls 45 and a bottom wall 50 fabricated from any one of a variety of materials including metals, ceramics, glasses, polymers, and composite materials. The process zone defined in the etching chamber 30 comprises a volume of at least about 10,000 cm3, and more preferably about 10,000 to about 50,000 cm3 and is directly above and surrounds the substrate 25. The chamber comprises a ceiling 55 that is flat or rectangular shaped, arcuate, conical, dome-shaped, or multiradius dome shaped. The ceiling 55 is dome-shaped to provide a uniform distribution of plasma source power across the entire volume of the plasma process zone. The dome-shaped ceiling 55 is further from the substrate center 60 than a flat ceiling, and can reduce dissociated ion recombination losses near the substrate 25 to provide more uniform plasma ion density across the substrate surface than a flat ceiling. The dome ceiling 55 can be a flattened dome, conical, truncated conical, cylindrical, or other combination of such shapes, that provides a dome shaped surface above the substrate 25.
Process gas is introduced into the chamber 30 through a gas distribution system 65 that includes a process gas supply 70 and a gas flow control system that comprises a conventional computer system 75 operating a gas flow control valve 80. The gas distribution system 65 can comprise gas outlets 85 located peripherally around the substrate 25 (as shown), or a showerhead mounted on the ceiling of the chamber 30 with outlets therein (not shown) . A second gas supply system 200 provides cleaning gas to the chamber 30 via an electronically operated valve 205. Spent process gas and etchant byproducts are exhausted from the process chamber 30 through an exhaust system 90 (typically including a 1000 liter/sec roughing pump) capable of achieving a minimum pressure of about 1 0"3 mTorr in the chamber 30. A throttle valve 95 is provided in the exhaust 90 to control the flow of spent process gas and the pressure of process gas in the chamber 30. An asymmetric pumping channel 100 is used to pump gases out of the chamber 30 to provide a more symmetrical and uniform distribution of gaseous species around the surface 105 of the substrate.
A plasma is generated from the process gas introduced into the chamber 30, using a plasma generator 1 10 that couples an electromagnetic field into the gas in the process zone of the chamber. Alternatively process gas can be energized or activated in a remote chamber and the energized or activated process gas can be introduced into the chamber 30 from the remote chamber. A suitable plasma generator 1 10 comprises an inductor antenna 1 15 consisting of one or more inductor coils having a circular symmetry with a central axis coincident with the longitudinal vertical axis that extends through the center of the process chamber 30 and perpendicular to the plane of the substrate 25. The inductor antenna 1 15 comprises solenoid coils having from 1 to 10 turns, and more typically from 2 to 6 turns. The arrangement and number of solenoid coils are selected to provide the desired product of current and antenna turns (d/dt)(N»l) near the ceiling 55 to provide a strong inductive flux linkage with close coupling to the plasma and therefore greater plasma ion density in the plasma zone adjacent to the substrate 25, as described in U.S. patent application no. 08/648,254, which is incorporated herein by reference. When the inductor antenna 1 1 5 is positioned near the dome ceiling 55, the ceiling of the chamber 30 comprises dielectric material which is transparent to electromagnetic fields, such as a slab of machined silicon dioxide or tiles of silicon or silicon dioxide bonded to one another to provide a curved shape. The inductor coil 1 15 wrapped around the sidewall 45 of the process chamber 30 is a multiradius dome-shaped inductor coil having a "flattened" dome shape that provides increased plasma ion density directly over the substrate center 60 because ion density is affected by local ionization near the inductor coil 1 15, and a multiradius inductor coil is closer to the substrate center 60 than a hemispherical coil. In another embodiment, the ceiling 55 comprises a multiradius dome having at least a center radius R and a corner radius r, wherein r is less than the center radius R and R/r is from about 2 to about 1 0. The frequency of the RF voltage applied to the inductor antenna 1 15 is typically about 50 KHz to about 60 MHz, and more typically about 1 3.56 MHz; and the power level of RF voltage applied to the antenna is about 100 to about 5000 Watts.
The plasma formed in the plasma zone can also be enhanced using magnetically enhanced reactors, in which a magnetic field generator (not shown), such as a permanent magnet or electromagnetic coils, are used to apply a magnetic field in the plasma zone to increase the density and uniformity of the plasma. The magnetic field comprises a rotating magnetic field with the axis of the field rotating parallel to the plane of the substrate, as described in U.S. Patent No. 4,842,683, issued June 27, 1 989, which is incorporated herein by reference. The magnetic field in the chamber should be sufficiently strong to increase the density of the ions formed in the plasma, and sufficiently uniform to reduce charge-up damage to features such as CMOS gates. Generally, the magnetic field as measured on the surface of the substrate is less than about 500 Gauss, more typically from about 10 to about 100 Gauss, and most typically from about 10 Gauss to about 30 Gauss.
In addition to the inductor antenna 1 15, one or more process electrodes can be used to accelerate or energize the plasma ions in the chamber 30. The process electrodes include a first electrode forming a wall of the process chamber 30, such as the ceiling 55 and/or sidewalls 45 of the chamber. The first electrode is capacitively coupled to a second electrode below the substrate 25. An electrode voltage supply supplies an RF potential that maintains the first and second electrodes at different electrical potentials relative to one another.
The enclosed chamber 30 comprises one or more ceramic surfaces that serve different functions. For example, in one embodiment, the walls 45, 50, 55 of the process chamber are coated with or made from a ceramic material, such as boron carbide, boron nitride, silicon, silicon oxide, silicon carbide, or silicon nitride, to protect the walls from chemically erosion in particular etchant gas compositions. For example, boron carbide is useful for protecting sidewalls 45 of chambers from erosion in fluorinated gaseous environments. As another example, sapphire (aluminum oxide) gas distribution plates can be used to release process gas into the chamber 30. When the chamber contains ceramic surfaces, the etchant residues are difficult to remove, because they can react with some of the ceramic surfaces in the chamber 30 (for example, the surface of the monolithic ceramic member 135 which has highly reactive surface functional groups) such as aluminum oxide, aluminum nitride, silicon, and silicon oxide ceramic surfaces. For example, aluminum oxide or nitride surfaces when exposed to oxygen or moisture form AI-OH" surface functional groups that chemically react with the etchant residue to form a hard adherent coating on the chamber surfaces or components.
Another ceramic surface useful in the process chamber 30 is that of a monolithic ceramic member 135 having a ceramic receiving surface 140 for receiving a substrate 25 thereon. Suitable ceramic materials include one or more of aluminum oxide, aluminum nitride, boron carbide, boron nitride, silicon, silicon oxide, silicon carbide, silicon nitride, and titanium oxide. The second electrode can be embedded in the ceramic member 135 so that ceramic material completely surrounds the second electrode to form a unitary monolithic ceramic member. The second electrode is fabricated from an electrical conductor metal such as tungsten, tantalum or molybdenum. The embedded second electrode is the conductor to which a "hot" RF potential is applied, with the other electrodes in the chamber 30 maintained at different potentials, including electrical ground or floating potentials, relative to the second electrode. Because it is embedded in the unitary ceramic member 135, the second electrode does not have to be electrically isolated from the chamber 30 by additional insulator shields, thereby reducing the parasitic capacitance impedance loads in the chamber 30 that would otherwise result between the second electrode and the grounded chamber walls 45, 50. An electrostatic chuck 145, which can be the second electrode, generates an electrostatic charge for electrostatically holding the substrate 25 to the receiving surface 140 of the ceramic member 135 using a DC chucking voltage applied through an electrical conductor inserted through the ceramic member 135 to connect to the electrode 125.
The first and second electrodes are electrically biased relative to one another by an electrode voltage supply that includes an AC voltage supply for providing a plasma generating. RF voltage to the second electrode and a DC voltage supply 165 for providing a chucking voltage to the electrode. The AC voltage supply provides an RF generating voltage having one or more frequencies from 1 3.56 MHz to 400 KHz to form a capacitively coupled plasma in the chamber 30. The power level of the RF bias current applied to the electrode is typically from about 50 to about 3000 Watts. A separate DC voltage from the voltage supply 165 is applied to the electrode 125 to form an electrostatic field that holds the substrate 25 to the chuck 145. The RF power can be coupled to a bridge circuit and an electrical filter to provide DC chucking power to the electrode 125.
Operation of the etching chamber 30 to etch one or more of the layers on the substrate 25, and simultaneously clean the etching chamber, will now be described. The substrate 25 comprises, for example, a semiconductor material, such as a silicon or gallium arsenide wafer, with a plurality of layers comprising, for example, an underlayer of silicon dioxide that functions as a gate oxide layer for MOS transistors, and an overlayer of polycide, which is a combination of tungsten silicide, cobalt silicide, and underlying polysilicon layers. The metal silicide layer on the substrate 25 comprises, for example, tungsten, tantalum, titanium, or molybdenum suicides. A polysilicon layer is below the metal silicide layer and over a silicon dioxide layer. A patterned mask layer, such as photoresist, or a silicon dioxide or silicon nitride hard mask, is formed on the substrate 25. The exposed portions of the substrate between the mask layer are etched to form features, such as for example, contact holes for fabricating gate electrodes for MOS transistors; polycide interconnecting features that are typically used as gate electrodes; and vias which are multilayer metal structures used to electrically connect two or more electrically conductive layers separated by insulating silicon oxide/nitride layers. In another example, the substrate comprises silicon nitride layers or silicon oxide layers on a silicon (doped or undoped) substrate.
To perform the process of the present invention, a substrate 25 is transferred using a robot arm 170 from a load-lock transfer chamber through a slit valve and into the chamber 30. A lift finger assembly (not shown) has lift fingers that extend through lift finger openings in the chuck 145 to receive or lift the substrate 25 off the chuck 145. The robot arm 170 places the substrate 25 on the tips of the lift fingers (not shown) that are elevated by the pneumatic lift mechanism 180 to extend about 2 to 5 centimeters above the surface of the chuck 145. The pneumatic mechanism, under the control of a computer system, lowers the substrate 25 onto the electrostatic chuck 145, and helium is supplied through apertures 175 in the chuck to control the temperature of the substrate 25.
A process gas comprising etchant gas for etching the substrate is introduced into the chamber 30 through the gas outlet 85, and the chamber is typically maintained at a pressure ranging from about 0.1 to about 400 mTorr. Suitable etchant gases for etching layers on the substrate 25, include for example, HCI, BCI3, HBr, Br2, Cl2, CCI4, SiCI4, SF6, F, NF3, HF, CF3, CF4, CH3F, CHF3, C2H2F2,
C2H4F6, C2F6, C3F8, C4F8, C2HF5, C4F10, CF2CI2, CFCI3, 02, N2, He, and mixtures thereof. The etchant gas is selected to provide high etch rates, and highly selective etching of the particular layers that are being etched. When multiple layers are sequential etched, first, second, third, etc., etchant gas compositions are sequentially introduced into the chamber to etch each particular layer. Referring to Figure 2, a plasma is energized from the etchant gas using the plasma generator 1 10 by inductively and/or capacitively coupling energy into the chamber 30. For example, the plasma can be formed by applying an RF source current to the inductor antenna 1 15 encircling the chamber 30, and applying an RF bias voltage to the electrodes. The etchant gas ionizes in the applied electric field to form ions and neutrals that etch the layer on the substrate 25 to form volatile gaseous species that are exhausted from the chamber 30. The present process allows etching of one or more layers on a substrate 25 and simultaneous cleaning of the plasma etching chamber 30 in which the etching process is performed, without stopping the etching process. In one or more of the etch process stages, a cleaning gas is added to the etchant gas in a volumetric ratio selected so that the etching residue formed in any one of the etching stages; or the residue formed in all of the etching stages is substantially entirely removed during the etching process. The etchant gas comprises one or more of Cl2, N2, 02, HBr, or He-02; and the cleaning gas comprises one or more of NF3, CF4, or SF6. It has been discovered that combinations of these gases provide unique and unexpected etching and cleaning properties.
The volumetric flow ratio of cleaning gas to etchant gas is selected to remove the etchant residue generated from processing at least 2000 to at least 3000 substrates 25 without performing a separate cleaning step for cleaning the chamber 30 in between processing of the substrates. A suitable volumetric flow ratio of cleaning gas to etchant gas is from about 1 :20 to about 1 : 1 , and more preferably from about 1 : 10 to about 2:3, and most preferably about 2:3. It has been discovered that at these volumetric flow ratios of cleaning gas to etchant gas, substantially all the etchant residues on the chamber surfaces 45, 50, 55 are removed without eroding the chamber surfaces. In addition, it has been unexpectedly discovered that the chamber surfaces are cleaned and conditioned by the etchant and cleaning gas combination step, without requiring a separate chamber conditioning or seasoning step. The synergism of etching the substrate 25 and simultaneously removing the etching residues from the surfaces in the chamber 30 (without terminating the etching process or requiring separate cleaning or conditioning steps) provides significantly improved process stability from substrate to substrate, and increased process throughput.
In one example of the present process, a substrate 25 comprising metal silicide or silicon (i.e., polycrystalline or monocrystalline silicon), is etched using a plasma of process gas comprising Cl2, N2, and CF4, and optionally 02, in a volumetric flow ratio selected to remove substantially all the etchant residue formed on the chamber surfaces upon completion of the etching process. The volumetric flow ratio of Cl2, N2, and CF4 is selected to remove substantially all the etchant residue generated by etching at least 2000 substrates 25 in the chamber 30, without performing a separate cleaning step for cleaning the chamber. The ratio of the volumetric flow ratio of CF4 : (Cl2 + N2) may be from about 1 :20 to about 1 : 1 . In another example, the process uses a process gas comprising Cl2, N2, and SF6, the volumetric flow ratio of SF6 : (Cl2 + N2) being from about 1 :20 to about 1 : 1 . In yet another example, a suitable process gas comprises Cl2, N2, and NF3, the volumetric flow ratio of NF3 : (Cl2 + N2) being from about 1 :20 to about 1 : 1 .
A burst of cleaning gas is introduced into the etching chamber 30 for a short burst of time to treat and condition the chamber surfaces, while the substrate
25 is still being etched by the etchant gas. Process gas comprising etchant gas is introduced into the chamber 30, and the composition of the process gas is adjusted to the desired etchant gas composition to etch one or more of the different layers of material on a substrate. During the etching process, a short burst of cleaning gas is added to the process gas while the substrate is still being etched. For example, the burst of cleaning gas can be added to the etchant gas used to etch a polysilicon layer (during the main etch of the polysilicon layer) and terminated before the polysilicon overetching step. The burst of activated cleaning gas provides a larger number of highly reactive cleaning species that removes the freshly deposited etchant residues before they can chemically adhere to the chamber surfaces. Also, a first etchant gas composition can generate a first etchant residue that is weakly adhered to the chamber surfaces or that has a chemically soft and reactive composition; however, when second etchant gas is introduced into the chamber, the second etchant gas can react with the first etchant residue and make it a chemically hard sticking residue. In these processes, the short burst of cleaning gas is introduced into the chamber during the first stage of the etch process, to remove the freshly generated etchant residue before it is chemically changed by exposure to another chemical gas composition. A high flow rate burst and quick removal of the cleaning gas from the chamber 30 also reduces the possibility of the cleaning gas combining to form other chemical species that erode the chamber surfaces and components. This mechanism is further aided by the high flow rates of the burst of gas which flush out reaction byproducts from the chamber 30. The burst of activated cleaning gas may be introduced into the etching chamber at a flow rate FR equivalent to a rate of at least about 40 to about 200 seem for an etching chamber 30 having a volume of about 40,000 cm3 for a time period of about 5 to about 1 00 seconds to clean the etchant residue, substantially without eroding the walls 45, 50, 55 and components in the chamber 30.
After completion of processing, the substrate 25 is dechucked using suitable dechucking means. A pneumatic lifting apparatus 180 raises lift pins through the electrostatic chuck 145 to raise the substrate 25 and a robotic transport arm is inserted between the substrate 25 and the chuck to lift the substrate off the lift pins. Thereafter, the lift pins are retracted into the chuck 145, and the robotic arm transports the first substrate 25 out of the etching chamber 30 and into a transfer chamber maintained in a vacuum environment.
Multiple Etching Stages
In another aspect of the present process, multiple stages are used to etch multiple layers on the substrate, and the cleaning gas is introduced in at least one of the stages to remove the etchant residue deposited on the chamber surfaces in one or more of the multiple etching steps, as illustrated in Figure 3. This process is useful for etching, for example, polycide structures that comprise multiple layers of tungsten silicide and polysilicon layers or for etching silicon nitride layers or silicon dioxide layers on silicon wafers. Although the present process is illustrated in the context of etching such layers, it should be understood that the process can be used to etch other layers such as metal layers and silicon dioxide layers. Thus, the present process should not be limited to the examples and illustrative methods provided herein.
The multi-stage process comprises a plurality of etching stages. In a first etching stage, a first etchant gas is introduced into the process chamber 30, and a plasma is formed from the first etchant gas to etch the first layers on the substrate 25 (for example, a tungsten silicide layer) . The first or tungsten silicide layer is typically etched using a first etchant process gas comprising chlorine-containing gases, for example a mixture of chlorine and nitrogen, and optionally oxygen. The volumetric flow ratio of chlorine to nitrogen is about 1 :3 to about 1 : 1 , and more preferably about 2:3. The pressure in the chamber 30 is maintained at 1 to 10 mTorr, the inductor coil source power level at 200 to 800 Watts, and the process electrodes R.F. bias power level at 20 to 1 20 Watts.
In the second etching stage, a second etchant gas is introduced into the chamber 30, and a plasma is formed to etch the second layers (for example a polysilicon layer below the tungsten silicide layer) after the first layer has completed etching. The second or polysilicon layer can be etched in more than one etching process step, with the etchant gas composition being changed during each etching step. Suitable second etchant gas compositions comprise Cl2 in a flow rate of from 20 to 1 20 seem, HBr at about 80 to 240 seem, and He-02 at about 2 to 10 seem.
The chamber pressure is from about 25 to 200 mTorr. The power level of the source current applied to the inductor antenna 1 1 5 is from about 200 to 800 Watts, and the bias RF power level of the voltage applied to the process electrodes , is from about 20 to 1 20 Watts.
In one or more of the first and second stages, a cleaning gas is added to the etchant gas in a volumetric ratio selected so that the etching residue formed in any one of the stages is substantially entirely removed during the etching process. The etchant residue formed in the first and second stages typically comprises polymeric organic compounds containing halogen, carbon, hydrogen, and oxygen.
When silicon-containing layers are being etched, the etchant residue comprises predominantly silicon dioxide compounds formed during etching of both the tungsten silicide and polysilicon layers on the substrate 25. However, the composition of etchant residue can vary from the first to the second layer, the first etchant residue composed more of W and Si species, and the second etchant residue composed more of Si and 0 species. Thus the cleaning gas is added to the process gas in the first or second stage, in a volumetric ratio suitable for removing the first etchant residue formed in the first etching stage in which the tungsten silicide layer was etched, as well as the second etchant residue formed during the second etching stage in which the polysilicon layer was etched. It has been discovered that a suitable volumetric flow ratio of cleaning gas to etchant gas is from about 1 : 1 0 to about 2:3. For example, to a flow rate of first etchant gas of about 80 seem Cl2 and 40 seem N2, was added a cleaning gas comprising CF4 at a flow rate of 80 seem, to provide a volumetric ratio of cleaning gas to first etchant gas of about 2:3. It has been discovered that at these volumetric flow ratios of cleaning gas to etchant gas, substantially all the etchant residues on the chamber surfaces (which are formed during the different stages of the etching process) are removed without eroding the chamber surfaces. In addition, it has been unexpectedly discovered that the chamber surfaces are cleaned and conditioned by the etchant and cleaning gas combination, without requiring a separate seasoning or conditioning process step.
The chamber treatment process of the present invention has been found to uniformly remove etchant residues, irrespective of their thickness or chemical composition, during performance of an etching process. Prior art cleaning processes, particularly those performed by an operator, often fail to uniformly clean and remove the etchant residue deposits formed on chamber surfaces. Build-up of etchant deposits on the chamber surfaces, result in flaking off the etchant deposits and contamination of the substrate 25 etched in the chamber. By uniformly removing the etchant residues formed across substantially all of the chamber surfaces, such contamination and resultant reduced substrate 25 yields, are substantially minimized.
The cleaning gas also results in much less erosive damage to the chamber compared to conventional in-situ plasma cleaning steps, because of the reduced energy levels of the plasma in the etching chamber. This was difficult to accomplish in prior art processes, in which high powered plasmas used to remove the residue deposits also resulted in extensive erosion of chamber surfaces and components. By reducing the need to replace chamber components, the cost of operating the chamber 30 and the cost per substrate 25 are significantly reduced. Furthermore, the activated cleaning gas can be used to efficiently clean an chamber
30 in-situ during etching of substrates 25, rather than stopping processing to wet clean the chamber walls and components, thereby increasing etching throughput and further reducing costs per substrate. The cleaning process is expected to increase chamber lifetimes by a factor of at least 2, and also increase substrate yields by reducing the deposition of flaked-off etchant byproducts onto the substrate.
The cleaning process removes chemically-adhered etchant deposits from the surfaces in the chamber 30, and restores the original chemical reactivity and surface functional groups of these surfaces, without requiring a chamber conditioning process after the cleaning process. The cleaning and treatment process is also particularly useful for cleaning etchant residue that is strongly adhered to, or chemically reacted with chamber surfaces. The cleaning gas is effective at treating and reconditioning these ceramic surfaces to provide surface chemistries and surface functional groups that are chemically compatible with the etching process. The conditioned ceramic surfaces provide more reproducible etching properties in the chamber 30, than that provided by alternative chamber cleaning processes, such as wet cleaning or RIE cleaning processes. The significantly improved reproducibility of etching processes performed in the chamber 30 is highly desirable.
Multiple Cleaning Stages
In one aspect of the present invention, multiple layers of different materials on a substrate 25 are etched in an etching chamber 30, and the etchant residue formed on the internal surfaces of the etching chamber are removed or cleaned by a multiple step cleaning process. The multiple layers may be etched utilizing the chamber of Figure 2 and the etching gas may include for example, HCI, BCI3, HBr, Br2, Cl2, CCI4, SiCI4, SF6, F, NF3, HF, CF3, CF4, CH3F, CHF3, C2H2F2, C2H4F6, C2F6, C3F8, C4F8, C2HF5, C4F10, CF2CI2, CFCI3, 02, N2, He, and mixtures thereof. The layers being etched comprise, for example, mask or other hard layers overlying a number of silicon-containing layers - by which it is meant a layer composed of any material containing the element silicon including elemental silicon and all its compounds thereof. The substrate 25 typically comprises a semiconductor material, such as silicon or gallium arsenide wafer, with an exemplary set of layers comprise, for example, an underlayer of silicon dioxide that functions as a gate oxide layer for
MOS transistors and a polycide overlayer comprising a combination of metal silicide and silicon-containing layers. The metal silicide layer comprises, for example, tungsten, tantalum, titanium, or molybdenum silicide. A mask layer comprising photoresist or hard mask such as, for example, silicon dioxide or silicon nitride, is formed on the substrate 25. In the etching process, the mask layer is etched in the etching chamber 30, then the metal silicide layer is etched in the same chamber, thereafter a main and overetch etch step for etching polysilicon is also performed in the same chamber. A conventional process sequence for etching the multiple layers includes a mask etching step, a tungsten silicide etching step, and main and overetching steps for etching the polysilicon layer, as illustrated in Table 1 . These process steps are followed by a dechucking step in which a plasma of nitrogen (which is non-reactive to the multi-layer residue deposit) is used to assist in dechucking the substrate from the electrostatic chuck. The nitrogen based plasma provides opposite charges, for example an electronegative charge, which neutralize the electrostatic charge formed on the substrate allowing the substrate to be easily removed from the chuck.
TABLE 1
Figure imgf000021_0001
It has been discovered that when a multiple step etching process is performed in a single chamber, such as the exemplary process described above, the etchant residue formed on the chamber walls and surfaces is especially difficult to remove by conventional etch cleaning processes. The processing of multiple layers of material sequentially in a single chamber, such as etching of an overlying hard mask layer followed by etching of an underlying polycide layer, results in formation of an etchant residue comprising multi-layers or having a sandwich-type structure, on the internal surfaces of the chamber. For example, Figure 4 illustrates the compositional profile of the sandwich-type residue that is deposited onto surfaces of chamber walls and components by such an etching process. In this example, the substrate comprised a silicon wafer containing a 1 000 A gate oxide layer, 1 000 A polysilicon layer, 1 200 A tungsten silicide layer, a 2400 A silicon nitride mask layer, and an overlying 8000 A l-line photoresist layer. During the nitride mask etch step 301 a relatively large amount of a fluorocarbon based polymer residue 310 is deposited on the chamber surfaces. During subsequent steps for etching other silicon-containing layers, for example the metal silicide etching step 302, polysilicon main etching step 303, and the polysilicon over-etching step 304, a layer of silicon dioxide 320 is deposited over the fluorocarbon based polymer deposit 310 This layer of silicon dioxide 320 ( 100-200 A) prevents the cleaning gas from reaching or chemically attacking the underlying polymer residue 310. As a result, the multi-step etching process creates a multilayer residue that is difficult to remove by conventional cleaning processes. Additionally, the sandwich-type multilayer deposit is prone to flaking and depositing contaminants on the substrate during the etching process, especially because the coefficient of thermal expansion of each of the layers can often differ widely from one another. In the conventional process, a sandwich-type deposit was formed on the internal surfaces of the chamber typically had a total thickness of from about 0 to about 10 microns.
It has been further discovered that a multiple step etching and cleaning process is more effective at removing the multi-layer residue from the internal surfaces of the chamber 30. The multiple step cleaning process comprises multiple cleaning stages, each cleaning stage being tailored to remove one of the layers of the residue. For example, if the residue comprises a first layer of silicon-containing material, such as a layer comprising predominantly silicon dioxide, the first cleaning gas comprises primarily silicon or silicon dioxide removing gases, or gases that suppress the formation of the silicon-containing layer. Thereafter, the second layer of residue, such as a layer of fluorocarbon polymer residue is removed using a second cleaning gas comprising primarily fluorocarbon polymer removing species. The two stage cleaning process is far more effective at providing a clean internal surface of the chamber than a single stage cleaning process.
In the illustrative example provided herein, the process conditions for etching the nitride mask layer, etching the tungsten silicide layer and a first cleaning/residue suppression step, and the main and overetch process steps for etching the polysilicon layer, as well as a second clean step using oxygen containing cleaning gas, are shown in Table 2. TABLE 2
Figure imgf000023_0001
The silicon nitride layer was first etched through to form a patterned hard mask layer using an overlying photoresist layer. For purposes of example and without intent to limit the invention, the exemplary process utilizes a plasma of 45 seem CF4, 1 30 seem He, and 20 seem CH2F2 to etch the mask layer, for example a nitride-containing mask layer, in a process chamber at 4 mTorr pressure with a source power of 950 Watts and a bias power of 300 Watts.
Thereafter, an energized gas comprising a combination of etching gas and first cleaning gas was used to both etch a layer on the substrate, such as the metal silicide layer, and suppress the deposition of the silicon dioxide containing etchant residue on the chamber surfaces. The cleaning gas is added to the etchant gas in a volumetric flow ratio selected to suppress the deposition of silicon dioxide onto the polymer deposit formed by the earlier etching stage in which the mask layer comprising silicon nitride is etched. It has been found that the silicon dioxide residue deposited during subsequent etching steps is sufficiently thin to allow a subsequent cleaning stage to remove both the remaining silicon dioxide containing material as well as the fluorocarbon material of the remaining residue. This combination of a silicon- containing layer etch process step in which formation of a silicon dioxide containing residue is suppressed and a subsequent second cleaning step results in an unexpectedly clean chamber where substantially all the residue, and in some cases all of the residue is removed upon completion of the etch process. The metal silicide layer can be etched with an etching gas comprising one or more of Cl2, N2, 02, HBr, and He-02, and more preferably Cl2 and N2. The cleaning gas can comprise one or more of CF4, SF6, and NF3, and more preferably CF4. The volumetric flow ratio of etching gas to cleaning gas is selected to adequately etch the desired features in the layer and to suppress the deposition of silicon dioxide 320 onto the deposition of residue from the mask etch 310. The etching gas to cleaning gas volumetric flow ratio is about 1 : 1 to about 1 :20, and is more preferably about 3:2. In a particular composition the process gas comprises 80 seem Cl2, 80 seem CF4, and 40 seem N2. The source power is from about 200 and about 800 Watts, and is more preferably about 600 Watts. The bias power is from about 20 Watts to about 1 20 Watts, and is more preferably about 60 Watts. The pressure in the chamber is from about 1 mTorr to about 50 mTorr and more preferably is about 4 mTorr.
Thereafter, the polysilicon layer is etched in two stages including a main etching stage and an overetching stage. The main etching stage is performed using a plasma of process gas comprising 20 seem Cl2, 90 seem HBr, and 4 seem He-02; a pressure of 4 mTorr, 270 Watts source power, and 40 Watts bias power. The over etch is performed using a process gas comprising 50 seem HBr and 3 seem He-02 and optionally Cl2 in a chamber maintained at a pressure of 50 mTorr and 500 Watts source power.
In the present example, it has been further discovered that an oxygen containing plasma can be used to remove the remaining silicon dioxide containing and fluorocarbon based polymer residue 310 remaining on the walls and surfaces of the chamber. The oxygen plasma cleaning step is performed after etching or otherwise processing the last residue creating layer on the substrate, such as the polysilicon over-etching step. In this step an oxygen-containing gas, such as an oxygen species generating gas, oxygen or ozone is introduced into the chamber, and a plasma is sustained by applying a current at a power level of 500 Watts to the inductor coil.
The oxygen flow is maintained at about 95 seem and at a gas pressure of 1 5 mTorr. The oxygen plasma cleans off substantially all the residue remaining on the chamber surfaces including a relatively thin layer of silicon dioxide residue. The removal of the underlying polymer deposit 310 is much more difficult if the deposit is covered by and partially protected from the cleaning gas by a thick overlying layer of silicon dioxide residue 320. Additionally, the oxygen plasma also serves to remove residual charge in the chamber to dechuck the substrate when the substrate is electrostatically held on an electrode in the chamber by providing an opposite charge, for example an electronegative charge.
The cleaning gas used to clean the residue from the walls and surfaces of the chamber can also comprise other gas compositions that clean a combination of the fluorocarbon polymer residue 310 and silicon dioxide containing residue 320. For example, the cleaning gas can also comprise oxygen at a pressure from about 1 mTorr to about 100 mTorr in a plasma sustained at a source power from about 200 Watts to about 1000 Watts. In another example, the process gas comprises 95 seem 02 at 1 5 mTorr pressure and the plasma is formed at a 500 Watts source power level.
Figure 5 is a schematic graph illustrating the compositional profile of the etchant residue that is deposited on the walls of the chamber by the method of the present invention during the process steps of etching of the nitride mask 351 , the metal silicide etch 352, the polysilicon layer main etch 353, the polysilicon over etch 354, and the oxygen gas cleaning step. The data in Figure 5 was obtained using the same type of substrate as that used for the data in Figure 4. Comparing Figures 4 and 5, it is apparent that the present process significantly improves on the former process by eliminating a 600-700 A thick residue that was previously formed on the chamber surfaces after substrate processing. While the mask layer etch step 351 continues to produce a fluorocarbon based polymer residue 310 that deposits on the surfaces in the chamber; the metal silicide etch step 352 has been discovered to deposit little or no silicon dioxide 320 over the polymer deposit 310. The subsequent steps 353, 354 deposit a much thinner silicon dioxide layer 320 than the conventional process. This thinner layer of silicon dioxide 320 allows the cleaning gas to attack the polymer deposit 310 and clean the chamber. When the substrate processing is complete, a substantially clean chamber awaits further use, and the chamber can often be re-used without any further manual cleaning of its internal surfaces.
Referring to Figure 5, it can be seen that, as before, the majority of the passivating layer depositions occurred during the etching of the silicon nitride mask layer on the substrate. This occurs because the overlying resist layer is substantially etched away from the surface of the substrate in addition to the etching of the apertures through the silicon nitride layer, which contributes silicon and nitrogen species into the environment. The combination of etch resist, silicon, and nitrogen form the polymer deposition on the surfaces in the chamber. Thereafter, in the WSix etching step in which a cleaning gas is added to maintain the chamber clean, a net reduction in the deposition thickness of the passivating layer results due to removal of the passivating layer by the fluorinated CF4 gas. During the main and overetching steps for etching the polysilicon layer, the thickness of the deposition layer is made substantially constant; however, towards the end of the polysilicon overetching step, a net thickness of silicon oxide is being deposited. Although a small amount of silicon oxide is deposited to form the sandwiched layer, this amount is much smaller than the amount deposited in the prior art process by a factor of over about 2.
In another illustrative example, provided to further test and understand the effectiveness of a multiple step cleaning process, Figure 6 shows both the deposition and removal rate of a residue layer formed on the surface of a bare silicon wafer. The residue layer was formed by exposing the substrate to a process gas comprising 45 seem CF4, 1 30 seem He, 20 seem CH2F2, a bias power of 350 Watts, and a cathode temperature of 65°C. It is seen that the residue deposition is relatively constant and from about 75 to 1 25 Λ per minute. The polymer residue deposited on the bare silicon wafer was thereafter removed by the following process conditions: an oxygen flow rate of 95 seem, a gas pressure of 1 5 mTorr, a source power of 500 Watts, no bias power, and a 65°C cathode temperature. The removal rate as shown in the graph was much higher, on the order of 350 A/minute. The much higher efficiency of the oxygen containing cleaning step - as compared to the rate of deposition of the residue - indicates that the residue can be rapidly and efficiently removed by the present process and without excessively reducing the down-time of the substrate processing apparatus.
In Figure 7, the rate of removal of multi-layer residue comprising layers with different compositions and thicknesses is shown. In these examples, a residue comprising compositionally different layers was formed on a specimen. The residue on specimen 1 was composed simply of polymer with no silicon dioxide thereon. The residue on specimen 2 comprised a layer of polymer residue and a 1 30 A overlying layer of silicon dioxide containing residue. Specimen 3 had a 385 A thickness of silicon oxide on a polymer residue layer. Finally, specimen 4 had no silicon oxide deposition, but simply a 40 A thickness layer of polymer residue. It is seen that the specimens 1 and 4 which had only polymer residue were removed at a much higher rate of 350 A to 400 A relative to the residues containing silicon dioxide, namely specimens 2 and 3. In fact, the rate of removal of the residue deposited on specimens 2 and 3 ranged from 50 A to about 350 A. Thus, removal of the silicon oxide layer (specimens 1 and 4) increases the rate of removal of the polymer residue by approximately 6 to 8 times, which is a significantly and unexpectedly higher rate of removal. It is also seen that reducing the thickness of silicon dioxide containing residue formed over the polymer residue - from about 385 A to about 1 30 A - resulted in a net change in the average rate of removal of the residue from about 100 A/min to 200 A per minute, or twice as fast. This provided a significant improvement in the rate of removal of the residue which was wholly unexpected.
In yet another example. Figure 8 shows the rate of removal of residue for increasing power levels applied to the inductor coil. In this example, the process conditions included an oxygen flow rate of 95 seem, a pressure of 1 5 mTorr, a variable amount of source power, 0 Watts bias power, and a cathode wall temperature of 65°C. It is seen that as the source power is increased from 400 Watts to about 750 to 800 Watts, the rate of removing residue remains constant at about 320 A/minute. However, at a source power level of from about 800 to about 900 Watts, the rate of residue removal is significantly improved and increases from 350 A to 1 200 A/minute. Thus, it has also been discovered in another aspect of the invention, that the source power level should be maintained at a high level. The selected source power level depends upon the composition of the process gas, the gas pressure, and is typically at least about 700 Watts. Residue removal rates exceeding about 600 A/minute have been obtained at these power levels.
A quartz crystal microbalance (QCM) was used to determine the amounts of etchant residue being deposited onto the chamber surfaces during the etching process. The quartz crystal microbalance comprises a piezoelectric plate that changes in capacitance when a film of etchant residue is deposited thereon as described in U.S. Patent Application Serial Number 09/1 1 6,621 , the disclosure of which is incorporated herein by reference In making the presently disclosed measurements, the microbalance was mounted on an internal surface of the process chamber and its leads were connected to a computer outside the process chamber.
The present invention has been described with reference to certain versions thereof; however, other versions are possible. For example, the treatment and cleaning process of the present invention can be used for treating chambers for other applications, as would be apparent to one of ordinary skill. For example, the process can be applied, as would be apparent to one of ordinary skill in the art, to treat sputtering chambers, ion implantation chambers, or deposition chambers, or in combination with other cleaning processes. Therefore, the spirit and scope of the appended claims should not be limited to the description of the versions contained herein.

Claims

What is claimed is:
1 . A method of treating a chamber to remove residue from surfaces in the chamber, the method comprising the steps of:
(a) providing an energized first process gas in the chamber to treat the surfaces in the chamber; and
(b) providing an energized second process gas in the chamber to further treat the surfaces in the chamber, the second process gas being different than the first process gas.
2. A method according to claim 1 wherein the first process gas comprises a fluorinated gas.
3. A method according to claim 2 wherein the fluorinated gas comprises one or more of CF4, SF6 and NF3.
4. A method according to claim 1 wherein the second process gas comprises an oxygen containing gas.
5. A method according to claim 4 wherein the oxygen containing gas consists essentially of oxygen.
6. A method according to claim 1 wherein the treating of the chamber comprises cleaning the chamber.
7. A method of etching a substrate in a chamber and cleaning etchant residue formed on surfaces in the chamber, the method comprising the steps of:
(a) placing the substrate in the chamber; (b) in a first stage, providing an energized first process gas in the chamber, the first process gas comprising a substrate etching gas and a first cleaning gas; and (c) in a second stage, providing an energized second process gas in the chamber, the second process gas comprising a second cleaning gas that is different from the first cleaning gas.
8. A method according to claim 7 wherein the first cleaning gas comprises a fluorinated gas.
9. A method according to claim 8 wherein the fluorinated gas comprises one or more of CF4, SF6 and NF3.
10. A method according to claim 7 wherein the second cleaning gas comprises an oxygen containing gas.
1 1 . A method according to claim 10 wherein the oxygen containing gas consists essentially of oxygen.
1 2. A method according to claim 7 wherein the substrate etching gas comprises a gas capable of etching a metal silicide layer on the substrate.
1 3. A method according to claim 7 wherein the substrate etching gas comprises one or more of Cl2, N2, 02, HBr and He-02.
14. A method according to claim 7 wherein the volumetric flow ratio of substrate etching gas to first cleaning gas is from about 1 : 1 to about 20: 1 .
1 5. A method according to claim 7 wherein the energized second process gas is provided in the chamber while the substrate is in the chamber.
1 6. A method according to claim 7 wherein in step (a), the substrate is electrostatically held on an electrostatic chuck in the chamber, and in step (c) comprises providing an electronegative plasma of second process gas.
1 7. A method according to claim 7 wherein in the second stage, the chamber pressure is maintained at from about 1 mTorr to about 10mTorr.
1 8. A method according to claim 7 wherein in the second stage, the ratio of source power to bias power is from about 5:3 to about 40: 1 .
1 9. A method of etching a substrate in a chamber and cleaning etchant residue from surfaces in the chamber, the method comprising the steps of:
(a) placing the substrate in the chamber;
(b) etching a first material on the substrate thereby depositing a first etchant residue on the surfaces in the chamber;
(c) etching a second material on the substrate while suppressing deposition of a second etchant residue onto the first etchant residue, the first etchant residue being compositionally different from the second etchant residue; and
(d) cleaning the first and second etchant residue deposits formed on the surfaces in the chamber.
20. A method according to claim 1 9 wherein etching the first material comprises the step of providing an energized first gas in the chamber.
21 . A method according to claim 20 wherein etching the second material comprises the step of providing an energized second gas in the chamber.
22. A method according to claim 21 wherein the first gas comprises a first cleaning gas and the second gas comprises a second cleaning gas.
23. A method according to claim 22 wherein the first cleaning gas comprises a fluorinated gas.
24. A method according to claim 23 wherein the fluorinated gas comprises one or more of CF4, SF6 and NF3.
25. A method according to claim 22 wherein the second cleaning gas comprises an oxygen containing gas.
26. A method according to claim 25 wherein the oxygen containing gas consists essentially of oxygen.
27. A method of etching a substrate in a chamber and cleaning etchant residue from surfaces in the chamber, the method comprising the steps of:
(a) electrostatically holding the substrate in the chamber;
(b) providing a first energized gas in the chamber, the first energized gas comprising an etching gas to etch the substrate and a residue cleaning gas; and (c) providing a second energized gas in the chamber to clean etchant residue deposited on the surfaces in the chamber and simultaneously remove residual charge accumulated in the substrate.
28. A method according to claim 27 wherein the first energized gas comprises a fluorinated gas.
29. A method according to claim 28 wherein the fluorinated gas comprises one or more of CF4, SF6 and NF3.
30. A method according to claim 27 wherein the second energized gas comprises an oxygen containing gas.
31 . A method according to claim 30 wherein the oxygen containing gas consists essentially of oxygen.
32. A method according to claim 27 wherein the etching gas comprises a gas capable of etching a metal silicide layer on the substrate.
33. A method according to claim 27 wherein the etching gas comprises one or more of Cl2, N2, 02, HBr and He-02.
34. A method according to claim 27 wherein the volumetric flow ratio of etching gas to residue cleaning gas is from about 1 : 1 to about 20: 1 .
35. A method of etching a substrate in a chamber and cleaning residue that forms on surfaces in the chamber, the method comprising the steps of:
(a) placing the substrate in the chamber;
(b) in an etching stage, etching one or more materials on the substrate using energized gas, at least one composition of the energized gas including an etching gas comprising one or more of Cl2, N2, 02, HBr and He-02; and a residue cleaning gas comprising one or more of CF4, SF6 and NF3; and
(c) cleaning the residue formed on the surfaces in the chamber using another energized gas comprising oxygen.
36. A method according to claim 35 wherein the volumetric flow ratio of etching gas to residue cleaning gas is from about 1 : 1 to about 20: 1 .
37. A method according to claim 35 wherein the energized gas comprising oxygen is provided in the chamber while the substrate is in the chamber.
38. A method according to claim 35 wherein in step (a), the substrate is electrostatically held on an electrostatic chuck in the chamber, and in step (c), the energized gas comprising oxygen comprises a plasma that assists in dechucking the substrate from the electrostatic chuck.
39. A method of etching a substrate in a chamber and cleaning residue formed on surfaces in the chamber, the chamber comprising an electrostatic chuck, and the method comprising the steps of: (a) transferring a substrate into the chamber and electrostatically holding the substrate on the electrostatic chuck, the substrate comprising a mask layer;
(b) providing an energized gas in the chamber to etch the mask layer on the substrate thereby forming residue on the surfaces in the chamber, the residue comprising chemical species originating from the mask layer;
(c) providing another energized gas in the chamber to etch material below the mask layer, the energized gas comprising etching gas and residue cleaning gas, the etching gas comprising one or more of Cl2, N2, 02, HBr, and He-02 and the residue cleaning gas comprising one or more of CF4, SF6, and NF3; and (d) providing an oxygen containing plasma in the chamber to assist in dechucking the substrate and to clean the residue formed on the surfaces in the chamber.
40. A method according to claim 39 wherein the volumetric flow ratio of etching gas to residue cleaning gas is from about 1 : 1 to about 20: 1 .
41 . A method of cleaning a chamber to remove residue from surfaces in the chamber, the method comprising the steps of: (a) providing an energized first process gas in the chamber to clean the surfaces in the chamber; and
(b) adjusting the chamber source power to control the amount of residue removed from the surfaces.
42. A method according to claim 41 wherein step (b) comprises increasing the chamber source power to increase the amount of residue removed from the surfaces.
43. A method according to claim 41 further including the step of maintaining the chamber bias power at substantially zero Watts.
44. A method according to claim 41 wherein the first process gas comprises an oxygen containing gas.
45. A method according to claim 43 wherein the oxygen containing gas consists essentially of oxygen.
PCT/US2000/020320 1999-07-27 2000-07-26 Multiple stage cleaning for plasma etching chambers WO2001008209A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
EP00950705A EP1198829A1 (en) 1999-07-27 2000-07-26 Multiple stage cleaning process for plasma etching chambers

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/362,924 US6872322B1 (en) 1997-11-12 1999-07-27 Multiple stage process for cleaning process chambers
US09/362,924 1999-07-27

Publications (1)

Publication Number Publication Date
WO2001008209A1 true WO2001008209A1 (en) 2001-02-01

Family

ID=23428074

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/020320 WO2001008209A1 (en) 1999-07-27 2000-07-26 Multiple stage cleaning for plasma etching chambers

Country Status (5)

Country Link
US (1) US6872322B1 (en)
EP (1) EP1198829A1 (en)
KR (1) KR100738850B1 (en)
TW (1) TW475201B (en)
WO (1) WO2001008209A1 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002068712A2 (en) * 2001-02-23 2002-09-06 Applied Materials, Inc. Removal of etchant residues
DE10358025A1 (en) * 2003-12-11 2005-07-21 Infineon Technologies Ag Etching of tungsten involves using a gas mixture that contains nitrogen trifluoride, hydrogen bromide, and oxygen
WO2007139270A1 (en) * 2006-05-29 2007-12-06 Ips Ltd. Cleaning method of apparatus for depositing metal containing film
CN100405551C (en) * 2003-03-03 2008-07-23 兰姆研究有限公司 Method to improve profile control and N/P loading in dual doped gate applications
US8906248B2 (en) 2011-12-13 2014-12-09 Lam Research Corporation Silicon on insulator etch
US8945414B1 (en) 2013-11-13 2015-02-03 Intermolecular, Inc. Oxide removal by remote plasma treatment with fluorine and oxygen radicals
WO2018089180A1 (en) * 2016-11-11 2018-05-17 Lam Research Corporation Plasma light up suppression

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100508749B1 (en) * 1998-06-01 2005-11-21 삼성전자주식회사 Etching equipment for semiconductor device manufacturing and etching method using the same
CN100410421C (en) * 2001-05-04 2008-08-13 拉姆研究公司 Duo-step plasma cleaning of chamber residues
US6946408B2 (en) * 2001-10-24 2005-09-20 Applied Materials, Inc. Method and apparatus for depositing dielectric films
JP2003234299A (en) * 2002-02-12 2003-08-22 Research Institute Of Innovative Technology For The Earth Cleaning gas and etching gas
KR20040012451A (en) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 Methods for etching photolithographic reticles
US7204913B1 (en) * 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
JP4131813B2 (en) * 2002-10-24 2008-08-13 株式会社半導体エネルギー研究所 Plasma etching method and semiconductor device manufacturing method
EP1599894A4 (en) * 2003-03-03 2010-04-28 Lam Res Corp Method to improve profile control and n/p loading in dual doped gate applications
US20040261815A1 (en) * 2003-06-27 2004-12-30 Texas Instruments, Incorporated Three-step chamber cleaning process for deposition tools
US7192874B2 (en) * 2003-07-15 2007-03-20 International Business Machines Corporation Method for reducing foreign material concentrations in etch chambers
US20050066994A1 (en) * 2003-09-30 2005-03-31 Biles Peter John Methods for cleaning processing chambers
US7479454B2 (en) * 2003-09-30 2009-01-20 Tokyo Electron Limited Method and processing system for monitoring status of system components
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7713431B2 (en) * 2004-06-10 2010-05-11 Tokyo Electron Limited Plasma processing method
US7167091B2 (en) * 2004-07-16 2007-01-23 Safeview, Inc. Vehicle activated millimeter-wave interrogating
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
KR100562657B1 (en) * 2004-12-29 2006-03-20 주식회사 하이닉스반도체 Recess gate and method for manufacturing semiconductor device with the same
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7871532B2 (en) * 2005-02-28 2011-01-18 Tokyo Electron Limited Plasma processing method and post-processing method
US7452823B2 (en) * 2005-03-08 2008-11-18 Tokyo Electron Limited Etching method and apparatus
KR100719801B1 (en) * 2005-09-05 2007-05-18 주식회사 아이피에스 Method of Strengthening corrosion resistance of semiconductor device
US7485580B2 (en) * 2005-09-20 2009-02-03 Air Products And Chemicals, Inc. Method for removing organic electroluminescent residues from a substrate
KR100699678B1 (en) * 2005-12-28 2007-03-23 동부일렉트로닉스 주식회사 Method of fabricating pattern using the hard mask
KR100684910B1 (en) * 2006-02-02 2007-02-22 삼성전자주식회사 Apparatus for treating plasma and method for cleaning the same
US7799237B2 (en) * 2006-05-25 2010-09-21 Sony Corporation Method and apparatus for etching a structure in a plasma chamber
US7754610B2 (en) * 2006-06-02 2010-07-13 Applied Materials, Inc. Process for etching tungsten silicide overlying polysilicon particularly in a flash memory
JP4159584B2 (en) * 2006-06-20 2008-10-01 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
KR100819096B1 (en) * 2006-11-21 2008-04-02 삼성전자주식회사 Semiconductor manufacturing device for processing peox process and method for cleaning use remote plasma semiconductor manufacturing device
US7550090B2 (en) * 2007-01-23 2009-06-23 Applied Materials, Inc. Oxygen plasma clean to remove carbon species deposited on a glass dome surface
EP1998389B1 (en) * 2007-05-31 2018-01-31 Applied Materials, Inc. Method of cleaning a patterning device, method of depositing a layer system on a substrate, system for cleaning a patterning device, and coating system for depositing a layer system on a substrate
US20090211596A1 (en) * 2007-07-11 2009-08-27 Lam Research Corporation Method of post etch polymer residue removal
US20090032880A1 (en) * 2007-08-03 2009-02-05 Applied Materials, Inc. Method and apparatus for tunable isotropic recess etching of silicon materials
US8500913B2 (en) * 2007-09-06 2013-08-06 Micron Technology, Inc. Methods for treating surfaces, and methods for removing one or more materials from surfaces
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US20090325387A1 (en) * 2008-06-26 2009-12-31 Applied Materials, Inc. Methods and apparatus for in-situ chamber dry clean during photomask plasma etching
US8293649B2 (en) * 2009-12-18 2012-10-23 Global Unichip Corp. Release accumulative charges on wafers using O2 neutralization
US8084289B2 (en) * 2010-02-26 2011-12-27 United Microelectronics Corp. Method of fabricating image sensor and reworking method thereof
CN102194836B (en) * 2010-03-16 2016-03-16 联华电子股份有限公司 The manufacture method of image sensing element and again manufacture method
JP5450187B2 (en) 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US20110297088A1 (en) * 2010-06-04 2011-12-08 Texas Instruments Incorporated Thin edge carrier ring
TWI488234B (en) * 2010-06-29 2015-06-11 Global Unichip Corp Release accumulative charges on wafers using o2 neutralization
US10069443B2 (en) * 2011-12-20 2018-09-04 Tokyo Electron Limited Dechuck control method and plasma processing apparatus
US10163656B2 (en) * 2013-11-16 2018-12-25 Applied Materials, Inc. Methods for dry etching cobalt metal using fluorine radicals
JP6234271B2 (en) * 2014-02-25 2017-11-22 東京エレクトロン株式会社 Method for processing an object
JP6374781B2 (en) * 2014-12-10 2018-08-15 東京エレクトロン株式会社 Plasma processing method
CN107359113B (en) * 2017-07-28 2021-04-13 武汉光谷量子技术有限公司 Method for etching InP material by using RIE equipment and InP material etched
KR20210010849A (en) * 2018-04-12 2021-01-28 에이에스엠엘 네델란즈 비.브이. Apparatus comprising electrostatic clamps and methods of operating the apparatus
US20200140999A1 (en) * 2018-11-06 2020-05-07 Applied Materials, Inc. Process chamber component cleaning method
US11521838B2 (en) * 2018-12-18 2022-12-06 Applied Materials, Inc. Integrated cleaning process for substrate etching
CN113846312A (en) * 2021-08-30 2021-12-28 北京北方华创微电子装备有限公司 Method for reducing metal pollution in semiconductor equipment process chamber

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6450427A (en) * 1987-08-21 1989-02-27 Hitachi Ltd Plasma processing
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
EP0709877A1 (en) * 1993-05-20 1996-05-01 Hitachi, Ltd. Plasma processing method
US5700741A (en) * 1996-05-20 1997-12-23 Vanguard International Semiconductor Corporation Plasma purge method for plasma process particle control
JPH10233387A (en) * 1996-12-17 1998-09-02 Denso Corp Dry etching of semiconductor

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
JPS5782955A (en) 1980-11-12 1982-05-24 Hitachi Ltd Microwave plasma generating apparatus
DE3242113A1 (en) 1982-11-13 1984-05-24 Ibm Deutschland Gmbh, 7000 Stuttgart METHOD FOR PRODUCING A THIN DIELECTRIC INSULATION IN A SILICON SEMICONDUCTOR BODY
CA1204525A (en) 1982-11-29 1986-05-13 Tetsu Fukano Method for forming an isolation region for electrically isolating elements
AU544534B2 (en) 1983-06-14 1985-06-06 Toyota Jidosha Kabushiki Kaisha Plasma coating
JPS6074626A (en) 1983-09-30 1985-04-26 Fujitsu Ltd Device for plasma treatment
US4490209B2 (en) 1983-12-27 2000-12-19 Texas Instruments Inc Plasma etching using hydrogen bromide addition
JPH0824114B2 (en) 1984-11-09 1996-03-06 株式会社日立製作所 Plasma etching method
CA1260365A (en) 1985-05-06 1989-09-26 Lee Chen Anisotropic silicon etching in fluorinated plasma
US4779991A (en) 1986-01-16 1988-10-25 Wako Pure Chemical Industries Ltd. Bottle for mixing and method for mixing with the said bottle
JPH0740566B2 (en) 1986-02-04 1995-05-01 株式会社日立製作所 Plasma processing method and apparatus
US4786352A (en) 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4863561A (en) 1986-12-09 1989-09-05 Texas Instruments Incorporated Method and apparatus for cleaning integrated circuit wafers
DE3752259T2 (en) 1986-12-19 1999-10-14 Applied Materials Inc Bromine etching process for silicon
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US4867841A (en) 1987-07-16 1989-09-19 Texas Instruments Incorporated Method for etch of polysilicon film
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
JPS6432627A (en) 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4876212A (en) 1987-10-01 1989-10-24 Motorola Inc. Process for fabricating complimentary semiconductor devices having pedestal structures
US4799991A (en) 1987-11-02 1989-01-24 Motorola, Inc. Process for preferentially etching polycrystalline silicon
US4975144A (en) 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US4994410A (en) 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US5084126A (en) 1988-12-29 1992-01-28 Texas Instruments Incorporated Method and apparatus for uniform flow distribution in plasma reactors
JPH0383335A (en) 1989-08-28 1991-04-09 Hitachi Ltd Etching process
US4992134A (en) 1989-11-14 1991-02-12 Advanced Micro Devices, Inc. Dopant-independent polysilicon plasma etch
US5035768A (en) 1989-11-14 1991-07-30 Intel Corporation Novel etch back process for tungsten contact/via filling
US5002632A (en) 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
EP0439101B1 (en) * 1990-01-22 1997-05-21 Sony Corporation Dry etching method
US5110411A (en) 1990-04-27 1992-05-05 Micron Technology, Inc. Method of isotropically dry etching a poly/WSix sandwich structure
US5013398A (en) 1990-05-29 1991-05-07 Micron Technology, Inc. Anisotropic etch method for a sandwich structure
EP0463373A3 (en) 1990-06-29 1992-03-25 Texas Instruments Incorporated Local interconnect using a material comprising tungsten
JP2964605B2 (en) 1990-10-04 1999-10-18 ソニー株式会社 Dry etching method
US5094712A (en) 1990-10-09 1992-03-10 Micron Technology, Inc. One chamber in-situ etch process for oxide and conductive material
US5160407A (en) 1991-01-02 1992-11-03 Applied Materials, Inc. Low pressure anisotropic etch process for tantalum silicide or titanium silicide layer formed over polysilicon layer deposited on silicon oxide layer on semiconductor wafer
US5338398A (en) 1991-03-28 1994-08-16 Applied Materials, Inc. Tungsten silicide etch process selective to photoresist and oxide
US5164330A (en) 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
US5431772A (en) 1991-05-09 1995-07-11 International Business Machines Corporation Selective silicon nitride plasma etching process
JP3210359B2 (en) 1991-05-29 2001-09-17 株式会社東芝 Dry etching method
JP3253675B2 (en) 1991-07-04 2002-02-04 株式会社東芝 Charged beam irradiation apparatus and method
US5358601A (en) 1991-09-24 1994-10-25 Micron Technology, Inc. Process for isotropically etching semiconductor devices
DE4132559A1 (en) 1991-09-30 1993-04-08 Siemens Ag Plasma etching in-situ cleaning process for vacuum deposition chambers - with separate plasma discharge excitation of etch gas and admission of activated etch gas to chamber
US5318668A (en) 1991-10-24 1994-06-07 Matsushita Electric Industrial Co., Ltd. Dry etching method
US5176792A (en) 1991-10-28 1993-01-05 At&T Bell Laboratories Method for forming patterned tungsten layers
US5192702A (en) 1991-12-23 1993-03-09 Industrial Technology Research Institute Self-aligned cylindrical stacked capacitor DRAM cell
US5443686A (en) 1992-01-15 1995-08-22 International Business Machines Corporation Inc. Plasma CVD apparatus and processes
DE4202158C1 (en) 1992-01-27 1993-07-22 Siemens Ag, 8000 Muenchen, De
US5282899A (en) 1992-06-10 1994-02-01 Ruxam, Inc. Apparatus for the production of a dissociated atomic particle flow
US5716494A (en) 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
WO1994000251A1 (en) 1992-06-22 1994-01-06 Lam Research Corporation A plasma cleaning method for removing residues in a plasma treatment chamber
US5188980A (en) 1992-07-06 1993-02-23 United Microelectronics Corporation Inert gas purge for the multilayer poly gate etching improvement
JP3334911B2 (en) 1992-07-31 2002-10-15 キヤノン株式会社 Pattern formation method
US5256245A (en) 1992-08-11 1993-10-26 Micron Semiconductor, Inc. Use of a clean up step to form more vertical profiles of polycrystalline silicon sidewalls during the manufacture of a semiconductor device
JP3227522B2 (en) 1992-10-20 2001-11-12 株式会社日立製作所 Microwave plasma processing method and apparatus
US5413954A (en) 1992-11-10 1995-05-09 At&T Bell Laboratories Method of making a silicon-based device comprising surface plasma cleaning
JP3259380B2 (en) 1992-12-04 2002-02-25 ソニー株式会社 Method for manufacturing semiconductor device
JP3277394B2 (en) 1992-12-04 2002-04-22 ソニー株式会社 Method for manufacturing semiconductor device
US5384009A (en) 1993-06-16 1995-01-24 Applied Materials, Inc. Plasma etching using xenon
JPH0729879A (en) 1993-06-24 1995-01-31 Sony Corp Preparation of semiconductor device
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5382316A (en) 1993-10-29 1995-01-17 Applied Materials, Inc. Process for simultaneous removal of photoresist and polysilicon/polycide etch residues from an integrated circuit structure
JPH07147271A (en) 1993-11-26 1995-06-06 Nec Corp Manufacture of semiconductor device
US5741396A (en) 1994-04-29 1998-04-21 Texas Instruments Incorporated Isotropic nitride stripping
US5620615A (en) 1994-05-13 1997-04-15 Micron Technology, Inc. Method of etching or removing W and WSix films
JPH0864559A (en) 1994-06-14 1996-03-08 Fsi Internatl Inc Method of deleting unnecessary substance from substrate surface
US5521119A (en) 1994-07-13 1996-05-28 Taiwan Semiconductor Manufacturing Co. Post treatment of tungsten etching back
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5514622A (en) 1994-08-29 1996-05-07 Cypress Semiconductor Corporation Method for the formation of interconnects and landing pads having a thin, conductive film underlying the plug or an associated contact of via hole
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5529197A (en) 1994-12-20 1996-06-25 Siemens Aktiengesellschaft Polysilicon/polycide etch process for sub-micron gate stacks
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JPH0982687A (en) 1995-09-19 1997-03-28 Mitsubishi Electric Corp Manufacture of semiconductor device
US5644153A (en) 1995-10-31 1997-07-01 Micron Technology, Inc. Method for etching nitride features in integrated circuit construction
US5626775A (en) 1996-05-13 1997-05-06 Air Products And Chemicals, Inc. Plasma etch with trifluoroacetic acid and derivatives
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5817534A (en) * 1995-12-04 1998-10-06 Applied Materials, Inc. RF plasma reactor with cleaning electrode for cleaning during processing of semiconductor wafers
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5651856A (en) 1996-01-22 1997-07-29 Micron Technology, Inc. Selective etch process
US5874363A (en) 1996-05-13 1999-02-23 Kabushiki Kaisha Toshiba Polycide etching with HCL and chlorine
US6159811A (en) * 1996-05-15 2000-12-12 Samsung Electronics Co., Ltd. Methods for patterning microelectronic structures using chlorine, oxygen, and fluorine
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
JPH1023387A (en) 1996-07-04 1998-01-23 Ekushingu:Kk Catv center device
US5851926A (en) 1996-10-01 1998-12-22 Applied Materials, Inc Method for etching transistor gates using a hardmask
US5869401A (en) 1996-12-20 1999-02-09 Lam Research Corporation Plasma-enhanced flash process
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US6125859A (en) 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US5866483A (en) 1997-04-04 1999-02-02 Applied Materials, Inc. Method for anisotropically etching tungsten using SF6, CHF3, and N2
US5891799A (en) * 1997-08-18 1999-04-06 Industrial Technology Research Institute Method for making stacked and borderless via structures for multilevel metal interconnections on semiconductor substrates

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6450427A (en) * 1987-08-21 1989-02-27 Hitachi Ltd Plasma processing
EP0709877A1 (en) * 1993-05-20 1996-05-01 Hitachi, Ltd. Plasma processing method
US5354417A (en) * 1993-10-13 1994-10-11 Applied Materials, Inc. Etching MoSi2 using SF6, HBr and O2
US5700741A (en) * 1996-05-20 1997-12-23 Vanguard International Semiconductor Corporation Plasma purge method for plasma process particle control
JPH10233387A (en) * 1996-12-17 1998-09-02 Denso Corp Dry etching of semiconductor
US6090718A (en) * 1996-12-17 2000-07-18 Denso Corporation Dry etching method for semiconductor substrate

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 013, no. 251 (E - 771) 12 June 1989 (1989-06-12) *

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002068712A2 (en) * 2001-02-23 2002-09-06 Applied Materials, Inc. Removal of etchant residues
WO2002068712A3 (en) * 2001-02-23 2003-05-01 Applied Materials Inc Removal of etchant residues
CN100405551C (en) * 2003-03-03 2008-07-23 兰姆研究有限公司 Method to improve profile control and N/P loading in dual doped gate applications
DE10358025A1 (en) * 2003-12-11 2005-07-21 Infineon Technologies Ag Etching of tungsten involves using a gas mixture that contains nitrogen trifluoride, hydrogen bromide, and oxygen
WO2007139270A1 (en) * 2006-05-29 2007-12-06 Ips Ltd. Cleaning method of apparatus for depositing metal containing film
US8906248B2 (en) 2011-12-13 2014-12-09 Lam Research Corporation Silicon on insulator etch
WO2013088324A3 (en) * 2011-12-13 2015-08-13 Lam Research Corporation Silicon on insulator etch
US8945414B1 (en) 2013-11-13 2015-02-03 Intermolecular, Inc. Oxide removal by remote plasma treatment with fluorine and oxygen radicals
WO2018089180A1 (en) * 2016-11-11 2018-05-17 Lam Research Corporation Plasma light up suppression
US10535505B2 (en) 2016-11-11 2020-01-14 Lam Research Corporation Plasma light up suppression

Also Published As

Publication number Publication date
EP1198829A1 (en) 2002-04-24
TW475201B (en) 2002-02-01
US6872322B1 (en) 2005-03-29
KR20020070255A (en) 2002-09-05
KR100738850B1 (en) 2007-07-12

Similar Documents

Publication Publication Date Title
US6872322B1 (en) Multiple stage process for cleaning process chambers
US6699399B1 (en) Self-cleaning etch process
US6527968B1 (en) Two-stage self-cleaning silicon etch process
US7575007B2 (en) Chamber recovery after opening barrier over copper
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US6322714B1 (en) Process for etching silicon-containing material on substrates
US6379575B1 (en) Treatment of etching chambers using activated cleaning gas
US7270761B2 (en) Fluorine free integrated process for etching aluminum including chamber dry clean
US7704887B2 (en) Remote plasma pre-clean with low hydrogen pressure
US6235640B1 (en) Techniques for forming contact holes through to a silicon layer of a substrate
JP4907827B2 (en) Method for improving polysilicon etch uniformity and reducing etch rate variation
CN101448580B (en) Plasma etch and photoresist strip process with chamber de-fluorination and wafer de-fluorination steps
KR101299661B1 (en) Method for resist strip in presence of regular low k and/or porous low k dielectric materials
WO2006073622A2 (en) Low-pressure removal of photoresist and etch residue
WO2006076085A2 (en) Low-pressure removal of photoresist and etch residue
JPH0982687A (en) Manufacture of semiconductor device
EP0976151A1 (en) Methods and apparatus for removing photoresist mask defects-in a plasma reactor
US7122125B2 (en) Controlled polymerization on plasma reactor wall
US6325861B1 (en) Method for etching and cleaning a substrate
WO2006057236A1 (en) Substrate processing method and method for manufacturing semiconductor device
US20050066994A1 (en) Methods for cleaning processing chambers
US6403489B1 (en) Method for removing polymer stacked on a lower electrode within an etching reaction chamber
Jeon et al. Cleaning of wafer edge, bevel and back-side with a torus-shaped capacitively coupled plasma

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2000950705

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020027001134

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2000950705

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020027001134

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Ref document number: 2000950705

Country of ref document: EP