WO2001024031A2 - Multiprocessor node controller circuit and method - Google Patents

Multiprocessor node controller circuit and method Download PDF

Info

Publication number
WO2001024031A2
WO2001024031A2 PCT/US2000/027003 US0027003W WO0124031A2 WO 2001024031 A2 WO2001024031 A2 WO 2001024031A2 US 0027003 W US0027003 W US 0027003W WO 0124031 A2 WO0124031 A2 WO 0124031A2
Authority
WO
WIPO (PCT)
Prior art keywords
memory
port
node controller
data
operatively coupled
Prior art date
Application number
PCT/US2000/027003
Other languages
French (fr)
Other versions
WO2001024031A9 (en
WO2001024031A3 (en
Inventor
Martin M. Deneroff
Givargis G. Kaldani
Yuval Koren
David Edward Mccracken
Swaminathan Venkataraman
Original Assignee
Silicon Graphics, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silicon Graphics, Inc. filed Critical Silicon Graphics, Inc.
Priority to DE60006842T priority Critical patent/DE60006842T2/en
Priority to EP00967187A priority patent/EP1222559B1/en
Priority to JP2001526730A priority patent/JP4472909B2/en
Publication of WO2001024031A2 publication Critical patent/WO2001024031A2/en
Publication of WO2001024031A3 publication Critical patent/WO2001024031A3/en
Publication of WO2001024031A9 publication Critical patent/WO2001024031A9/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • G06F15/163Interprocessor communication
    • G06F15/173Interprocessor communication using an interconnection network, e.g. matrix, shuffle, pyramid, star, snowflake
    • G06F15/17356Indirect interconnection networks
    • G06F15/17368Indirect interconnection networks non hierarchical topologies
    • G06F15/17381Two dimensional, e.g. mesh, torus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • G06F15/163Interprocessor communication
    • G06F15/173Interprocessor communication using an interconnection network, e.g. matrix, shuffle, pyramid, star, snowflake
    • G06F15/17337Direct connection machines, e.g. completely connected computers, point to point communication networks
    • G06F15/17343Direct connection machines, e.g. completely connected computers, point to point communication networks wherein the interconnection is dynamically configurable, e.g. having loosely coupled nearest neighbor architecture

Definitions

  • the present invention relates to methods and apparatus for parallel multiprocessor computer systems and more specifically to a multiprocessor node-controller circuit and method.
  • MP systems are computing systems comprised of a few or up to hundreds or thousands of processing elements (PEs). While the power of a multiple-instruction multiple-data (MIMD) MP computer system lies in its ability to execute independent threads of code simultaneously, the inherently asynchronous states of the PEs (with respect to each other) makes it difficult in such a system to enforce a deterministic order of events when necessary.
  • PEs processing elements
  • Program sequences involving interaction between multiple PEs such as coordinated communication, sequential access to shared resources, controlled transitions between parallel regions, etc., may require synchronization (such as barrier and/or eureka synchronization) of the PEs in order to assure proper execution.
  • synchronization such as barrier and/or eureka synchronization
  • One such invention having routers, networks, and synchronization apparatus and methods is described further in copending U.S. Patent Application Serial Number 08/972,010 filed November 17, 1997 entitled "SERIALIZED, RACE-FREE VIRTUAL BARRIER NETWORK".
  • MP systems having symmetric distributed multiprocessors use a coherent model of cache.
  • One such system is described in application serial number 08/971,184 filed November 17, 1997 entitled "MULTI-DIMENSIONAL CACHE COHERENCE DIRECTORY STRUCTURE”.
  • the present invention provides a method and apparatus that facilitates highly parallel processing.
  • the present invention includes a node controller usable in both small and large multiprocessor systems, and that provides superior performance-cost benefits across a large range of system prices and capabilities.
  • this node controller is implemented on a single chip that provides two or more processor ports, each supporting single-processor and/or multiprocessor subsystems (each optionally including local cache memories), as well as one or more of the following port types: input/output (I/O), memory, directory, and network interface.
  • processor ports each supporting single-processor and/or multiprocessor subsystems (each optionally including local cache memories), as well as one or more of the following port types: input/output (I/O), memory, directory, and network interface.
  • distributed multiprocessors are built using a separate directory controller along with a memory controller, connected to the network controller, the input/output interface, and processors.
  • the memory controller that optionally includes a directory controller that provides cache coherence functions
  • the I/O controller and the network controller put them all on one chip that includes a plurality of processor ports.
  • a first aspect of the present invention provides a multiprocessor computer system (for example, a small multiprocessor system having only two node controllers connected to one another, or a multiprocessor system having up to hundreds or thousands of node controllers connected together through a router network).
  • a multiprocessor computer system for example, a small multiprocessor system having only two node controllers connected to one another, or a multiprocessor system having up to hundreds or thousands of node controllers connected together through a router network.
  • One such embodiment of the system includes a first node controller, a second node controller, a first plurality of processors operatively coupled to the first node controller, a second plurality of processors operatively coupled to the second node controller, a first memory operatively coupled to the first node controller, a first input/output system operatively coupled to the first node controller, and an interprocessor communications network operatively coupled between the first node controller and the second node controller.
  • the first node controller includes: a crossbar unit, a memory port operatively coupled between the crossbar unit and the first memory, an input/output port operatively coupled between the crossbar unit and the first input/output system, a network port operatively coupled between the crossbar unit and the interprocessor communications network, and a plurality of independent processor ports, including a first processor port operatively coupled between the crossbar unit and a first subset of the first plurality of processors, and a second processor port operatively coupled between the crossbar unit and a second subset of the first plurality of processors.
  • the first node controller is fabricated onto a single integrated-circuit chip.
  • the memory is packaged on a plurality of plugable memory/directory cards wherein each card includes a plurality of memory chips including a first subset of memory chips dedicated to holding memory data and a second subset of memory chips dedicated to holding directory data.
  • the memory port includes a memory data port including a memory data bus and a memory address bus coupled to the first subset of memory chips, and a directory data port including a directory data bus and a directory address bus coupled to the second subset of memory chips.
  • the ratio of (data space in the first subset of memory chips) to (data space in the second subset of memory chips) on each of the memory/directory cards is set to a value based on a size of the multiprocessor computer system.
  • the crossbar unit selectively combines two serially received doublewords of data into a single quadword micropacket for transmission through the crossbar unit, and wherein each doubleword contains at least 64 bits of data and the single quadword contains at least 128 bits of data.
  • Another aspect of the present invention provides a method usable with one or more of the above described systems.
  • the method includes transmitting data between the memory port and the first processor port, between the memory port and the second processor port, between the memory port and the input/output port, and between the memory port and the network port.
  • Some embodiments of the method further include transmitting data directly between the first node controller and the second node controller that are directly connected to one another by the interprocessor communications network.
  • Some embodiments of the method further include transmitting data indirectly between the first node controller and the second node controller through a router chip that is also connected to one or more other node controllers.
  • FIG. 1 shows one embodiment of multiprocessor system 100 of the present invention.
  • FIG. 2 shows one embodiment of a node cluster 170 having a router 76 with six router connections 72 and eight processors 74, wherein four processors 74 are connected to each of two node controllers 75.
  • FIG. 3 shows an embodiment of a multiprocessor system 300 having a single router 76.
  • FIG. 4 shows an embodiment of a multiprocessor system 400 having no router chips, but having two node controllers connected to one another.
  • FIG. 5 shows one embodiment of a multiprocessor system 500 of the present invention.
  • FIG. 6A shows DIMM module 600.
  • FIG. 6B shows DIMM guide 673 having four DIMM modules 600.
  • FIG. 7A shows node controller 75 of one embodiment of the present invention.
  • FIG. 7B shows one embodiment node controller 75 further including indications of the flow of data into and within node controller 75.
  • FIG. 7B also shows node controller 75 implemented within a single chip 475.
  • FIG. 7C shows a diagram of node controller 75 within a node 370 and describing the various interface widths and clock speeds for one embodiment of the present invention.
  • FIG 7D shows header and data formats for messages of one embodiment of node controller 75.
  • FIG. 7E shows the field format for source and supplemental fields.
  • FIG. 7F shows a table of control signal usages for control field 741 or control field 747.
  • FIG. 7G shows a device ID encoding for field 743.
  • FIG. 7H shows terminology used for describing content of the source field in the header of messages for XB 279. FIGs.
  • FIG. 70 is a table (Table 4) of the Xsel select-encodings for XB 279.
  • FIG. 8 is a block diagram of one embodiment of XB 279.
  • FIG. 8 A shows a table of POQ/PI interface signals.
  • FIG. 8B shows a table of PIQ/PI interface signals.
  • FIG. 9 shows a timing diagram 900 for PIQ.
  • FIG. 9 A shows a table of MOQ/MD interface signals.
  • FIG. 10 shows a timing diagram 1000 of MD/MOQ interface signals.
  • FIG. 10A shows a table of XB/MD interface signals.
  • FIG. 11 shows a timing diagram 1100 for the NOQ interface.
  • FIG. 11 A shows a table of NOQ/NI interface signals.
  • FIG. 1 IB shows a table of XB/NI interface signals.
  • FIG. 12 shows the protocol for access errors (AE).
  • FIG. 12A shows a table of LOQ/LB interface signals.
  • FIG. 12B shows a table of LIQ/LB interface signals.
  • FIG. 12C shows a table of IOQ/II interface signals.
  • FIG. 12D shows a table of IIQ/II interface signals.
  • FIG. 13 shows a table of sample bypass arbiter interface signals.
  • FIG. 14 shows a diagram of XB arbiter filter 1400 for XB 279, with MOQ filter shown as an example.
  • FIG. 15 shows a diagram of a wavefront arbiter structure 1500 (this diagram shows only one of six fixed-priority arbiters).
  • FIG. 15 A shows a table of sample wavefront arbiter interface signals.
  • FIG. 16 shows a timing diagram of flow control in XB 279.
  • FIG. 16A shows a table of channels for requesting input queues.
  • FIG. 17 shows a block diagram of MOQ data buffers.
  • FIG. 17A shows a table of signals for requesting input queues.
  • FIG. 18 shows a block diagram of NOQ buffers.
  • FIG. 19 shows a block diagram of IOQ buffers.
  • FIG. 20 shows a block diagram of MIQ buffers.
  • FIG. 21 shows a block diagram of LIQ buffers.
  • FIG. 22 shows cell counts for one embodiment of XB 279 showing organization and sizes of various registers and structures in XB 279.
  • FIG. 23 shows a block diagram of PI 270.
  • FIG. 23A shows speeds for SYSAD interfaces for some T-Rex speeds and clock divisors.
  • FIG. 24 shows a block diagram for the address and datapath for PI 270.
  • FIG. 25 shows a data structure diagram of a RRB element.
  • FIG. 25A shows various RRB status bits.
  • FIG. 26 shows a block diagram of an RRB.
  • FIG. 27 shows a data structure diagram of a WRB element.
  • FIG. 27 A is a table (Table 35) of WRB status bits.
  • FIG. 28 shows a data structure of one embodiment of an IRB element.
  • FIG. 29 shows a diagram of functions provided by a PI 270 of one embodiment of the present invention.
  • FIG. 29A is a table (Table 36) of T-Rex generated requests.
  • FIG. 29B is a table (Table 37) of RRB requests for WRB conflicts.
  • FIG. 29C is a table (Table 38) showing the data format for outbound requests.
  • FIG. 29D is a table (Table 39) showing the data format for incoming reply actions.
  • FIG. 30 is a timing diagram for SYSAD arbitration for a T-Rex external block data response.
  • FIG. 31 is a block diagram of one embodiment of MD 275.
  • FIG. 32 is a block diagram of one embodiment of a memory DIMM 600.
  • FIG. 32A is a table (Table 58) of DIMM configurations.
  • FIG. 33 is a block diagram of one embodiment of a pair of "standard” memory DIMMs 680.
  • FIG. 34 is a block diagram of one embodiment of a pair of "premium" memory DIMMs 690 (wherein one half of the pair is shown).
  • FIG. 34A is a table (Table 59) of address translation used.
  • FIG. 34B is a table (Table 60) of incoming messages for MD 275.
  • FIG. 34C is a table (Table 61) of outgoing messages for MD 275.
  • FIG. 35 is a block diagram of one embodiment of the queues of MD 275.
  • FIG. 36 is a block diagram of one embodiment of the interface between MD 279 and external EPROM, UART, LED, and Synergy bridge chip.
  • FIG. 37 shows one embodiment of a Memory Block datapath.
  • FIG. 38 shows one embodiment of a Fetch and Op Cache datapath.
  • FIG. 39 is a block diagram of the main address and data paths of the NI 274.
  • FIG. 40 shows message sideband encoding
  • FIG. 41 shows a block diagram of the connections between node controller 75 and router 76 of one embodiment.
  • FIG. 42 shows a high level diagram of the IO unit and its position relative to the rest of node controller 75 and input/output port 79.
  • Some embodiments implement multiprocessor systems, that generally do not use a coherent cache model.
  • Other embodiments include cache coherence mechanisms and methods such as described in application serial number 08/971,184 filed November 17, 1997 entitled “MULTI-DIMENSIONAL CACHE COHERENCE DIRECTORY STRUCTURE", which is hereby incorporated by reference.
  • the present invention provides a node controller that is economic and provides excellent performance when scaled sown as well as when scaled up (i.e., to a large number of processors).
  • Figure 1 shows one embodiment of multiprocessor computer system 100 of the present invention having one or more node clusters 170, each node cluster
  • interconnection network 175 can be set up as a three-dimensional torus, an N- dimensional hypercube, or any other suitable interconnection network between routers 76.
  • each router 76 includes eight ports 211, wherein each port 211 can be used to either connect to other routers 76, or to one to N node controllers 75 each having zero or more processor elements (PEs) 74.
  • PEs processor elements
  • a router 76 can be used as just an interconnection node in the network 175 (i.e., a circuit within block 175 rather than within node cluster 170), having no PEs 74 or memory 77 or I/O subsystems 79, and all of its ports are used to connect to other routers 76.
  • ports 211 are used to connect to other routers 76 through network 175, and other ports 211 are used to connect, via node controller 75, to a plurality 141 of PEs 74, to memories 77, and to I/O subsystems 79.
  • FIG. 2 shows details of one such embodiment of a node cluster 170 having a router 76 with six router connections 72 (i.e., connections to other routers 76), and two node-controller connections 73 (also called channel 73) (i.e., connections to the two node controllers 75 that together connect in turn to eight processor elements 74).
  • One to four PEs 74 connect to each node controller 75, and share a single memory 77 having one or more banks of memory.
  • each bank includes two or more memory cards accessed in parallel.
  • each memory card includes separate subsets of memory chips, one subset dedicated to memory data, and another dedicated to directory data (such cards are sometimes called memory/directory cards).
  • Each node controller 75 optionally connects to an I/O subsystem 79 that provides connections to conventional high-speed I/O ports and devices such as workstations, disk systems, and tape systems, etc.
  • each node controller 75 includes up to five interface ports, i.e., PIO 271, PI1 272, II 273, NI 274, and MI 275.
  • Processor port interface 0 (PIO) 271 connects to a first subset 241 of processors 74
  • processor port interface 1 (PH) 272 connects to a second subset 242 of processors 74
  • input/output port interface (II) 273 connects to an input/output system 79
  • network port interface (NI) 274 connects to either a router 76 as shown in Figure 2, or to another node controller 75 as shown in Figure 4 below.
  • Memory interface (MI) 275 connects to memory 77.
  • FIG. 3 shows another embodiment, showing a multiprocessor system 300 having a single node cluster 170 having a single router 76 with no router connections 72, eight node-controller connections (channels) 73 (i.e., connections to the eight node controllers 75 that together connect in turn to thirty-two processors 74).
  • This is the maximum number of PEs 74 for this embodiment of router 76 (which has eight ports 211) and node controller 75 (which can connect to up to four PEs 74).
  • Each port 211 connects to up to one processor cluster 370, and each processor cluster 370 has up to four PEs 74, a shared memory 77 for the PEs 74, and a shared I/O subsystem 79, all connected through one node controller 75.
  • router 76 is not connected to any other router 76.
  • not all ports 211 need be used, and not all processor clusters 370 need be fully populated with four PEs
  • FIG. 4 shows an embodiment of a multiprocessor system 400 having no router chips, but having two node controllers 75 connected to one another using a single interprocessor communications channel 73. This embodiment accommodates up to eight processors 74. This is the maximum number of PEs
  • node controller 75 for this embodiment of node controller 75 (each of which can connect to up to four PEs 74). Each node controller 75 connects to up to one other processor cluster 370, and each processor cluster 370 has up to four PEs 74, a shared memory 77 for the PEs 74, and a shared I/O subsystem 79, all connected through one node controller 75.
  • processor clusters 370 need be fully populated with four PEs 74, and in still other embodiments, more than eight processors are supported.
  • the system architecture of the present invention (sometimes denoted "SN1"), shown in Figure 5, includes of one or more processor/memory nodes 370 connected with a general interconnect network (also called an interprocessor communications fabric)575 whose bandwidth scales with the number of processors.
  • interprocessor communications fabric 575 can be as simple as a single interprocessor communications channel 73 that directly couples two node controllers 75 as shown in Figure 4, or can include an extensive network connecting many node controllers 75.
  • One such extensive network includes a network of interconnected routers 76 such as shown in Figure 1 , but other embodiments include other interconnection networks such as are well known in the art.
  • the global shared-memory 577 in SN1 is partitioned with a section of memory 77 distributed (i.e., locally connected) to each group of four processors 74. This partitioning provides very low latency for memory allocated close to the processor 74, while the general interconnect provides for global access to all of memory 577 from any processor 74.
  • a directory-based protocol is used to maintain cache coherence over all of global memory 577.
  • I/O Input/output (I/O) 579 within the SN1 system is also partitioned with an I/O interface connection point (II port) 273 on each CPU/Memory node 370. As with the distributed memory 577, every I/O port 273 is addressable from every processor 74.
  • each CPU/Memory node 370 is packaged in a "brick" module. Large CPU configurations can be constructed using multiple Node modules. These nodes 370 are connected with links through routers 76, each of which is also packaged in brick. In various embodiments, each node 370 contains up to four T-Rex-type or Merced-type processors, memory-directory bank(s) 77 each having some amount of main memory and an associated amount of directory memory, an attachment port 274 for multinode interconnection through the interconnection network (sometimes called CrayLink2), and an II attachment port 273 to the I/O subsystem 79.
  • the interconnection network attachment port is full duplex, 1600 MB/sec in each direction.
  • the I/O attachment port (sometimes called "XTOWN2”) is full duplex at 1200 MB/sec but can be slowed down to 800 MB/sec to interface with older I/O devices or graphics components from older SN0 systems.
  • the attachment port to the CrayLink2 connects to the routing network 175.
  • the routing network 175 is built up from 8-ported router chips 76 that connect to nodes and to each other using cables.
  • the interconnection topology used in the SN1 family is described in the next section.
  • the DIMM module 600 (see Figure 6A) is a 294-pin-position vertically- plugged PCB (printed circuit board), containing parts for main memory and directory memory.
  • DTMM Guide base 671 and Levers 672 are a 294-pin-position vertically- plugged PCB (printed circuit board), containing parts for main memory and directory memory.
  • FIG. 6B shows DIMM guide 673 having four DIMM modules 600. Because the DIMM module 600 is extra tall, an external guide/support part 673 is required.
  • the guide 673 supports four modules 600, allows airflow, and provides features for an insertion/extraction mechanism.
  • one guide design is used at both ends of the DIMM's, as shown in Figure 6B.
  • Levers 672 reside on the top of the guide 671. These levers 672 will provide a mechanical advantage in the insertion, ejection, and retention of the DIMM modules 600.
  • node controller 75 is implemented on a single integrated circuit chip 475 (see Figure 7B), and in one particular embodiment, on a single chip called "Bedrock.” References to Bedrock shall mean this particular embodiment of node controller 75, but other embodiments of the invention are contemplated. In particular, some embodiments contemplated omit certain features described below, and other embodiments replicate features described, or add and delete certain combinations of features. Overview of the system In one embodiment, the present invention specifies a distributed, shared- memory multiprocessor employing 1 to 4096 processors (although the Bedrock SN1 node implementation of one embodiment supports a maximum of 1024 processors). SN1 fully supports a single address space and provides cache coherence across the entire machine.
  • SN1 is organized into a number of nodes; each node connects the local memory to the processors, provides a port to the Router Network, and a port to the IO subsystem.
  • Bedrock is the first implementation of an SN1 node. Each Bedrock supports up to four T-Rex+ processors, a portion of the global memory, a directory to maintain cache coherence, an interface to the Crosstalk IO subsystem, and an interface to SN1
  • the Bedrock chip provides connectivity between four types of external interfaces and an interface to local chip resources.
  • Each of the interfaces is managed by a subdivision of the Bedrock known as a unit.
  • the units' names derive from the interfaces they manage: the IO interface unit (II), the network interface unit (NI), the memory/directory interface unit (MD), the two processor interface units (PI_0 and PI 1), and the local block (LB).
  • the units are connected by a central crossbar (XB), as shown in Figure 7B.
  • Bedrock supports shared memory systems of up to 256 SNINet nodes (1024 processors).
  • the different internal Bedrock interfaces communicate by sending messages through the XB unit.
  • messages are separated into two groups: requests and replies.
  • I/O port Cross-to-Net protocol
  • SNINet protocol Network port
  • Figure 7A shows node controller 75 of one embodiment of the present invention.
  • Figure 7B shows one embodiment node controller 75 further including indications of the flow of data into and within node controller 75.
  • Figure 7B also shows node controller 75 implemented within a single chip 475.
  • Figure 7C shows a diagram of node controller 75 within a node 370 and describing the various interface widths and clock speeds for one embodiment of the present invention.
  • Figure 7D shows header and data formats for messages of one embodiment of node controller 75. Bedrock Internal Message Format
  • the internal message of Bedrock (one embodiment of node controller 75) (see Figure 7D) includes a header frame (a frame is a group of bits that is conceptually or logically a single unit. The term does not infer the number of clock cycles required to process the information, nor the unit-size of the bits that are placed simultaneously on the wire" (either through the Bedrock's XB or over the Router Network or Crosstalk interfaces)), followed (optionally) by one or more data frames carrying a total of 64 to 1024 bits of data for the message.
  • a header frame a frame is a group of bits that is conceptually or logically a single unit. The term does not infer the number of clock cycles required to process the information, nor the unit-size of the bits that are placed simultaneously on the wire" (either through the Bedrock's XB or over the Router Network or Crosstalk interfaces)), followed (optionally) by one or more data frames carrying a total of 64 to 1024 bits of data for the message.
  • each of the Bedrock frames (the header, the doubleword data, and the quadword data) is shown in Figure 4.
  • a header frame can be followed by 0, 1, 2, or 16 doubleword data frames, or, on the MD interface, by 0, 1, or 8 quadword data frames (any particular Bedrock MD interface uses only one of these data formats).
  • Most interfaces on the Bedrock's Crossbar unit accept/transmit one 160-bit micropacket flit (carrying either a header, a doubleword of data, or a quadword of data) in a single clock cycle.
  • Bedrock's Crossbar unit processes each micropacket (from input port to output port) in two clock cycles. Whenever possible, the Crossbar unit combines 2 received doublewords into a single quadword micropacket.
  • control signals (defined in Figure 4 and described in detail in Table 1) indicate all or some of the following information:
  • Figure 7E shows the field format for source and supplemental fields.
  • Figure 7F shows a table of control signal usages for control field 741 or control field 747.
  • Figure 7G shows a device ID encoding for field 743.
  • Figure 7H shows terminology used for describing content of the source field in the header of messages for XB 279.
  • Figures 71, 7J, 7K, 7L, 7M, and 7N show a multi-part table (Table 3) describing internal bedrock message source and supplemental fields.
  • Figure 70 is a table (Table 4) of the Xsel select-encodings for XB 279.
  • the header frame contains the source and supplemental fields, the message type (command) field, and 37 bits (39:3) of valid address.
  • Subsequent data frames contain 64 or 128 bits of data, plus a bit to indicate an uncorrectable data error (UCE).
  • the UCE bit is set if an uncorrectable error was encountered for that data word, such as a double bit error in memory.
  • the quadword data format is explicitly divided into DataEven and DataOdd doublewords. Whenever quadwords must be broken up into doublewords for transmission along a narrower interface, DataEven is transmitted first. If a doubleword of valid data is sent across the quadword interface, it is assumed to reside in DataEven.
  • Figure 7G (Table 2) specifies the values that are contained in the Source and Supplemental fields of the header frame.
  • the general format of the identifiers used in the these fields is shown in Figure 5.
  • the Node ID corresponds to the Router Network Address [39:32] (when the system is operating in its default M-mode).
  • the node address space (total memory addressable in a node) is therefore Address[31 :0], allowing up to 8 Gigabytes of addressable memory per node.
  • Source field (illustrated in Figure 7E) identifies one of the following: — For directory revision messages (such as XFER or SHWB) headed out the network interface, it identifies a coherency request initiator (that is, a source).
  • directory revision messages such as XFER or SHWB
  • coherency request initiator that is, a source
  • the Source field on the forwarded request identifies the destination address of the third party (illustrated in Figure 6) in the coherency transaction.
  • Owner - the owner of the cache line referend to by the address in this header. Used to generate revision messages. Owner will be either the initiator or the sender.
  • This number is the total number of invalidates sent minus 1. 1 WRB - write request buffer resource tag number of current write transaction.
  • T-Rex/BCast two bit field which specifies which TRex to send an invalidate to. Can also specify a broadcast to both TRexs.
  • FIG 8 is a block diagram of one embodiment of XB 279.
  • the crossbar unit (XB) 279 of node controller 75 provides connectivity between the two PI 270s, the MD 275, II 273, LB, and NI 274 units in a fair and efficient manner.
  • the XB 279 supports the flow of messages in Bedrock internal format along two virtual channels, multiplexed across the physical channel(s) connecting each unit to the XB 279.
  • the XB 279 is designed for minimal latency under light loads by means of buffer/queue bypass paths and arbitration hints, and maximum throughput under heavy loads by means of per virtual channel arbitration requests and a wavefront arbiter.
  • Message ordering between each pair of units is maintained within each virtual channel. Messages targeting different destination units from a single source virtual channel may be transmitted in any order. Messages along different virtual channels may be interleaved across an interface or along a physical channel at the flit 1 level.
  • the XB 279 resides physically in the approximate center of the node controller 75, as it must communicate directly with each of the functional units (MD 275, II 273, LB 278, NI 274, and two Pis 271 and 272).
  • the XB 279 is divided into a set of modules that each interface directly with a functional unit, and a set providing central connectivity and arbitration.
  • Figure 70 is a block diagram of the XB architecture.
  • a dual-FIFO refers to two virtual channel FIFOs within a single buffer memory structure, a quad-FIFO referring to four virtual channels in an analogous structure.
  • Dual bank refers to the width of the FIFO write port.
  • the memory output queue (MOQ) requires dual banked FIFOs to sustain 3.2 GB/sec. of bandwidth.
  • Datapath Crossbar This centrally located module contains an 8-input by 6-output crossbar.
  • the crossbar datapath is 67 bits wide for all inputs and outputs, providing 1.6 GB/s of data bandwidth per port at a 5ns clock. A single cycle is spent traversing the crossbar datapath: data is registered before being presented to the crossbar datapath, and flows into a register after the output multiplexer. Data transfers are orchestrated by the unit output queues and the arbiter working in tandem. Data, valid and flit tag information are provided by the source output queue. Crossbar select lines are controlled by the arbiter and registered as one-hot busses to minimize fall-through latency.
  • Unit Output Queues The unit output queues (the "OQs") provide buffering for outgoing unit messages (destined for the XB 279) while they arbitrate for datapath resources.
  • a flit is the smallest atomic, flow-controlled transfer unit supported by an interface to the crossbar.
  • XB nomenclature is unit-centric, not XB-centric.
  • OQ rate matching between disparate XB and unit bandwidths
  • MOQ, NOQ 2 , IOQ rate matching between disparate XB and unit bandwidths
  • POQ, IOQ point of synchronization for units asynchronous to the core
  • the OQs have two major interfaces: a unit interface and an arbiter/datapath interface.
  • the unit interfaces are customized to the unique requirements of each unit, and detailed in the sections that follow.
  • the arbiter/datapath interface is uniform across the OQs.
  • the Valid (from the unit to the output queue) corresponds to a single cycle flit, except for NI 274 where it corresponds to either 1 header or two consecutive data flits.
  • the Tail comes with last flit of the message, except for NI 274 where it comes with the Valid. See Figure 7O showing Table 4. Note that setting Xsel[2] to 0 results in four SNO-compatible encodings (with the Local
  • Xsel[2] can also be thought of as a "sub-node" select bit.
  • the message buffers may be bypassed entirely, or the data may be written and read from the buffers in the same cycle.
  • the details of the crossbar arbitration are hidden from the unit, which need only be concerned with proper data transfer and flow control on the OQ interface.
  • the unit input queues (the "IQs") provide buffering for data that has traversed the XB 279, but has not yet been processed by its destination unit. Their primary role is to provide rate matching and synchronization between the XB 279 and the receiving unit. If sized properly, they isolate XB message flow from the effects of lower bandwidth units, and allow higher bandwidth units to accumulate incoming packets until a transfer at the higher rate is possible.
  • the NI 274 Since the NI 274 is synchronous and bandwidth-matched to the XB 279, the NI 274 does not have an IQ. Fill level information from the IQs is used by the arbiter to flow control messages from the OQs. In the case of the NI 274,
  • SNINet flow control information is used to provide back pressure across the XB 279.
  • the MD 275 requires input buffers to provide rate matching between the XB 279 and the higher bandwidth memory interface. To allow a tighter coupling between the MD 275 and the input buffers, the buffers are placed in the MD unit
  • the MD 275 also provides flow control signals to the arbiter.
  • the arbiter provides low latency arbitration for uncontested ports via bypass arbitration, and efficient, high utilization via wavefront arbitration as resources become saturated.
  • the arbiter must also ensure fairness for all units and correctness by disallowing message fragmentation within a virtual channel.
  • the basic unit of data transfer supported by the arbiter is a two clock entity, composed of either a single clock header followed by an unused cycle, a single clock of data followed by an unused cycle, or two data clocks. Note that single clock data transfers are only used for the last transfer of an odd-length data payload.
  • the arbiter receives requests for two cycles of XB bandwidth from the units every other cycle, and grants bandwidth to a particular destination in the same cycle.
  • the XB 279 will, in some embodiments, encounter errors with some of the following root causes: design flaws in the Bedrock (in the units or in the XB 279 itself). • external component failures whose effects are not filtered by the units before reaching the XB 279.
  • the crossbar will only detect errors of the following types: • Invalid Xsel destination encodings from unit to OQ (BAD XSEL).
  • the crossbar provides a debug mode in which all data leaving a particular OQ or all data entering a particular IQ can be echoed ("spewed") to the NI 274. Once reaching the NI 274, the data will be converted to network format and sent out the SNINet port (as normal) for capture via a suitable test chip (one that allows examination of the signals on the link) that is embedded in the link. Note that this mode is only useful for single node system debugging, as the NI 274 port cannot be shared by spew traffic and normal traffic. EQQ
  • Each POQ accepts request and reply messages for a PI 271 or 272 at the PI clock frequency.
  • the POQ has a synchronizer to bring the PI data into the core clock domain.
  • the POQ presents PI requests and replies to the XB arbiter and datapath.
  • There is a Synchronous mode (pin) which can be set when the PI 270 and the core runs synchronously. This causes the POQ to bypass the synchronizer and save 1.5 cycles.
  • the PI 271 or 272 is flow-controlled by the POQ 812 or 816, respectively.
  • the POQ indicates the number of free entries available for new data in the request and reply queues. When the number of free entries reaches 0, the PI 270 is no longer permitted to send data to the POQ. The PI 270 needs to know the number of entries so it can properly flow control the T-Rex+ processor (a simple Full flag is not sufficient for this).
  • Rex+ require revision messages, with or without data, to be sent to the home node's memory, depending on the command type and the supplemental fields.
  • revision message types :
  • RevisionMessage command is one of ( ' BR_CMD_UACK I ⁇ R_CMD_SACK I ⁇ R_CMD_EACK
  • RpFree is asserted whenever an entry is freed from the Reply buffer, but RqWrFree is only asserted when the packets are longer than two flits are freed.
  • the processor interface input queue is a FIFO pair with separate request and reply channels.
  • the XB side of the PIQ runs at the core clock speed, while the PI side of the PIQ runs at the PI clock speed.
  • the PIQ implements an asynchronous boundary.
  • valid data appears at the PI side of the PIQ, valid lines are asserted until the PI 270 reads data from the PIQ.
  • MOQ To sustain full memory bandwidth, the memory unit's output queue
  • MOQ multi-reliable queueing structure
  • MPO replicated queueing structure
  • MPl replicated queueing structure
  • MMQ MMQ
  • the MD 275 sends messages into each queue based on their destination. There is a single queue dedicated to each PI 270 (MPO and MPl), and a third queue within which LB, NI, and II messages are held (MMQ).
  • Each MOQ structure is made up of separate header (MOQH) and data (MOQD) FIFOs.
  • MOQH and MOQD have separate interfaces to streamline connecting to the MD 275 datapath.
  • the MOQH is divided unequally into request and reply channels (mostly reply), since most outgoing traffic is on the reply channel.
  • the MOQH and MOQD also have bypass paths.
  • the limited set of data bearing requests from the MD 275 travel entirely through MOQH, eliminating the need for a request channel on MOQD.
  • the MOQD has dual buffers which are written simultaneously by the MD 275, and read alternately by the XB 279. When transferring a doubleword of data, the MD 275 may present the data on either side of the quadword interface.
  • the DwOffset signal indicates whether DataEven or DataOdd is used.
  • the MD 275 will at times present a header to the MOQ before all checking is complete. There are two scenarios under which this takes place:
  • Hdr ⁇ Rq,Rp ⁇ dst is asserted and on the following cycle, during which the header itself is transferred, the MD 275 encounters an ECC error and must cancel the message.
  • the MD 275 asserts the Abort signal in this second cycle, indicating that the header should be dropped and all state associated with this message cleared.
  • another Hdr ⁇ Rq,Rp ⁇ Valid (on the same channel) may be asserted, and that message must also be cancelled.
  • an access error may be detected in the cycle after the header has been transferred to the MOQ.
  • the MD 275 asserts the AE signal on the third cycle of the transfer, and the MOQ and NI 274 cooperate to ensure that the header is dropped. There may be residual message-in-progress state left in the XB 279 as a result of this cancelled transfer.
  • the MD 275 guarantees that it will resend a message to the NI 274 along the same channel, clearing up any outstanding XB state. Note that the original Hdr ⁇ Rq,Rp ⁇ Valid may have not been aborted on the second cycle, and encountered an access error on the third. Also note that any header immediately following (on the same channel) a header that ultimately encounters an Access Error must be aborted. A single cycle of delay after the AE header is sufficient to again allow a valid transfer. If AE is asserted in the third cycle, MD 275 guarantees that there is no Hdr ⁇ Rq,Rp ⁇ Valid on the second and third cycles. Flow control between the MD 275 and each of the MOQs is credit based.
  • the MD 275 must maintain a count of available buffer space for each MOQ. On transferring a header or data cycle to a particular MOQ, the MD 275 must decrement the corresponding credit count.
  • the HdrRq/RpFree outputs from each MOQ indicate that a single cycle header/doubleword data entry has become available in MOQH. DataFree indicates that a quadword or quadword- aligned doubleword entry has become available in MOQD.
  • Figure 10 and Table 20 (shown in Figure 9A) provide a detailed list of the MD/MOQ interface signals.
  • the MD 275 provides separate flow control for several XB sources, allowing requests from certain sources to make progress while others are stalled until their buffers are freed.
  • the NI 274 forwards remote read requests to the MIQ as soon as they are received, bypassing the XB.279 entirely.
  • Reads that are received from the NI fast path are speculative in nature, and are only forwarded across the MD 275 interface when the MIQ cannot make use of the interface otherwise.
  • the speculative headers are presented for a single cycle only, and are identified by the HdrSpec signal associated with HdrAvail.
  • Figure 10A lists in detail the interface signals between the XB 279 and MD 275. NOQ
  • the network unit's output queue includes four channels that correspond directly to the router network (175)'s four virtual channels. Each individual channel is treated as a FIFO, and each presents requests to the arbiter.
  • the arbiter By controlling the grants returned to the NOQ, the arbiter ensures that the four virtual channels are properly merged into the two virtual channels supported by the rest of the Bedrock.
  • Data is transferred across the NI-NOQ interface in 2-cycle flits, in a manner analogous to that used between OQs and IQs within the XB 279.
  • Valid cannot be asserted to the NOQ on consecutive cycles.
  • Each assertion of Valid represents either a single-cycle Bedrock header followed by an unused cycle, a single cycle or data followed by an unused cycle, or two cycles of data.
  • Even- length payloads are sent as a series of two-cycle data flits, with the last transfer of an odd-length payload being a valid single-cycle of data followed by a dead cycle.
  • the NOQ uses the Size field provided with the header's Valid pulse to distinguish the two.
  • the NOQ decodes the header command size bits and samples only the first doubleword of data in the last flit of the message. Also note that although the UCE bit is defined for each doubleword of data in crossbar format, it is only valid on the first cycle of a data flit from the NI 274 to the NOQ.
  • the NI 274 forwards flits to the NOQ before link-level checking is complete. In cases where a flit must be dropped due to a link-level error, the NI 274 will assert Squash a cycle after asserting Valid.
  • NOQ-NI interface flow control requires the NI 274 to know the NOQ buffer depth.
  • the NI 274 must keep track of all buffers consumed (by means of
  • the buffer depth is measured as the number of 2-cycle flits that can be stored, and a Free pulse indicates the release of a 2-cycle flit buffer.
  • a Valid followed by Squash is dropped by the NOQ and thus will not result in a Free pulse.
  • FIG. 11 See Figure 11 for an NOQ timing diagram. Note that the figure includes SNINet LLP and internal crossbar signals. These help illustrate the expected fall-through latency from SNINet onto the crossbar, but do not directly affect the NI-NOQ interface.
  • the interface signals are listed in Table 22 (shown in Figure 11 A).
  • the NI 274 does not have an input queue for incoming XB traffic.
  • the direct connection of the NI 274 and the XB 279 dictates that messages be transmitted across the interface in the 2-cycle flit format supported by the XB 279.
  • Each assertion of DataValid signals the first cycle of a flit being transmitted.
  • the unused cycle after each header allows the NI 274 to expand Bedrock headers into 2-cycle Router Network headers at full rate.
  • the DataTail tag is provided on the first cycle of the 2-cycle flit. There is no distinction between single and dual data cycle flits on the interface.
  • the two channels of traffic supported by the XB (request/reply) are demultiplexed onto the four router network channels by the NI 274, in a manner which is invisible to the crossbar.
  • the NI 274 combines flow-control information from the four network virtual channels to apply back-pressure to the two channels seen by the crossbar.
  • the XB->NI protocol is complicated by a latency-reducing optimization from the memory system.
  • the MD 275 sends response headers to the XB (headed for the
  • the MD 275 asserts the Access Error (AE) signal to the MOQ and the NI 274. If the response header passes through the MOQ and the crossbar with minimum latency, the header DataValid will arrive simultaneously with the AE signal. The AEValid signal from the MOQ to the NI 274 is asserted whenever this minimal latency path is taken.
  • the Access Error (AE) bit from the MD 275 is combined with the AEValid bit from the MOQ to gate XB DataValid, as illustrated in Figure 12. See Table 23 (shown in Figure 1 IB) for a description of the full set of XB/NI interface signals.
  • the local block's output queue is a FIFO pair. It need only be sized large enough to ensure that outgoing invalidates can be sent at full network bandwidth.
  • the LOQ has no bypass path.
  • the LOQ interface signals are detailed in Table 24 (shown in Figure
  • a header or single cycle of data is considered transferred if:
  • RqAvail && RqReady
  • Rq and RpReady may be asserted together.
  • Rq, RpAvail are mutually exclusive.
  • the local block's input queue (LIQ) presents independent request and reply interfaces to the Local Block.
  • the request FIFO will buffer invalidate requests (as well as other requests to the local block), and should be large enough to hold all of the coarse invalidates that can be issued from the four processors on the local node 3 .
  • the LIQ has request flits to deliver to the LB, it asserts the RqAvail signal.
  • the LB independently asserts RqReady when it can accept a request flit.
  • both RqAvail and RqReady are asserted in the same cycle, a data transfer
  • the LB may consume multiple flits in the same cycle by asserting multiple Ready signals in the presence of multiple Avail signals.
  • the only reply messages flowing through the LIQ are vector replies.
  • the LB guarantees that vector responses can always be consumed at full rate.
  • LB also guarantees that any reply messages erroneously arriving at the LB will be consumed at full rate.
  • a Valid signal from the LIQ is used to indicate a reply transfer rather than a Ready/ Avail protocol, and no reply storage is allocated in the LIQ.
  • the LIQ/LB interface signals are detailed in Table 25 (shown in Figure 12B).
  • the IO interface's output queue is a FIFO pair with separate request and reply channels.
  • Data from the II 273 is written into the IOQ at the speed of the II 273, which is asynchronous with respect to the Bedrock core clock.
  • Data is read by the XB 279 at the Bedrock core speed.
  • the IOQ and POQ are instantiated from the same source logic. That is, they are identical logically. In various embodiments, special features are enabled or disabled depending on whether the logic is serving the II 273 or PI 270.
  • the exception is Arbitration Trigger in IOQ.
  • Arbitration Trigger in IOQ enables the IOQ to wait a programmable number of cycles after seeing a Header, before it starts to arbitrate. This gives the IOQ a chance to have enough entries such that it can use the arbiter and the bus optimally without any unused cycle.
  • the Arbitration Trigger register can be set to: II frequency / Core frequency * number of cycles to transfer a cache line.
  • the IO interface's input queue is a FIFO pair with separate request and reply channels. Data from the XB 279 is written into the IOQ at the speed of the Bedrock core, which is asynchronous with respect to the II clock. It is presented to the II 273 through separate request and reply registers.
  • the IIQ and PIQ are instantiated from the same source logic. That is, they are identical logically, with the exception of Arbitration Trigger in IOQ.
  • Arbitration Trigger in IOQ enables the IOQ to wait a programmable number of cycles after seeing a Header, before it starts to arbitrate. This gives the IOQ a chance to have enough entries such that it can use the XB bandwidth (arbiter and the bus) optimally without any unused cycle.
  • the Arbitration Trigger register can be set to: II frequency / Core frequency * number of cycles to transfer a cache line. If the Tail of a message is seen, it can immediately arbitrate without waiting for the programmed number of cycles.
  • the arbiter combines per virtual channel requests and message framing information from the OQs with flow control information from the destination IQs/units to grant crossbar bandwidth fairly and efficiently.
  • the arbiter supports two bandwidth request interfaces: the bypass arbiter interface and the wavefront arbiter interface, named respectively for the arbiter implementation that handles each.
  • the switch carries the data along with virtual channel tag, tail bit from the OQ source to the IQ or unit destination.
  • the arbiter supports bypass arbitration as a means of low latency, fixed priority arbitration. When a OQ is empty and idle, it can use bypass arbitration for new requests to minimize latency. To initiate bypass arbitration, the OQ asserts its appropriate request line at the beginning of a clock cycle (for a summary of all request lines, see the XB interface section, below.) If the requested IQ is free, and if there are no conflicting virtual messages in progress ("MIPs"), the bypass arbiter will grant the IQ to the requesting OQ. On the next clock, the OQ must provide data on the XB datapath, starting at the beginning of the cycle.
  • MIPs virtual messages in progress
  • the arbiter supports speculative bypass requests. Once a bypass grant is received, data is presented to the XB datapath with the virtual channel and Xsel of the data. If these match the registered granted values, the data is considered valid at the destination IQ, otherwise the request is considered speculative and the request is ignored.
  • the bypass arbiter can be disabled via a XB_BYPASS_EN register. When disabled, bypGnt will never be asserted, and all message transfers will be controlled by the wavefront arbiter 1500. Wavefront Arbitration
  • the arbiter also supports wavefront arbitration, which uses the XB bandwidth more effectively by maximizing the number of grants per arbitration, and provides fairness to OQ requestors through the age mechanism.
  • An OQ may always request wavefront arbitration, and should assert wavefront arbitration requests to all destinations for which it has a valid packet at the head of a FIFO.
  • the wavefront arbiter can assign grants more efficiently if it is presented with more requests.
  • the OQ must assert its wavefront arbitration request(s) at the beginning of a cycle. Towards the end of that same cycle, the arbiter will provide the OQ with a grant. The OQ then has one full cycle to complete its FIFO read, then it must provide data for the XB 279 on the next cycle.
  • FIG 14 is a block diagram of one embodiment of an XB arbiter filter 1400 (the MOQ filter is shown). Before arbitration requests reach the wavefront arbiter, they are sent through the filter block 1400. The filter block prevents the mixing of two message streams on one virtual channel. If there are no other virtual channel MIPs targeting the same destination as an OQ request, that request is sent on from the filter block to the wavefront arbiter 1500 (see Figure 15).
  • the wavefront arbiter is set up in a matrix form, although it is tilted to the side to minimize worst case timing paths. Rows in the wavefront matrix represent OQ sources, while columns represent IQ destinations. Each tile in the wavefront arbiter is the terminus of a filtered arbitration request line, and represents a particular OQ requesting a particular IQ. OQs may request several IQs in one arbitration round. OQs will be granted a maximum of 1 IQ per round. Note that the wavefront arbiter treats the MOQ as 3 OQs, as the MOQ can provide 3 data streams simultaneously. Thus, the MOQ can receive up to 3 grants in an arbitration round. Wavefront arbitration tiles closest to the upper left corner in Figure 15 are evaluated first, and therefore have the highest priority.
  • a request is granted at a particular tile, that information is propagated down and to the right as the granting tile de-asserts its Row Free (RFree) and Column Free (CFree) lines. Within an individual tile, a request can only be granted if the RFree and CFree lines are both asserted.
  • RFree Row Free
  • CFree Column Free
  • wavefront arbiters in the XB 279 there are a total of 6 wavefront arbiters in the XB 279, each with a different priority set. Notice that the wavefront arbiter structure shown in Figure 15 has a fixed priority, with POQ0 having top priority for PIQO, POQ1 having top priority for LIQ, etc. Each of the 6 wavefront arbiters in the XB 279 represents a different priority ordering, and depending on which OQ has the oldest age packet bound for a particular IQ, the arbiter selects one of the wavefront arbiters to use. The arb/gnt interface between the arbiter and the OQs.
  • Figure 15A shows a table (Table 29) of wavefront arbiter signals as an example.
  • the arbiter attempts to grant the "oldest" arb on the chip whenever possible.
  • Oldest is defined by an aging protocol implemented at the OQs and forwarded to the arbiter.
  • a new header arriving at the head of a virtual channel queue receives an age of 0.
  • the age will be incremented at a rate determined by the arbiter.
  • the aging rate enforced by the arbiter is programmable via the XB_AGE_WRAP register. Note that bypass arbitration is not fair, but any message that does not receive a bypass grant will immediately go into wavefront arbitration, which is fair. Wavefront requests have higher priority than bypass requests.
  • the arbiter priority module is constantly comparing the ages from each OQ.
  • the oldest age is always selected and given priority according to its preferred ageXsel field.
  • the priority block will select the winner according to a round-robin pointer.
  • the pointer advances every grant cycle, regardless of the grant results.
  • the robin pointer will not advance until at least one of the OQs has an and age of eight or more (half of its maximum value.)
  • the priority module requires a full clock cycle to complete the age comparison between all the OQs. Because of this delay, priority information always lags grant decisions by 1 cycle. Because of this delay, priority information always lags grant decisions by 1 cycle. To prevent new messages from inheriting the age of the previous message due to this lag, if the tail flit of a message receives a grant, the next requester from that OQ is treated as if it has a 0 age for one request cycle.
  • the arbiter uses a pseudo-random resolution function to choose a winner. This is important when ages saturate at the maximum value of Oxf, or when the arbiter age function is disabled. When a tie occurs, a winner is chosen at random from the pool or arbitrating OQs.
  • the pseudo-random number is generated using a 15 bit Linear Feedback Shift
  • the LFSR is driven by the polynomial X 15 + X + 1, which provides an equal balance of 0's in l's in a non-repeating fashion every 2 15 clocks.
  • Crossbar Flow Control Several flow control signals are provided by each IQ (or the unit where no IQ is present) to handle the pipeline depth of the arbiter.
  • the Full and Fulllnl signals must be asserted based on the queue fill-level.
  • the queue fill-level must be updated on the cycle following a valid transfer from the crossbar.
  • Fulllnl must be asserted whenever there is space available for one or fewer crossbar flits composed of a header or up to two data cycles. Full must be asserted whenever there is not enough space available to handle a single crossbar flit. In queues where headers and data are stored separately, the lack of space for either must cause Full/Fulllnl to be asserted. See Figure 16 for a timing diagram illustrating the relationship between crossbar DataV(alid) and the Full, Fulllnl signals. Arbiter Connectivity
  • Data transfers along the crossbar datapath are qualified with a data valid (DataV) control signal, and tagged with virtual channel (DataVch) and message tail (DataT) information.
  • DataV data valid
  • DataT message tail
  • a single cycle is spent traversing it: data is registered before being presented to the crossbar datapath, and flows into a register after the output multiplexer.
  • Crossbar select lines are controlled by the arbiter and registered as one-hot busses to minimize fall-through latency.
  • POQ Structure is similar to IOQ structure described below.
  • the MOQ is split into separate header (MOQH) and data (MOQD) queues.
  • the MOQH contains two independent FIFOs (first-in-first-out registers), one for each virtual channel.
  • the data MOQD is a reply-only data FIFO.
  • a FIFO design was chosen over a DAMQ (dynamically allocated multi- queue memory) design for the MOQ because performance sensitive traffic from the MD 275 is of a predictable nature which would not significantly benefit from a DAMQ control structure.
  • the FIFO reduces control logic overhead, and separate independent FIFOs allow request and reply traffic to interleave arbitrarily.
  • Figure 17 shows a block diagram of MOQ data buffers 1700.
  • Request message data travels entirely through MOQH. Short data responses may travel through either queue, based on which section of the MD 275 (header/directory vs. data/memory) generated them. Long (cacheline) data responses always travel through MOQD.
  • the MOQ request and reply FIFOs are built with suitable FIFO memories.
  • the MOQD uses double-banked FIFOs to accept full bandwidth traffic from the MD 275, while the banks are read alternately to match the bandwidth of the XB 279.
  • the MOQH FIFO is shared among request and reply
  • FIFOs to reduce multiplex levels in the datapath and save on FIFO overhead.
  • the MOQH contains single clock headers as well as header+single data packets. MOQH reply headers are decoded as they leave the MOQ to determine how many MOQD entries are associated with the header.
  • the MD 275 Since the MD 275 must reserve MOQ space before issuing a request to the memory, the MD 275 keeps a shadow copy of the fill levels of MOQD and MOQH. Flow control is achieved by a signal per queue that indicates that an entry (single header or QCL of data) has been drained. NOQ Structure
  • the NOQ contains the SNINet network virtual channel receive buffers.
  • Each of the four virtual channel buffers is 16 entries deep, where each entry corresponds to a router network flit - a header or 128 bits of data. Each channel may contain any mix of headers and data.
  • the NOQ buffers are structured as four independent FIFOs. NOQ Buffers
  • FIFOs were chosen over DAMQs for the NOQ to reduce control structure overhead. Performance critical messages tend to be read requests to the MD 275 and read responses to the PI 270, and since these message types will travel on separate virtual channels they will not interfere with each other. Writeback messages to the MD 275 will share the request channel with read messages to the MD 275, but this effect will be countered somewhat MD 275 request processing favoring reads and by virtual channel adaption in the network encouraging reads to take the emptier channels. Since each of the four virtual channels has an independent FIFO cable of XB arbitration, the effects of network virtual channel adaption are carried forward to the Bedrock XB 279.
  • the four logical FIFOs in the NOQ are implemented across a dual banked FIFO structure. This structure allows each FIFO to be 65 bits wide, and requires that headers be written and read out across both banks.
  • T Q Structure The IOQ must synchronize traffic coming from the II 273 to the hub core clock domain. This is done with a standard double synchronizer applied to a gray code counter. When the POQ receives a message on the hub core side, it will arbitrate for the XB 279 once the entire message is received. This is done for block length messages to prevent the higher bandwidth XB 279 from underrunning the IOQ FIFO. The IOQ is divided into independent request and reply FIFOs, although they do share the same buffer memory FIFO. PIQ Structure
  • the PIQ receives data from the XB 279 and writes it into an FIFO to be read by the PI 270. There are 64 entries for each of the Request and Reply channels. In Synchronous mode, the data can be bypassed from the XB 279 to
  • the MIQ is divided into four logical FIFOs, implemented in 3 FIFOs. Headers are buffered in a single bank, request/reply MIQH, and a dual banked request/reply MIQD holds associated data.
  • the MIQD buffers are divided into 3 block request entries and 1 block reply entry, as request data to the MD 275 is more common than reply data.
  • MIQ always presents a single header to the MD 275. If only one virtual channel contains a valid header, the MIQ will present the valid header and indicate request or reply. If the MIQ has a valid header in both channels, it will always present the reply channel to the MD 275, as long as the MD 275 is not asserting its reply channel full signal. When the MD 275 is ready to consume a header, it asserts the HeaderRead signal, which frees that entry off the queue.
  • the IIQ receives data from the XB 279 at the core data rate of 1.6 GB/s and writes it into the GRA in either the request or reply FIFO. After the write pointer is synchronized to the IO clock domain, it can be read by the II 273 at 0.8 GB/s. There is no bypass datapath in the II queues.
  • the LIQ provides a dedicated queue for PIO request from NI 274.
  • PIO request is rare, but higher priority, to avoid the Request-Request PIO deadlock.
  • LB needs to be able to service an incoming remote PIO request from NI 274.
  • the only request NI 274 can make to LB is PIO request.
  • Requests can bypass the FIFO, and Replies are written to the output register, and never enter the FIFO.
  • the Reply register is free running since LB can process Reply every cycle with no delay.
  • Queue Sizes & Types In one embodiment, the XB queue structures are implemented with IBM
  • CMOS 6s Low Power Register Arrays (FIFOs) (available from IBM Corp of Burlington VT), or with standard registers where the queue size does not justify the FIFO's gate overhead.
  • Table 32 (shown in Figure 21 A) summarizes the size and type of each queue in the XB 279.
  • Figure 8 details the breakdown of the XB functional units into logic modules for physical design.
  • the Bedrock (one embodiment of node controller 75) Memory/Directory Interface (MD) 275 controls access to all the memory in a Bedrock system. In addition to performing the standard memory controller operations, such as reading and writing memory, the Bedrock MD 275 keeps track of exactly which processors and which IO interfaces are currently using every cache line in the system. On every access, the Bedrock MD 275 checks this table (called the Directory) and generates the necessary messages in order to maintain cache coherence.
  • the Directory this table
  • the Bedrock MD 275 provides enough bandwidth to fully satisfy any single class of requestors. In other words, all four processors or both directions of the SN1 net or both directions of the IO interface can access memory at full bandwidth.
  • the Bedrock MD 275 also provides the arbitration structures necessary to fairly and efficiently allocate bandwidth when multiple requestors are accessing memory.
  • the "Architecture" section contains an architectural level overview of the MD 275, covering the following topics:
  • Issue Block (IB) 3110 Takes in all new messages and issues them in an efficient and fair order to the rest of the memory system. Issues address and control signals to the DRAM.
  • Memory Block (MB) 3118 Transfers data from the DRAM to the XB AR or from the XBAR to the DRAM.
  • Directory Block (DB) 3116 Reads the directory data, creates any necessary message headers, computes the new directory data, and writes it back.
  • the Bedrock memory system includes a Bedrock chip, some clock buffers, a flash prom, a UART, four banks of LEDs, and two, four, six, or eight DIMMs (Dual Inline Memory Modules).
  • Each Bedrock DIMM consists of one or two 72-bit sub-banks of memory DRAM and one or two 16-bit sub-banks of directory DRAM.
  • Figure 42 shows a diagram of the front of a Bedrock DIMM. In a two bank DIMM, the back of the DIMM would be the same as the front.
  • DIMMs can be populated (or not populated) in groups of two, resulting in a 128-bit wide memory system (plus 16 bits of ECC and 32-bits of directory).
  • the Bedrock MD 275 supports many different sizes of DIMMs. Each set of two DIMMs must be of the same size.
  • the Bedrock MD 275 supports the following DIMM sizes: 32 MB, 64 MB, 128 MB, 256 MB, 512 MB, and 1 GB.
  • Table 58 shows information on each potential DIMM configuration (shaded rows are the most likely DIMMs the SN1 system will actually use).
  • the Bedrock MD 275 supports two different types of DIMMs: DDR- SDRAM-std, and DDR-SDRAM-prm.
  • DDR-SDRAM are special versions of SDRAM that output data at twice the rate that they receive addresses. All production Bedrock systems will use DDR-SDRAM.
  • Standard (std) DIMMs contain one bank of directory DRAM for every two banks of memory DRAM.
  • Premium (prm) DIMMs contain a bank of directory DRAM for every bank of memory DRAM. Systems containing up to 128 processors can use Standard DIMMs. Premium DIMMs will work in any system (up to the max of 1024 processors).
  • the address bus from the Bedrock chip to the DIMMs always runs at 100 MHz.
  • the data bus between the Bedrock chip and the DIMMs runs at 200 MHz.
  • the maximum bandwidths is therefore 3.2 GB/s.
  • the Bedrock MD 275 maintains system cache coherence by checking a directory memory on every coherent access of the memory system. Since each access changes the state, the Bedrock MD 275 must perform a read-modify- write of the directory memory in parallel with every read or write of the data memory.
  • FIG. 43 shows a schematic illustration of a standard directory system (with two banks of memory DRAM and one bank of directory
  • FIG. 44 shows a schematic illustration of a single premium DIMM in a premium directory system (with two banks of memory DRAM and two banks of directory DRAM).
  • a maximum memory configuration in M-Mode of eight 1 GB DIMMs results in an address space of 8 GB.
  • a maximum configuration in N-mode of four 1 GB DIMMs results in an address space of 4 GB.
  • the Bedrock MD 275 allocates two blocks of 1 GB for each set of two DIMMs. The DIMMs with two physical banks use both blocks, while one bank DIMMs just use a single block.
  • the minimum memory configuration would be two 32 MB DIMMS or 64 MB. All of the DDR-SDRAM supported by the Bedrock MD 275 contain four internal (logical) banks. The Bedrock MD 275 interleaves across these four logical banks using the lowest possible address bits (right above the cache line size of 128 bytes). Table 59 (see FIG. 34A) shows how each address bit is used. The Bedrock MD 275 drives all the pins shown even if the smaller DIMMs might not receive or interpret every bit. Memory Directory Addressing
  • the Bedrock MD 275 performs a read- modify- write of the directory entry. In addition, it might perform a read of the protection entry and/or a read-modify- write of the page counter entry.
  • the directory entry, protection entry, and page counter entry are all stored in the directory.
  • the DRAM In order to change rows (also called pages), the DRAM must close the old row and only then open the new row. For this reason, an access to a new row is much more costly than an access to a new column.
  • the Bedrock MD 275 can still achieve full bandwidth. • When multiple interfaces (and therefore multiple streams) are accessing memory (the only time when the memory interface can reach full bandwidth), keeping pages open loses much of its effectiveness.
  • a full cache line read can move across the 128-bit wide memory interface in eight 5 ns cycles. Unfortunately, it takes twelve 5 ns cycles to perform the directory read-modify-write necessary to maintain cache coherence. For this reason, the Bedrock MD 275 groups all transactions (whenever possible) into pairs. In this way, two reads, two modifies, and two writes can take place underneath two data reads or writes without losing any bandwidth. The Bedrock MD 275 can group any two coherent or full cache-line transactions. All partial transactions must execute by themselves. Interfaces
  • the Bedrock MD 275 connects to the rest of the Bedrock system through the Bedrock crossbar.
  • a single 1.6 GB/s link handles data and headers entering the MD 275 from the crossbar.
  • Three 1.6 GB/s links handle data and headers entering the crossbar from the MD 275.
  • the Bedrock MD 275 treats requests from PIO, requests from PIl, requests from the NI or II, and replies as four separate virtual channels. By doing this, the MD 275 prevents bottlenecks where one stream would have to wait for a competing stream to drain before continuing.
  • messages arrive in the MD 275 (into the IB block), they are written into separate input queues based on their virtual channel.
  • messages leave the MD 275 into the MOQ 3113; see Figure 31
  • they are written into separate output queues based on their virtual channel (replies to PIO, replies to PIl, replies to NI or II, and requests).
  • the arbitration engine in the IB block assures that the MD 275 fairly allocates bandwidth between the channels.
  • a Bedrock system can scale up to 1024 processors. It would be almost impossible to run a single shared-memory operating system on a system that large. In order to make such systems usable, the Bedrock system must include support for multiple operating systems running on the same shared-memory base. In general, each operating system controls its own environment and can reset or reboot independently from the rest of the system. In order to prevent a single such operating system from taking down the entire system, the Bedrock MD 275 prevents certain processors or IO widgets from accessing certain memory regions. The information used to prevent access is stored in a special protection area of the directory memory and read, along with the directory, on every access.
  • the Bedrock system stores protection information based on the region of the requesting processor or IO widget. There are never more than 64 regions in a system. For smaller systems (less than or equal to 64 Bedrock chips), one Bedrock chip equals a region. For systems between 65 and 128 Bedrock chips, two Bedrock chips equals a region. For large systems (up to 256 Bedrock chips or 1024 processors), four Bedrock chips equals a region. For the most part, all processors and IO widgets inside the same operating system (also called cell) will have the same protection. For this reason, the Bedrock MD 275 uses a 64-bit local register called CurrentCell to specify which regions are inside the same operating system as the Bedrock chip.
  • CurrentCell 64-bit local register
  • the Bedrock MD 275 checks a single bit inside the directory entry. For all other requests, the Bedrock MD 275 reads a separate part of the directory memory that contains four bits for each region in the system (one for Processor Reads, Upgrades, and Write Invalidates, one for Processor WriteBacks and Replies, one for IO Reads and Write Invalidates, and one for IO Writebacks and Replies). Processor and IO protection are separated in order to allow cross-cell DMA without lowering the firewalls. Reads,
  • the Bedrock MD 275 If a READ, RDSH, RDEX, REXU, or UPGRD request hits a line for which it does not have access, the Bedrock MD 275 returns an AERR (Access Error) instead of a normal response. If a WINV request hits a line for which it does not have access, it does not write the memory, and returns a WERR (Write Error). If a WB request or a SHWB, SXWB, or PGWB reply hits a line for which it does not have access, the Bedrock MD 275 acts differently if the requestor is inside or outside the CurrentCell. For requests or replies from inside the cell, the data is written to memory regardless of the protection value.
  • AERR Access Error
  • the MD 275 If the firewall has been breached, the MD 275 returns a WERR message (in the case of a WB), stores error information in the MISC_ERROR register, and sends an interrupt to both local Pis.
  • the Bedrock MD 275 treats the WB, SHWB, SXWB, or PGWB the same as a WINV and does not write the memory unless the protection is valid.
  • Non-coherent read requests do not check protection and can therefore be used to create safe communication between cells.
  • PWRU requests check protection and are treated the same as a WINV.
  • PRDM and PWRM requests both check protection when they miss in the fetch-and-op cache. They both use a separate 64-bit protection field that is cached along with the fetch-and-op variable for cache hits.
  • PRDH requests do not check protection.
  • PWRH requests use the CurrentCell register as their protection register (preventing any processor outside the cell from writing to HSPEC space).
  • the UAlias portion of HSPEC space (a non-coherent read section that is placed in HSPEC for internal R10000 reasons) is treated here as part of USPEC spec.
  • USPEC, MSPEC, and HSPEC space please refer to the SN1 Programming Manual. Page Counters
  • NUMA non-uniform memory architecture
  • program performance will change based on the placement of important data structures.
  • data wants to be close to the processors that access it.
  • ensuring that the data is always placed correctly is a non-trivial problem and can not always be guaranteed.
  • the Bedrock chip supports page migration in order to improve the situation when the operating system and application do not place data correctly.
  • Every operating system page (16 kB) contains four sets of two counters.
  • Each set of counters refers to an interleave of the memory. Therefore, set 0 refers to cache lines 0, 4, 8, 12, etc, set 1 refers to cache lines 1, 5, 9, 13, etc, set two refers to cache lines 2, 6, 10, 14, etc, and set 3 refers to cache lines 3, 7, 11, 15, etc. While this is not an intuitive mapping of counters to addresses, it is necessitated by the decision to interleave on cache line boundaries.
  • the two counters that make up each set are a local counter and a remote counter. Local and remote are determined by a local register inside the Bedrock
  • This register (called the MigNodeMask) contains a 9-bit chipid mask (supporting up to 512 Bedrock chips). For any given access, if the source chipid ANDed with the mask is the same as the MD 275 chipid ANDed with the mask, then the access is considered to be local. Otherwise, the access is considered to be remote.
  • the counters themselves are stored in the directory memory along with the directory entries and the protection information (for a complete map of the directory memory, refer to the SN1 Programming Manual).
  • the Bedrock MD 275 In order to prevent the directory access from impacting memory bandwidth, the Bedrock MD 275 only increments and checks these page counters on every nth access. The value of n can be set in the MiglntervalCount local register.
  • both counters are read into the Bedrock MD 275. If the access was local (as determined by the mask), then the local counter is incremented. If the access was remote, then the remote counter is incremented. After the increment, the incremented counter is compared against a threshold (set in the MigValueThresh register) and the difference between the two counters is compared against a threshold (set in the MigDiffThresh register). Two control bits (Valuelnterrupt and Difflnterrupt) are read from the directory along with the counter. If the Valuelnterrupt bit is set, then the MD 275 will send out an interrupt if the MigValueThresh was exceeded.
  • the MD 275 will send out an interrupt if the MigDiffThresh register is set. See the SN1 Programming Manual for a full description of MD interrupts. Even if the corresponding interrupt bit is not set, exceeding either counter will cause the address and source of the access to be stored in the MigCandidate register. If either counter saturates on the increment, both counters will be shifted right by one. Fetch-and-Op In a shared memory system, both the operating system and user programs spend a large amount of time acquiring and releasing locks. Unfortunately, most simple synchronization algorithms do not scale well past a couple of processors. In order to get around this problem, the Bedrock MD 275 offers a special noncoherent synchronization mechanism that scales very well to large numbers of processors.
  • the Bedrock MD 275 maintains a cache (two 64-bit entries or four 32-bit entries) of recently accessed synchronization variables. Any uncached read or write to MSPEC space (PRDM or PWRM) first checks to see if it hits in the cache. If it does, the operation (discussed below) is immediately performed and the data or an acknowledgment is returned. If the read or write does not hit in the cache, then the oldest cache entry is written back, the new data is read in, the operation is performed, and the data or an acknowledgment is returned.
  • PRDM or PWRM MSPEC space
  • Operations are performed on the cache by setting bits five to three of the address to specific values. Since these address bits are no longer used to address memory, there can be at most two 64-bit (or four 32-bit) fetch-and-op variables per cache line.
  • the following operations can be performed: a straight fetch of the cache value, a fetch followed by an increment, a fetch followed by a decrement, a fetch followed by a clear, and a cache flush.
  • the following operations can be performed: a straight write of the cache value, a simple increment (ignoring the value sent with the PWRM), a simple decrement (ignoring the value sent with the PWRM), an AND of the cache value with the PWRM value, an OR of the cache value with the PWRM value, and a cache flush.
  • the cache can be used by two 64-bit entries or four 32-bit entries (or by one 64-bit entry and two 32-bit entries). However, each pair of 32-bit entries must be in the same 64-bit doubleword. A 64-bit protection word is also cached along with each 64-bit entry (or each pair of 32-bit entries).
  • the Bedrock MD 275 supports a backdoor path to read and write the ECC memory.
  • Two address spaces inside the HSPEC space support backdoor ECC access.
  • PWRH write access
  • PRDH read access
  • the BDECC- NORMAL space simply returns the ECC value
  • the BDECC-SCRUB space reads the data and ECC, returns the ECC value, corrects the data and ECC, and re-writes the corrected data and ECC.
  • HSPEC space are used for backdoor directory operation.
  • a read (PRDH) to either space returns the entire 32-bit (standard) or 64-bit (premium) directory word.
  • a write (PWRH) to the BDDIR- ACCESS space causes the single protection bit inside the directory entry to be written.
  • a write (PWRH) to the BDDIR-FULL space causes the entire directory word to be written.
  • the 32-bit or 64-bit directory word contains a 6-bit or 7-bit ECC field. Without special assistance, the operating system would need to pre-compute the ECC value whenever it needed to do a backdoor ECC write. In order to avoid this extra complexity, the Bedrock MD 275, by default, fills in the ECC fields with the correct value (regardless of the value sent with the write command). If the IgnoreECC bit is set in the DirectoryConfig register, then the Bedrock MD 275 will pass on the ECC bits without modification.
  • the Bedrock MD 275 supports a 16 MB flash prom (two 8 MB parts) for boot code and system configuration/error information.
  • the prom is accessed through the LBOOT and RBOOT spaces within the HSPEC spec. Since the processors must access the prom before the Bedrock chip has a chip-id, the processor interface catches accesses to LBOOT space on node 0 and directs them to the local MD 275. RBOOT space can be used by any processor to access the prom of any node once the chip-ids have been initialized.
  • HSPEC address 0 corresponds to prom address 0 while
  • HSPEC address 8 corresponds to prom address 1.
  • the Bedrock MD 275 only supports byte writes of the flash prom.
  • the Bedrock MD 275 supports a separate interface to components that have no relation to the core MD 275 functionality.
  • Access to the Junk Bus is through the LREG and RREG address spaces within HSPEC space.
  • the Bedrock MD 275 only supports 16-bit reads and writes of all Junk Bus devices except for the flash prom and Synergies, which support 64-bit reads 16-bit writes.
  • All SDRAM and DDR-SDRAM contain mode registers that need to be initialized during system boot. These mode registers are accessed through the same LREG and RREG address spaces that are used to access the Junk Bus. Refresh
  • the SDRAM and DDR-SDRAM supported by the Bedrock MD 275 require a refresh command approximately once every 16 microseconds.
  • the Bedrock MD 275 refreshes one bank of the SDRAM or DDR-SDRAM on each DIMM approximately every eight microseconds.
  • the Bedrock MD 275 uses the auto-refresh feature of the
  • the Bedrock MD 275 supports a Build-In-Self-Test (BIST) feature that can test all of memory (data, ECC, and directory) much faster than it could otherwise be accessed. The goal of this feature is to keep as much control as possible in the hands of software, while keeping the speed advantages of hardware testing.
  • BIST Build-In-Self-Test
  • the actual BIST operation can begin.
  • the software first sets up a couple of configuration registers.
  • the 4-bit data pattern goes into the BISTDataPattern register. This pattern will replicated across all 144-bits of data memory and all 32-bits of directory data.
  • Pattern sequencing information (always same pattern or invert alternate cycles) also goes into the BISTDataPattern register.
  • the bank under test goes into the BISTControl register. Finally, a writing of the start bit in the BISTControl register starts off the BIST operation.
  • the Bedrock MD 275 initializes all banks under test to the desired pattern and then tests all banks under test.
  • the data pattern is stored in the BISTDataError registers (one for directory data, one for memory data, and one for the address) and the BIST operation is halted. Status reports can be read from the BISTStatus register.
  • the Bedrock MD 275 will update a bit in the BISTStatus register. If BIST is restarted after an error, it will continue where it left off. The flash prom and Junk bus cannot be accessed during BIST operation.
  • the MD 275 In order to maintain the state of the node's memory through soft-reset, the MD 275 gates the internal reset of some of its functionality based on whether it is going through a soft or hard reset. During a soft-reset, the unit is initialized like a power-on reset except for the following exceptions:
  • the contents of the MEMORY_CONFIG and DIR_CONFIG registers are maintained. This ensures that settings that pertain to the size and width of the SDRAMs, synchronizer settings and directory flavor are preserved. • Soon after the reset signal goes away, the MD 275 issues a precharge to all banks of the SDRAMs in order to bring them to a known state (in case the reset signal came in the middle of an SDRAM access).
  • the contents of the REFRESH CONTROL register are maintained.
  • the refresh counter restarts its count at zero after the soft-reset and if refresh was enabled before the soft-reset, the MD 275 will resume issuing refreshes according to the threshold that was programmed. Issue Block
  • All messages from the XB AR enter the MD 275 through the IB (Issue Block).
  • the IB decodes the messages, reorders them for fairness and efficiency, issues the necessary addresses and commands to the DRAM, and synchronizes the DB and MB blocks with the incoming or outgoing data.
  • This section is broken up into three subsections: architecture, arbitration, and design.
  • architecture This section is broken up into three subsections: architecture, arbitration, and design.
  • arbitration The overall structure of the Issue Block is discussed in the architecture section, while the arbitration algorithm (for all message types) is discussed in the arbitration section.
  • the header is written into the 12-entry Header Queue (located in the IB block) and the corresponding data, if any, is written into the eight cache-line Data Queue (located in the MB block).
  • the incoming message is decoded and stored in the Pending Queue and Write Table.
  • the Pending Queue maintains one entry for each entry in the Header Queue and the Write Table maintains one entry for each of the cache lines in the Data Queue (the Data Queue actually contains a ninth cache line for used internally by the MB that does not have an entry in the Write Table).
  • the XB AR divides incoming messages into one of four virtual channel based on source and type. Requests from PIO arrive on one channel, while requests from PIl, requests from the NI or II, and replies arrive on the other three channels. Each of the four input queues (Header, Data, Pending, and Write) allocates a quarter of its space to each virtual channel.
  • the XB and IB both treat the four virtual channels as completely independent and support arbitrary interleaving.
  • the IB compares the incoming message against all open banks (up to 4) and decodes the command (Read/Write, Full/ Partial). The output of these operations are stored in the Pending Queue and used during arbitration.
  • the IB For incoming messages with data, the IB writes the Write Table once the tail bit has been received. Once the decoded information for a message is in the Pending Queue and the Write Table, the arbitration logic can select it and issue it to the rest of the MD 275. A message is issued by reading its header out of the Header Queue and writing the relevant information into the three queues at the head of each datapath (address, directory, and memory). Once the message is in these three queues, it then can be issued to the DRAM and completed.
  • the arbitration section of the Issue Block takes the 12 entries in the Pending Queue and selects the "best" one to issue.
  • "best” is defined in such a way that there is a fair distribution between the three input request queues, writes and replies get enough bandwidth, all messages issue in a reasonable amount of time, and all DRAM constraints are observed.
  • the arbitration process is broken up into two stages: a local arbitration stage based on the individual message and a global arbitration stage based on the queue. Very few dependencies exist between the two stages, allowing them to run in parallel in the same cycle.
  • Each of the four virtual channels (requests from PIO, requests from PIl, requests from NI or II, all replies) performs its own independent local arbitration. In parallel, the global arbitration is selecting which of the four channels will actually win the full arbitration.
  • the arbitration logic must first figure out which of the (up to three) requests in the queue are ready to execute. For a cached read, partial read, or partial write to be considered ready, it must meet the following requirements:
  • the message is a cached read, partial read, or partial write or a write timeout is pending, then there must be space for at least six headers in the correct output header queue and specs for two cache lines of data in the correct output data queue. If the message is a cache line write, then there must be space for at least six headers in the correct output header queue.
  • the address logic For partial reads and partial writes, the address logic must be able to accept partials. For cached reads and cached writes, the address logic must be able to accept non-partials. • For cached writes and partial writes, the entire data packet must be present in the data queue.
  • ERESPs are messages that are issued as writes and then need to be reissued as reads. Between the issue and the reissue, no new writes are allowed to win arbitration.
  • the message must be the oldest cached write in the channel (cached writes issue in order). Once the ready information is available for each of the (up to) three messages, the arbitration logic selects the message with the highest priority. Priorities are determined as follows: 1. Any cached write (if write timeout is asserted). 2. Oldest cached read, partial read, or partial write.
  • the global arbitration logic maintains three LRU tables.
  • the first LRU (Oldest) keeps track of the order in which the queues last issued a message marked as the oldest pending cached read, partial read, or partial write.
  • the second LRU (Any) keeps track of the order in which the queues last issues any cached read, partial read, or partial write message.
  • the third LRU (Write) keeps track of the order in which the queues last issued a cached write message.
  • Ready cached write with Write Timeout Selected using the Write LRU.
  • the Write LRU is updated whenever a cached write message issues.
  • the Oldest LRU is updated whenever a message marked as the oldest cached read, partial read, or partial write issues.
  • the Any LRU is updated whenever a cached read, partial read, or partial write issues.
  • the write timeout logic makes sure that writes will not sit in the data queue forever. In addition, once the data queue for a channel is full, the write timeout logic forces the writes to issue, freeing up space for the next write message.
  • a write timeout is started after one of two conditions is met:
  • a write timeout will be asserted only on the virtual channel, containing a write message, that has the highest priority in the Write LRU. Once a write issues out of that channel, with the timeout asserted, then the timeout is broadcast to all three request channels. The timeout continues until something besides a cached write issues or four cached writes issue, whichever comes first. After the timeout has completed, at least four messages, of any type, must issue before the timeout can be reasserted. Reply Timeout The reply timeout logic makes sure that replies eventually issue. A reply timeout is asserted if more than a user-setable number of requests have issued since the last reply was issued, and there is no write timeout in action. Junk Bus and PROM
  • the Bedrock MD 275 talks to non-DRAM external devices using a separate proprietary bus.
  • the MD 275 recognizes four different types of devices on this bus: an EPROM, a UART, LEDs, and one or more "Junk" buses. Each type of device has its own address space within the LREG or RREG portion of HSPEC space (see Table 42).
  • the bus connects to the Bedrock MD 275 using 16 address/data pins, four control pins, and an Interrupt line.
  • Figure 36 shows a diagram of the interface.
  • Figure 37 shows a block diagram of the Memory Block datapath.
  • This datapath transfers data from the pins to the output data queue (MOQD 3114), and from the input data queue (MIQD) to the pins.
  • MOQD 3114 the output data queue
  • MIQD input data queue
  • Inside the datapath are a couple of special subb locks that allow read-modify- write operations of cache lines, and that implement Fetch&Op operations.
  • the Merge Cache supports read-modify write operations on cache lines.
  • the Bedrock MD allows uncached write operations on a byte boundary.
  • protection information ECC
  • the MD must perform a read-modify-write operation.
  • the Memory Block reads an entire cacheline, storing the needed 128-bits in the Merge Cache.
  • Memory Block then reads the store information out of the MIQD and overwrites the selected bytes with new data. Now that the correct data is available, it is sent through ECC generation and written back to memory.
  • the Fetch-and Op-block implements a two entry cache in order to improve synchronization operations.
  • a normal read proceeds by taking in data from the pins, detecting and correcting ECC errors (if we are not ignoring ECC errors) and then passing this data on to the MOQ.
  • ValidData and DataTail signals are sent to the MOQ 3113 to indicate that valid data is present.
  • the Odd and Even MIQD queues each contain seventy-two 65-bit entries, each entry being a 64-bit word, and a UCE bit associated with that word.
  • the seventy-two entries are enough for two complete cache lines of data from each of the input queues (PO, PI, and Misc), and one extra cache line that is used only by the MB to do read-modify-writes of individual cache lines.
  • the MIQD is written one 64-bit word at a time by the XB. When told to do a normal write, the MB reads MIQD Odd and MIQD Even in parallel, latches this data, and on the next cycle generates the ECC bits, and sends the data to the pins. Note that during a normal write ECC bits are generated regardless of whether the IgnoreECC bit is set or not.
  • Figure 37 shows one embodiment of a Memory Block Datapath. Partial Read
  • a partial read proceeds by reading an entire cache line from memory, but only sending the 128-bits that contain the requested data to the MOQ. DataValid and DataTail are set when the appropriate 128-bit quantity is available. Partial Write
  • Partial write is a 2-phase operation.
  • the appropriate cache line is read into the MIQD, and the 128-bit quantity that requires modification is read into the Merge Cache.
  • the cache line is written back to memory.
  • the partial write data is taken from the read into the Memory Cache.
  • the cache line is written back to memory.
  • the partial write data is taken from the MIQD and merged with the data in the Merge Cache based on ByteEnable signals. All the other 128-bit pieces of the cache line are written back to memory unchanged from the MIQD.
  • ECC is regenerated on the writeback. However, in the case that we are ignoring ECC, the old ECC that the line had previously will be written back instead.
  • a Flush operation is performed to write out the data currently in the cache, followed by a Fill operation, followed by a Write operation.
  • Fetch-and-Op protection has two parts. The first part is the normal directory protection check that occurs over in the Directory Block, and occurs during a Fill operation. If the DB detects an access error, then the protection word in the Fetch-and-Op cache is cleared, and no Fetch-and-Op operations will be allowed. The DB also notifies the IB that an access error occurred on a Fetch- and-Op fill.
  • the second part of the protection check occurs during a Read Hit or Write Hit operation.
  • the IB has sent the Protection Region bits that address one of the 64 bits in the Fetch-and-Op protection register. If this is set then the operation is allowed to proceed, otherwise the Fetch-and-Op cache remains unchanged. Fetch-and-Op Operation
  • the Bedrock MD implements the same Fetch-and-Op commands as the SNO MD.
  • a small fetch-and-op cache (2 entries) allows a low occupancy ( ⁇ 20ns) transaction which significantly speeds up program synchronization.
  • Figure 38 shows a block diagram of the Fetch-and-Op Cache datapath.
  • Each entry consists of a 64-bit protection word, and 64-bit data word, which can be considered as a single 64-bit word, or as 2 32-bit words, shown as F&Op-Hi, and F&Op-Lo in the diagram.
  • the four key operations performed by the Fetch-and-Op Cache are: fill, read, write, and flush. A short summary of each operation is described below:
  • Read - On a read operation data is taken from the Cache, sent to the MOQD, and the cache entry is cleared, incremented, decremented, or left unmodified. No logical operations are performed.
  • Fetch-and-Op Write Hit A Write operation is performed.
  • Fetch-and-Op Read Load A Fill operation is performed to load the correct data into the cache, followed by a Read operation.
  • Fetch-and-Op Write Load A Fill operation is performed to load the co ⁇ ect data into the cache, followed by a Write operation.
  • Fetch-and-Op Read Miss A Flush operation is performed to write out the data currently in the cache, followed by a Fill operation, followed by a Read operation.
  • a backdoor ECC read proceeds by collecting the ECC bits from either the top 8 double words in a cache line, or the bottom 8 double words in a cache line.
  • the IB always brings in the critical part of the cache line first, so the MB collects the ECC bits from the first 4 cycles, 16 ECC bits per cycle. These ECC bits are then sent as a single 64-bit word of the MOQ.
  • a backdoor ECC write proceeds in a fashion similar to a partial write.
  • a backdoor ECC write writes the ECC bits of 2 aligned 64-bit words at one time.
  • the MB looks at the byte enables to determine which 2 words will have their ECC bits written (only two of the byte enable bits should be set).
  • the merge cache is written with the 128 bits for which we wish to do a backdoor ECC write.
  • the cache line is then written back to memory, and on the appropriate cycle the backdoor ECC bits are read from the MIQD at the same time as the Merge Cache data, and the full 144-bits are written to memory.
  • the ECC bits are the 16 bottom bits of the word read from the MIQD.
  • the ECC scrub operation is used to correct single bit ECC errors in memory. It is a 2-phase operation which involves reading in a cache line and writing the corrected cache line into the MIQD. Then writing back the data to memory while regenerating the correct ECC. Note that since the purpose of the Scrub operation is to correct known errors, single bit ECC errors on the read do not generate an interrupt, and do not affect the error registers, even if IgnoreECC is not set. However, double bit errors will still be detected when IgnoreECC is not set.
  • the directory block (DB) is responsible for implementing the SN7 cache coherence protocol. While the inbound-message block (IB) decides which incoming transactions to handle and issues all the addresses to the SDRAMs, and the memory block (MB) handles the data to and from memory, the DB maintains coherence information in the directory and generates all headers for outgoing messages.
  • IB inbound-message block
  • MB memory block
  • the primary functions of the DB are:
  • the network interface unit (NI) 274 acts as a bridge between the Bedrock crossbar 279 and the Bedrock's SNINet port 73.
  • the NI 274 handles all aspects of the SNINet connection, and can be attached to an SNl router 76, or directly to a peer node controller 75's NI 274.
  • the NI Since the SNINet message format differs from the Bedrock crossbar's message format, the NI translates all messages flowing between the two interfaces. The NI performs these translations at full bandwidth, with a single cycle of latency in each direction. All Bedrock side message buffering takes place within the crossbar, with the NI mediating the flow control information between the crossbar and the remote SNINet agent.
  • Figure 39 is a block diagram of the main address and data paths of the NI 274. SNI Net Interface
  • Figure 41 shows a block diagram of the connections between node controller 75 and router 76 of one embodiment.
  • the NI connects to SNINet via two unidirectional, source synchronous 20-bit data ports operating with STI signal technology (refer to Figure 41). All the signals are differential, resulting in 44 signal pins per port.
  • the physical layer circuitry consists of a source synchronous driver (SSD) and source synchronous receiver (SSR) that implement the conversion between 800MHz serial data on the pins and 200MHz parallel data used by the chip core.
  • SSD source synchronous driver
  • SSR source synchronous receiver
  • the Link Level Protocol (LLP version 3.0) resides above the physical layer to provide reliable, in-order delivery of 128-bit data atoms known as micropackets. See the Link Level Protocol specification for details on the operation of this protocol layer.
  • the SSD, SSR, and LLP send and receive modules implement the same protocol as those used on the SNl router 76. Most aspects of the SSD/SSR interface are handled within the LLP. An exception is control of the SSR's data sampling window which is done via the d_avail_select port. The sample setting is set in NI_PORT_PARMS register with the D_AVAIL_SEL bits.
  • SNINet virtual channel tagging, message framing, and credit-based flow control are layered above the LLP, allowing SNl coherence protocol messages to be composed from micropacket sequences. This information is passed on from the LLP as sideband data (Refer to Figure 40, which shows message sideband encoding).
  • This section describes how SNINet messages arriving at the NI port are converted to Bedrock internal message format.
  • Bedrock When receiving router network micropackets, Bedrock considers any micropacket that follows a micropacket-with-its-Tail-bit-set to be a router network header. After reset, the first micropacket received is assumed to be a
  • Bedrock to SNINet header conversion is message-type independent.
  • Bedrock Source[10:0] SNINet Source •
  • Bedrock Supplementation] SNINet Supplemental
  • This section describes how Bedrock internal messages exiting the NI port are converted to SNINet message format.
  • the content of the Destination Field of the SNINet Header corresponds to the contents of the Bedrock Internal Header's Address field, Source field or Supplemental field, depending on the command (as summarized in Table 120 below).
  • Table 120 Processor Physical Address to SNINet Address
  • LB Local Block
  • Register read and write requests are received by the LB, which uses a dedicated register data and address bus to transfer register data to/from the NI.
  • Access protection is also controlled by the LB.
  • the NI will detect read access errors to registers address space that does not exist. This error is passed on to the LB.
  • the IO Interface unit (II) of the Bedrock chip implements the interface to the Crosstalk interface, which connects to I/O devices such as disk controllers and network boards (e.g., Ethernet).
  • I/O devices such as disk controllers and network boards (e.g., Ethernet).
  • Figure 42 shows a high level diagram of the IO unit and its position relative to the rest of node controller 75 and input/output port 79.
  • the interface between Bedrocks Crossbar (XB) unit and II is composed of four XB queues.
  • the names of the four queues are prefixed by "RQ” or "RP”, indicating that they carry request messages or response messages, respectively.
  • the remainder of the name indicates whether the II section is the receiver of the message (the input queue, "IIQ”) or the sender of the message (the output queue, "IOQ").
  • Figure 60 lists the types of messages that can be produced or consumed by each interface.
  • the II section performs two basic functions: (1) it provides a method by which IO devices can read and write host memory (“DMA” operations), and it provides a method by which the processors in the system can control IO devices (“PIO” operations).
  • DMA refers to the case where an IO device is the initiator of a request
  • PIO refers to the case where a processor somewhere in the system is the source of the request.
  • a third basic function is also implemented, called “Local PIO”. This term reflects the fact that a processor is the initiator of the request, and the object of the request is a register which is internal (local) to II.
  • DMA IO device initiates a request by sending a
  • Crosstalk request message into the Crosstalk receive interface.
  • the request is recorded within II in a state vector called a Credit Response Buffer (CRB).
  • CRB Credit Response Buffer
  • the request is reformatted to the Bedrock-internal message format, then sent to the XB unit by way of the Request IOQ for dispatch to the home node of the memory which is the target of the request.
  • the II section participates in the SN7
  • Coherence Protocol may need to take several actions (described later) to gain access to the target memory. As these actions are taken, the state of the request is updated in the CRB. Once a response is received from the XB by way of the Response IIQ, it is forwarded to the Crosstalk Transmit Interface and the CRB is retired.
  • a processor initiates a request by sending a router network request message into the Request IIQ. If the request is a read request, the node number and processor number of the request is recorded in a structure called a PIO Response Buffer (PRB). If the request is a write request, the requestor is given a write response immediately by sending it out the Response-
  • PRB PIO Response Buffer
  • the request is then reformatted to Crosstalk format and sent to the target IO device by way of the Crosstalk Transmit Interface.
  • the II section does not have any work to do with regard to cache coherence for PIOs, because accesses to IO space are defined as having left the coherence domain.
  • the information stored in the PRB is used to reformat the response into a Bedrock-internal message, and it is sent out across the Response-IOQ.
  • a write response is received on the Crosstalk Receive Interface, it is discarded after checking for errors and other status information.
  • PIO operations to Il-local registers operate very much like PIO operations to IO devices. The only difference is the obvious difference that the target of the request is internal to II, so the request doesn't involve the Crosstalk interface.
  • II assures that it will pop requests and responses off of the IIQ in a finite amount of time. This assurance remains even in the face of certain errors, especially those that involve the Crosstalk Interface. The II further attempts to minimize the time a message spends on the IIQ.
  • II implements the Crosstalk interface, it implements the Crosstalk Credit mechanism.
  • This credit mechanism keeps message input buffers from overflowing (both IO devices and II).
  • the mechanisms described in the preceding two paragraphs are not independent.
  • the Crosstalk Credit mechanism could undermine the assurance of IIQ forward progress when there is a slow IO device. (II cannot accept any further PIO requests when it has no Crosstalk Credits, thus possibly stalling the IIQ.)
  • a mechanism called "PIO conveyor Belt” is implemented by II.
  • PIO Credits manage access to particular IO devices (as opposed to Crosstalk Credits, which control access to all IO devices), and when a particular IO device cannot accept another request, any new request for that IO device is popped from the IIQ and given a negative acknowledge. This action causes the requestor to requeue the request and send it again, thus implying a "conveyer belt", which gives the mechanism its name.
  • the CRB as a Partial Merge Buffer
  • the SN7 Network Protocol and Cache Coherence Protocol operate on memory data one cacheline at a time. But IO devices need to be able to operate on byte-sized chunks of memory data, so II must provide the mechanisms for doing this. For reads, this simply means that whenever an IO device requests memory read data, an entire cacheline is sent to II, which then forwards the interesting bytes to the device. For writes, it is more complicated.
  • II When an IO device writes main memory in chunks smaller than cachelines, II will (1) request the cacheline from the system (2) receive the cacheline in a buffer when the system returns it, (3) merge the byte data into the cacheline, and (4) write the entire cacheline back to main memory.
  • a CRB is assigned to maintain the coherence information for the cacheline, and the cacheline buffer associated with the CRB is used to perform the merge.
  • the Coherent Resource Buffers are internal II state describing cachelines which are being manipulated by II. Since the II must participate in the SN/ Cache Coherence Protocol, it must maintain certain state information relative to each cacheline for which it has requests in flight.
  • every request that is received from Crosstalk uses one CRB for each cacheline it touches.
  • the request is forwarded to the router network 575, some information is left behind in a CRB so that when a response is received from the router network 575, II knows what to do with it.
  • II may participate in several exchanges with the directory or the owner of the cacheline before a response is received that can finally be forwarded to the requestor on Crosstalk.
  • each CRB represents the "current state" in the state machine that manages IPs participation in the Cache Coherence Protocol.
  • the rest of the state machine that manages IPs participation in the Cache Coherence Protocol is the so-called "CRB Table".
  • the CRB Table is simply a big chunk of combinational logic that looks at the incoming message, the CRB implied by the incoming message (determined by a CAM lookup of the address in the message), and other Il-internal factors. Given all these inputs, the CRB
  • Table produces the next CRB state, which is used to update the CRB, and the responses to the messages: requests or responses to the router network 575 or Crosstalk 82. Sometimes an incoming message only updates the CRB, and doesn't produce an outgoing message.
  • PT Response Buffers PRB
  • DMA requests requests which are initiated by Crosstalk
  • PIO Response Buffers PRBs
  • PRBs PIO Response Buffers
  • PRTEs PIO Read Table Entries
  • PRBs PIO Read Table Entries
  • PRBs PRB table which functions in a manner similar to the CRB table, taking the state of the outstanding request (described by the PRB and the PRTE associated with that request) and the incoming message, and deriving the next state and response messages. Because this is on the I/O side of the coherence boundary (PIO requests, by definition, leave the coherence domain), the current state embodied by the PRBs and PRTEs is much simpler than in the CRB, as is the PRB table.
  • the II is configured and controlled by many internal (local) registers. They are mentioned here because they represent the rest of the Il-internal state required to make sense of the II interfaces as described in the subsequent sections of this document. IIQ Interface Requests
  • the only requests from the router network 575 received by II are interventions (messages regarding cachelines that II owns), and PIO reads and writes (to either Crosstalk or II local registers).
  • the Local Block (LB) of the Bedrock chip contains the PIO Access Machine, some registers, the Invalidate Engine, the Vector PIO Machine and a real-time clock generator.
  • the input signals which enter the LB and the output signals which are driven by the LB can be aggregated into several different groups. Each such group constitutes a particular interface. Together, these interfaces comprise the entire interface of the LB unit. Each of the following subsections describes a particular group. Clock, Reset and JTAG
  • Assertion of the RESET LB input causes the LB to reset all its state machines and initialize its registers to their specified reset values.
  • the LB will abort any operation that is in progress (e.g., a PIO read or write request, or an LINVAL request).
  • Assertion of the RESET_LB_SOFT input causes the LB to reset all its state machines and abort any operation that is in progress, but registers retain their current contents (i.e., they are not re-initialized).
  • the RESET_LB_SOFT input is intended for diagnostic purposes while the Bedrock chip is subjected to testing in the lab after prototype chips are available.
  • the RESET_LB_SOFT input can be used to restore the chip to a state in which software can examine the contents of registers so as to determine the cause of the failure.
  • SNl apparatus of the present invention are modular computer systems which span the range of two to 512 CPUs. They are made up of separate rackmounted modules which include node having two or four CPUs (C-Bricks), 8- port routers (R-Bricks), various IO bricks (I-Bricks, P-Bricks, and/or X-Bricks), Power Bays, and/or disk drive bays (D-Bricks). All of these modules (or “bricks”) are mounted in a rack or racks and interconnected with cables. In one embodiment, a systems and method is used such as described further in application serial number 09/408,874 filed September 29, 1999, entitled "MODULAR COMPUTING ARCHITECTURE HAVING COMMON COMMUNICATION INTERFACE".
  • All SNl systems include groupings of a Power Bay, a router (R-Brick), and 4-processor nodes (C-Bricks), plus one or more I/O bricks.
  • the other nodes are cabled to the router, and in large systems, the routers are cabled to each other.
  • This configuration gives an excellent value, yielding a combination of low cost, high bandwidth, and low latency, and it easily supports the configuration of high- availability systems.
  • Up to 24 CPUs with a fairly substantial I/O system may be configured in a single rack.
  • Up to 32 CPUs may be constructed in a single rack, with a separate rack for IO. Larger systems are implemented using multiple racks.
  • CPUs are configured with additional routers 76 installed in some of the racks, known as metarouters, which allow interconnection of larger numbers of nodes. Modules within the racks are organized and installed identically for all configurations, and no re-cabling is ever required within a rack to expand the configuration.
  • a SNl system includes one or more nodes 370.
  • Each node 370 includes two or four processors 74 and their associated caches, main memory 77, and I/O port 273 and a port 274 into the router network.
  • a central ASIC application-specific integrated circuit
  • node controller 75 connects these four subsystems.
  • MIPS "T-Rex” processors e.g., the R12000S processor and its follow-on processors available from SGI, assignee of the present invention
  • Intel "Merced” processors a type of processor anticipated to be available from Intel Corporation.
  • Each type of CPU is implemented on a different board design used to implement the various embodiments of each node
  • SNl supports MIPS T-Rex CPUs, starting with the T-Rex Shrink (R12000S) CPU, which is packaged in a flip-chip package and supports a 200 MHz SYSAD speed (SYSAD is the processor interface 71).
  • T-Rex Shrink R12000S
  • SYSAD is the processor interface 71
  • additional MIPS processors are planned to be developed for SNl enhancements.
  • cache sizes for MIPS SNl nodes are four and eight MB.
  • the cache is built from nine 256k x 18 or 512k x 18 SSRAMs (synchronous static random access memory chips).
  • the secondary cache for the T-Rex Shrink processor operates at Vz of the CPU core clock. Merced CPUs
  • SNl supports Merced-type CPUs.
  • Merced CPUs are supported by introducing an additional processor interface ASIC, which adapts two Merced CPUs to communicate on one of the SYSAD busses (a processor interface bus 71 of node controller 75).
  • Two processor interface ASIC s are provided per node to implement support for four
  • the processor interface ASIC also supports a level-3 cache, which is implemented using DDR SDRAM chips (double-data rate synchronous dynamic random access memory, such as are available from Hitachi and NEC of Japan, and are being sampled by IBM Corp.).
  • DDR SDRAM chips double-data rate synchronous dynamic random access memory, such as are available from Hitachi and NEC of Japan, and are being sampled by IBM Corp.
  • This cache improves the effective memory access time for the CPUs, and is also used to perform the protocol translations needed to allow the Merced CPUs to operate in the SNl system, which is based on the protocols employed in MIPS CPUs.
  • Main Memory Configuration In one embodiment, SNl systems employ custom DIMMs (dual in-line memory modules) containing DDR SDRAM for main memory.
  • DIMMs that are used in larger SNl systems (those systems which are capable of scaling beyond 128 processors) are physically similar but contain one additional memory chip to provide enough directory memory to allow building configurations of up to 512 CPUs. These DIMMS are called “premium DIMMs" in this document, and those without the extra directory memory are called “standard DIMMs.” Other than the difference in the directory memory, premium and standard DIMMs are identical and share a common PCB (printed circuit board) design. In systems of less than 128 CPUs, it is acceptable to use the two types interchangeably, and to mix them within a node.
  • PCB printed circuit board
  • the ratio of (memory data space) to (directory data space) on each of the plurality of memory/directory cards is set to a value that is based on a size of the multiprocessor computer system.
  • the size is measured as the number of CPUs in the system, and in particular, whether there are (128) or more processors.
  • the premium DIMMs having an extra memory chip i.e., a lower ratio of (memory data space) to (directory data space) are used.
  • the board of each node 370 includes eight DIMM sockets, arranged as four banks of two DIMMs each. Note that this is half the number of DIMM slots implemented in prior systems. This decision was made because of the greater memory densities available in the SNl time frame.
  • Main memory is implemented using DDR SDRAM parts running at 100 MHz address / 200 MHz data and supplying a peak of 3200 Mbyte/sec of bandwidth.
  • the DIMMS employ 128 and 256 Mbit DRAM technology to offer a variety of
  • each SNl node can support up to eight Gbytes (gigabytes) of memory.
  • All SNl DIMMs are built from two base printed circuit types, one supporting x4 memory (four-bit wide data path) chips for data / ECC and the other supporting x8 memory (eight-bit wide data path) chips.
  • the following table enumerates the various types that are implemented in various embodiments. All types may be implemented in either standard or premium; the planned implementation is to make the smallest chosen size available in standard only and all other sizes in premium only. The types which are used for one embodiment are shown in bold. Those marked with a * are premium DIMMs. DIMM Table
  • the above table identifies the data memory increment DIMM kits.
  • An increment requires two memory/directory data DIMMs.
  • all DIMMs contain an serial PROM which is readable by the system controller.
  • the PROM contains part number, date code, memory chip manufacturer, and other information as specified in the JEDEC standard for SPID PROMs.
  • the DIMM includes a write-enable pin to allow programming of the PROM in the factory. This pin is not be connected on the node board, thereby making it impossible to write the PROM in the system 100.
  • the C-Brick for one embodiment houses a single node of up to four CPU's, together with eight DIMM slots, the node controller 75, and LI system controller.
  • the design of the C-box in one embodiment, accommodates either a MIPS T-Rex CPU implementation or an Intel Merced CPU implementation.
  • the C-Brick design is based around a removable logic carrier that slides in and out of the front side of the C-Brick for service. This logic carrier unit holds the node electronics, front panel, hot plug fans, LI display, and cosmetic plastics. Visible from the front of the C-Brick are the LI display and 3 front mounted fans. The fans are removable from the front, redundant and hot swappable.
  • the rear of the C-Brick contains connectors for 48VDC input power, D- NET, XTalk2 I/O, and USB.
  • the USB port is used for connection to an optional
  • L2 system controller in small systems without a R-Brick.
  • the same USB port could also connect to a L3 system controller if desired.
  • Fan replacement is the only type of service which is able to be performed while the C-Brick is operating. All other maintenance activities (such as DIMM replacement) will require the brick to be powered down, and the logic carrier unit to be removed from the brick.
  • DIMM replacement Node Brick MTPS T-Rex CPU Implementation
  • the T-Rex node board set includes a main board and up to two processors boards (PIMM's).
  • the main board of one embodiment is a half-panel (11 x 17 inches) 16 layer board that holds the node controller 75, eight DIMM sockets for memory 77, three 48 VDC-to-low- voltage DC-DC converters, LI system controller, and connections to the PIMM (processor in-line module) boards.
  • a first aspect of the present invention provides a multiprocessor computer system (for example, a small multiprocessor system 400 having only two node controllers 75 connected to one another using an interprocessor channel 73, or a multiprocessor system 100 or 500 having up to hundreds or thousands of node controllers 75 connected together through a router network 575 or other interprocessor communications fabric 575).
  • a multiprocessor computer system for example, a small multiprocessor system 400 having only two node controllers 75 connected to one another using an interprocessor channel 73, or a multiprocessor system 100 or 500 having up to hundreds or thousands of node controllers 75 connected together through a router network 575 or other interprocessor communications fabric 575).
  • One such embodiment of the system includes a first node controller 75, a second node controller 75, a first plurality of processors 141 operatively coupled to the first node controller 75, a second plurality of processors 141 operatively coupled to the second node controller 75, a first memory 77 operatively coupled to the first node controller 75, a first input/output system 79 operatively coupled to the first node controller 75, and an interprocessor communications network 575 operatively coupled between the first node controller 75 and the second node controller 75.
  • the first node controller 75 includes: a crossbar unit 279, a memory port 275 operatively coupled between the crossbar unit 279 and the first memory 77, an input/output port 273 operatively coupled between the crossbar unit 279 and the first input/output system 79, a network port 274 operatively coupled between the crossbar unit 279 and the interprocessor communications network 575, and a plurality of independent processor ports 270, including a first processor port 271 operatively coupled between the crossbar unit 279 and a first subset 241 of the first plurality of processors 74, and a second processor port 272 operatively coupled between the crossbar unit 279 and a second subset 242 of the first plurality of processors 74.
  • the first node controller 75 is fabricated onto a single integrated-circuit chip 475.
  • the first memory 77 is packaged on a plurality of plugable memory/directory cards 600 wherein each card 600 includes a plurality of memory chips 610 including a first subset of memory chips 620 dedicated to holding memory data and a second subset of memory chips dedicated to holding directory data.
  • the memory port 275 includes a memory data port 613 including a memory data bus 611 and a memory address bus 612 coupled to the first subset of memory chips 610, and a directory data port 623 including a directory data bus 621 and a directory address bus 622 coupled to the second subset of memory chips 620.
  • the ratio of (data space in the first subset of memory chips 610) to (data space in the second subset of memory chips 620) on each of the memory/directory cards is set to a value based on a size of the multiprocessor computer system.
  • the crossbar unit 279 selectively combines two serially received doublewords of data into a single quadword micropacket for transmission through the crossbar unit 279, and wherein each doubleword contains at least 64 bits of data and the single quadword contains at least 128 bits of data.
  • the first node controller 75 and the second node controller 75 are directly connected to one another by the interprocessor communications network 73. In other embodiments of the system 100, 300, the first node controller 75 and the second node controller 75 are indirectly connected to one another through a router chip 76 that is also connected to one or more other node controllers 75.
  • This first node controller 75 includes a crossbar unit 279, a memory port 275 operatively coupled to the crossbar unit 279 for communicating to a memory 77, an input/output port 273 operatively coupled to the crossbar unit 279 for communicating to a first input/output system 79, a network port 274 operatively coupled to the crossbar unit 279 for communicating to a second node controller 75 across an interprocessor- communications network 575, and a plurality of independent processor ports
  • These processor ports 270 include a first processor port 271 operatively coupled to the crossbar unit 279 for communicating to a first subset 241 of a first plurality of processors 74, and a second processor port 272 operatively coupled to the crossbar unit 279 or communicating to a second subset 242 of the first plurality of processors 74.
  • Another aspect of the present invention provides a multiprocessor system 100, 300, or 500 (the "second system") that includes one or more node controllers 75 including a first node controller 75, a first plurality of processors 74 operatively coupled to the first node controller 75, a first memory 77 operatively coupled to the first node controller 75.
  • This first node controller 75 is packaged as a single integrated circuit chip 475 that includes a crossbar unit 279, a memory port 275 operatively coupled between the crossbar unit 279 and the first memory 77, and a plurality of independent processor ports, including a first processor port operatively coupled between the crossbar unit 279 and a first subset 241 of the first plurality of processors 74, and a second processor port 272 operatively coupled between the crossbar unit 279 and a second subset 242 of the first plurality of processors 74, wherein the first subset 241 includes a plurality of processors 74 and the second subset 242 includes a plurality of processors 74.
  • the system further includes a first input/output system 79 operatively coupled to the first node controller 75, and the first node controller 75 further includes an input/output port 273 operatively coupled between the crossbar unit 279 and the first input/output system 79.
  • the system further includes an interprocessor communications channel 73 operatively coupled between the first node controller 75 and a second node controller 75; and the first node controller 75 further comprises a network port 274 operatively coupled between the crossbar unit 279 and the interprocessor communications channel 73.
  • the system further includes a first input output system 79 operatively coupled to the first node controller 75, and an interprocessor communications network 575 operatively coupled between the first node controller 75 and a second node controller 75.
  • the first node controller 75 of these embodiments further includes an input/output port 273 operatively coupled between the crossbar unit 279 and the first input/output system 79, and a network port 274 operatively coupled between the crossbar unit
  • the first memory 77 is packaged on a plurality of plugable memory/directory cards 600, each card including a plurality of memory chips including a first subset of memory chips 610 dedicated to holding memory data and a second subset of memory chips 620 dedicated to holding directory data, wherein the memory port 275 further includes a memory data port 613 including a memory data bus 611 and a memory address bus 612 coupled to the first subset of memory chips 610, and a directory data port 623 including a directory data bus 621 and a directory address bus 622 coupled to the second subset of memory chips 620.
  • the ratio of (data space in the first subset of memory chips 610) to (data space in the second subset of memory chips 620) on each of the plurality of memory/directory cards 600 is set to a value that is based on a size of the multiprocessor computer system.
  • Another aspect of the present invention provides a method usable with one or more of the above described systems.
  • the method includes transmitting data between the memory port 275 and the first processor port 271, between the memory port 75 and the second processor port 272, between the memory port
  • Some embodiments of the method further include transmitting data directly between the first node controller 75 and the second node controller 75 that are directly connected to one another by the interprocessor communications channel 73.
  • Some embodiments of the method further include transmitting data indirectly between the first node controller 75 and the second node controller 75 through an interprocessor fabric 575 that is also connected to one or more other node controllers 75.
  • Some embodiments of the method further include transmitting data between any two of the memory port, the first processor port, the second processor port, the input/output port and the network port.
  • the transmitting of data between any two of the memory port, the first processor port, the second processor port, the input/output port and the network port is performed in a non-blocking manner such that a plurality of such transmissions occur simultaneously.
  • Another aspect of the present invention provides a system that varies the relative size of the directory based on a size of the system, such as the number of processors, the amount of memory, and/or the number of nodes.
  • Some such embodiments provide a multiprocessor system 100, 300 or 500 that includes a plurality of node controllers 75 including a first node controller 75, wherein each one of the plurality of node controllers 75 includes a memory port 275 and a separate network port 274 and a separate first processor port 277 and optionally a second processor port 272, , an interprocessor communications network operatively coupled to the network port 274 of each respective one of the plurality of node controllers 75, a plurality of processors 74 operatively coupled to the first processor port of each respective one of the plurality of node controllers 75, including a first plurality of processors 74 operatively coupled to first processor port 271 of the first node controller 75, and a plurality of separate memories 77, wherein one or more of the plurality of
  • Each of these cards 600 include a plurality of memory chips 610 including a first subset of memory chips 610 dedicated to holding memory data and a second subset of memory chips 620 dedicated to holding directory data.
  • the memory port 275 of the first node controller 75 further includes a memory data port 613 including a memory data bus 611 and a memory address bus 612 coupled to the first subset of memory chips 610 on each of a plurality of memory/directory cards 600 such that memory data is accessed simultaneously from the plurality of memory cards 600, and a directory data port 613 including a directory data bus 621 and a directory address bus 622 coupled to the second subset of memory chips 620 on each of the plurality of memory/directory cards 600 such that directory data is accessed simultaneously from a plurality of memory cards 600 independent of memory data accesses.
  • the ratio of (data space in the first subset of memory chips 610) to (data space in the second subset of memory chips 620) on each of the plurality of memory/directory cards 600 is set to a value that is based on a size of the multiprocessor computer system.
  • the size that determines the ratio is based on a number of processors 74, an amount of memory 77, a number of routers 76, and/or a number of node controllers 75.

Abstract

Improved method and apparatus for parallel processing. One embodiment provides a multiprocessor computer system that includes a first and second node controller, a number of processors being connected to each node controller, a memory connected to each controller, a first input/output system connected to the first node controller, and a communications network connected between the node controllers. The first node controller includes: a crossbar unit to which are connected a memory port, an input/output port, a network port, and a plurality of independent processor ports. A first and a second processor port connected between the crossbar unit and a first subset and a second subset, respectively, of the processors. In some embodiments of the system, the first node controller is fabricated onto a single integrated-circuit chip. Optionally, the memory is packaged on plugable memory/directory cards wherein each card includes a plurality of memory chips including a first subset dedicated to holding memory data and a second subset dedicated to holding directory data. Further, the memory port includes a memory data port including a memory data bus and a memory address bus coupled to the first subset of memory chips, and a directory data port including a directory data bus and a directory address bus coupled to the second subset of memory chips. In some such embodiments, the ratio of (memory data space) to (directory data space) on each card is set to a value that is based on a size of the multiprocessor computer system.

Description

/
MULTIPROCESSOR NODE CONTROLLER CIRCUIT AND METHOD
Field of the Invention
The present invention relates to methods and apparatus for parallel multiprocessor computer systems and more specifically to a multiprocessor node-controller circuit and method.
Background of the Invention Multiprocessor (MP) systems are computing systems comprised of a few or up to hundreds or thousands of processing elements (PEs). While the power of a multiple-instruction multiple-data (MIMD) MP computer system lies in its ability to execute independent threads of code simultaneously, the inherently asynchronous states of the PEs (with respect to each other) makes it difficult in such a system to enforce a deterministic order of events when necessary.
Program sequences involving interaction between multiple PEs such as coordinated communication, sequential access to shared resources, controlled transitions between parallel regions, etc., may require synchronization (such as barrier and/or eureka synchronization) of the PEs in order to assure proper execution. One such invention having routers, networks, and synchronization apparatus and methods is described further in copending U.S. Patent Application Serial Number 08/972,010 filed November 17, 1997 entitled "SERIALIZED, RACE-FREE VIRTUAL BARRIER NETWORK".
Some MP systems having symmetric distributed multiprocessors use a coherent model of cache. One such system is described in application serial number 08/971,184 filed November 17, 1997 entitled "MULTI-DIMENSIONAL CACHE COHERENCE DIRECTORY STRUCTURE".
There is a need in the art for an improved node controller apparatus and method to improve communications between various portions of an MP system. Further, there is a need for a node controller that will "scale well" providing excellent performance-cost benefits for both small and large systems. Further, there is a need for a node controller that has very high flexibility, performance and speed. Summary of the Invention
The present invention provides a method and apparatus that facilitates highly parallel processing. The present invention includes a node controller usable in both small and large multiprocessor systems, and that provides superior performance-cost benefits across a large range of system prices and capabilities.
In some embodiments, this node controller is implemented on a single chip that provides two or more processor ports, each supporting single-processor and/or multiprocessor subsystems (each optionally including local cache memories), as well as one or more of the following port types: input/output (I/O), memory, directory, and network interface.
Traditionally, distributed multiprocessors are built using a separate directory controller along with a memory controller, connected to the network controller, the input/output interface, and processors. In various embodiments of the present invention, the memory controller (that optionally includes a directory controller that provides cache coherence functions) and the I/O controller and the network controller and put them all on one chip that includes a plurality of processor ports. This provides a couple of advantages. First, transmissions between any of the nodes are direct, on chip, and are implemented using a single protocol, so that transmissions do not have to traverse as many chip boundaries. Second, by imbedding all of this onto a single chip, a full crossbar design is utilized inside the chip. This provides non-blocking communication whereby a remote node can talk directly to the local node's memory while the local node is talking to its I/O system with no queuing between those communications. In contrast, on a bus-type system, one of the communications would have to wait for the other to complete. These can go on simultaneously in embodiments of the present invention that use a crossbar. Further, by building all of the stuff into a single chip, it is more cost effective to build a smaller system out of this same architecture because there is not the overhead of having a lot of extra chips to support a large system configuration when one is not building a large system. A first aspect of the present invention provides a multiprocessor computer system (for example, a small multiprocessor system having only two node controllers connected to one another, or a multiprocessor system having up to hundreds or thousands of node controllers connected together through a router network). One such embodiment of the system includes a first node controller, a second node controller, a first plurality of processors operatively coupled to the first node controller, a second plurality of processors operatively coupled to the second node controller, a first memory operatively coupled to the first node controller, a first input/output system operatively coupled to the first node controller, and an interprocessor communications network operatively coupled between the first node controller and the second node controller. In this embodiment, the first node controller includes: a crossbar unit, a memory port operatively coupled between the crossbar unit and the first memory, an input/output port operatively coupled between the crossbar unit and the first input/output system, a network port operatively coupled between the crossbar unit and the interprocessor communications network, and a plurality of independent processor ports, including a first processor port operatively coupled between the crossbar unit and a first subset of the first plurality of processors, and a second processor port operatively coupled between the crossbar unit and a second subset of the first plurality of processors. In some embodiments of the system, the first node controller is fabricated onto a single integrated-circuit chip.
In some embodiments of the system, the memory is packaged on a plurality of plugable memory/directory cards wherein each card includes a plurality of memory chips including a first subset of memory chips dedicated to holding memory data and a second subset of memory chips dedicated to holding directory data. Further, the memory port includes a memory data port including a memory data bus and a memory address bus coupled to the first subset of memory chips, and a directory data port including a directory data bus and a directory address bus coupled to the second subset of memory chips. In some such embodiments, the ratio of (data space in the first subset of memory chips) to (data space in the second subset of memory chips) on each of the memory/directory cards is set to a value based on a size of the multiprocessor computer system.
In some embodiments of the system, the crossbar unit selectively combines two serially received doublewords of data into a single quadword micropacket for transmission through the crossbar unit, and wherein each doubleword contains at least 64 bits of data and the single quadword contains at least 128 bits of data.
Another aspect of the present invention provides a method usable with one or more of the above described systems. The method includes transmitting data between the memory port and the first processor port, between the memory port and the second processor port, between the memory port and the input/output port, and between the memory port and the network port.
Some embodiments of the method further include transmitting data directly between the first node controller and the second node controller that are directly connected to one another by the interprocessor communications network.
Some embodiments of the method further include transmitting data indirectly between the first node controller and the second node controller through a router chip that is also connected to one or more other node controllers.
Brief Description of the Drawings FIG. 1 shows one embodiment of multiprocessor system 100 of the present invention. FIG. 2 shows one embodiment of a node cluster 170 having a router 76 with six router connections 72 and eight processors 74, wherein four processors 74 are connected to each of two node controllers 75. FIG. 3 shows an embodiment of a multiprocessor system 300 having a single router 76. FIG. 4 shows an embodiment of a multiprocessor system 400 having no router chips, but having two node controllers connected to one another. FIG. 5 shows one embodiment of a multiprocessor system 500 of the present invention. FIG. 6A shows DIMM module 600. FIG. 6B shows DIMM guide 673 having four DIMM modules 600.
FIG. 7A shows node controller 75 of one embodiment of the present invention. FIG. 7B shows one embodiment node controller 75 further including indications of the flow of data into and within node controller 75.
FIG. 7B also shows node controller 75 implemented within a single chip 475. FIG. 7C shows a diagram of node controller 75 within a node 370 and describing the various interface widths and clock speeds for one embodiment of the present invention. FIG 7D shows header and data formats for messages of one embodiment of node controller 75. FIG. 7E shows the field format for source and supplemental fields. FIG. 7F shows a table of control signal usages for control field 741 or control field 747. FIG. 7G shows a device ID encoding for field 743. FIG. 7H shows terminology used for describing content of the source field in the header of messages for XB 279. FIGs. 71, 11, 7K, 7L, 7M, and 7N show a multi-part table (Table 3) describing internal bedrock message source and supplemental fields. FIG. 70 is a table (Table 4) of the Xsel select-encodings for XB 279. FIG. 8 is a block diagram of one embodiment of XB 279. FIG. 8 A shows a table of POQ/PI interface signals. FIG. 8B shows a table of PIQ/PI interface signals.
FIG. 9 shows a timing diagram 900 for PIQ. FIG. 9 A shows a table of MOQ/MD interface signals. FIG. 10 shows a timing diagram 1000 of MD/MOQ interface signals. FIG. 10A shows a table of XB/MD interface signals. FIG. 11 shows a timing diagram 1100 for the NOQ interface.
FIG. 11 A shows a table of NOQ/NI interface signals. FIG. 1 IB shows a table of XB/NI interface signals. FIG. 12 shows the protocol for access errors (AE). FIG. 12A shows a table of LOQ/LB interface signals. FIG. 12B shows a table of LIQ/LB interface signals.
FIG. 12C shows a table of IOQ/II interface signals. FIG. 12D shows a table of IIQ/II interface signals. FIG. 13 shows a table of sample bypass arbiter interface signals. FIG. 14 shows a diagram of XB arbiter filter 1400 for XB 279, with MOQ filter shown as an example.
FIG. 15 shows a diagram of a wavefront arbiter structure 1500 (this diagram shows only one of six fixed-priority arbiters). FIG. 15 A shows a table of sample wavefront arbiter interface signals.
FIG. 16 shows a timing diagram of flow control in XB 279.
FIG. 16A shows a table of channels for requesting input queues.
FIG. 17 shows a block diagram of MOQ data buffers.
FIG. 17A shows a table of signals for requesting input queues. FIG. 18 shows a block diagram of NOQ buffers.
FIG. 19 shows a block diagram of IOQ buffers.
FIG. 20 shows a block diagram of MIQ buffers.
FIG. 21 shows a block diagram of LIQ buffers.
FIG. 22 shows cell counts for one embodiment of XB 279 showing organization and sizes of various registers and structures in XB 279.
FIG. 23 shows a block diagram of PI 270.
FIG. 23A shows speeds for SYSAD interfaces for some T-Rex speeds and clock divisors.
FIG. 24 shows a block diagram for the address and datapath for PI 270. FIG. 25 shows a data structure diagram of a RRB element.
FIG. 25A shows various RRB status bits.
FIG. 26 shows a block diagram of an RRB.
FIG. 27 shows a data structure diagram of a WRB element.
FIG. 27 A is a table (Table 35) of WRB status bits. FIG. 28 shows a data structure of one embodiment of an IRB element.
FIG. 29 shows a diagram of functions provided by a PI 270 of one embodiment of the present invention.
FIG. 29A is a table (Table 36) of T-Rex generated requests.
FIG. 29B is a table (Table 37) of RRB requests for WRB conflicts. FIG. 29C is a table (Table 38) showing the data format for outbound requests.
FIG. 29D is a table (Table 39) showing the data format for incoming reply actions.
FIG. 30 is a timing diagram for SYSAD arbitration for a T-Rex external block data response. FIG. 31 is a block diagram of one embodiment of MD 275. FIG. 32 is a block diagram of one embodiment of a memory DIMM 600. FIG. 32A is a table (Table 58) of DIMM configurations. FIG. 33 is a block diagram of one embodiment of a pair of "standard" memory DIMMs 680. FIG. 34 is a block diagram of one embodiment of a pair of "premium" memory DIMMs 690 (wherein one half of the pair is shown). FIG. 34A is a table (Table 59) of address translation used. FIG. 34B is a table (Table 60) of incoming messages for MD 275.
FIG. 34C is a table (Table 61) of outgoing messages for MD 275. FIG. 35 is a block diagram of one embodiment of the queues of MD 275. FIG. 36 is a block diagram of one embodiment of the interface between MD 279 and external EPROM, UART, LED, and Synergy bridge chip. FIG. 37 shows one embodiment of a Memory Block datapath.
FIG. 38 shows one embodiment of a Fetch and Op Cache datapath. FIG. 39 is a block diagram of the main address and data paths of the NI 274. FIG. 40 shows message sideband encoding
FIG. 41 shows a block diagram of the connections between node controller 75 and router 76 of one embodiment.
FIG. 42 shows a high level diagram of the IO unit and its position relative to the rest of node controller 75 and input/output port 79. Description of Preferred Embodiments In the following detailed description of the preferred embodiments, reference is made to the accompanying drawings that form a part hereof, and in which are shown by way of illustration specific embodiments in which the invention may be practiced. It is understood that other embodiments may be utilized and structural changes may be made without departing from the scope of the present invention. Some embodiments of the present invention include routers, networks, and synchronization apparatus and methods as described further in copending U.S. Patent Application Serial Number 08/972,010 filed 11/17/1997, which is hereby incorporated by reference. Some embodiments implement multiprocessor systems, that generally do not use a coherent cache model. Other embodiments include cache coherence mechanisms and methods such as described in application serial number 08/971,184 filed November 17, 1997 entitled "MULTI-DIMENSIONAL CACHE COHERENCE DIRECTORY STRUCTURE", which is hereby incorporated by reference. In particular, the present invention provides a node controller that is economic and provides excellent performance when scaled sown as well as when scaled up (i.e., to a large number of processors).
Figure 1 shows one embodiment of multiprocessor computer system 100 of the present invention having one or more node clusters 170, each node cluster
170 having zero to N processors 74, zero to M memories 77, and zero to I input/output (I/O) subsystems 79. Depending on the needs of a user, interconnection network 175 can be set up as a three-dimensional torus, an N- dimensional hypercube, or any other suitable interconnection network between routers 76. In one embodiment, each router 76 includes eight ports 211, wherein each port 211 can be used to either connect to other routers 76, or to one to N node controllers 75 each having zero or more processor elements (PEs) 74. Thus, in some embodiments, a router 76 can be used as just an interconnection node in the network 175 (i.e., a circuit within block 175 rather than within node cluster 170), having no PEs 74 or memory 77 or I/O subsystems 79, and all of its ports are used to connect to other routers 76.
In other embodiments, such as shown in Figure 1, some of the ports 211 are used to connect to other routers 76 through network 175, and other ports 211 are used to connect, via node controller 75, to a plurality 141 of PEs 74, to memories 77, and to I/O subsystems 79.
Figure 2 shows details of one such embodiment of a node cluster 170 having a router 76 with six router connections 72 (i.e., connections to other routers 76), and two node-controller connections 73 (also called channel 73) (i.e., connections to the two node controllers 75 that together connect in turn to eight processor elements 74). One to four PEs 74 connect to each node controller 75, and share a single memory 77 having one or more banks of memory. In some embodiments, each bank includes two or more memory cards accessed in parallel. In some embodiments, each memory card includes separate subsets of memory chips, one subset dedicated to memory data, and another dedicated to directory data (such cards are sometimes called memory/directory cards). Each node controller 75 optionally connects to an I/O subsystem 79 that provides connections to conventional high-speed I/O ports and devices such as workstations, disk systems, and tape systems, etc.
In one embodiment, each node controller 75 includes up to five interface ports, i.e., PIO 271, PI1 272, II 273, NI 274, and MI 275. Processor port interface 0 (PIO) 271 connects to a first subset 241 of processors 74, processor port interface 1 (PH) 272 connects to a second subset 242 of processors 74, input/output port interface (II) 273 connects to an input/output system 79, network port interface (NI) 274 connects to either a router 76 as shown in Figure 2, or to another node controller 75 as shown in Figure 4 below. Memory interface (MI) 275 connects to memory 77.
Figure 3 shows another embodiment, showing a multiprocessor system 300 having a single node cluster 170 having a single router 76 with no router connections 72, eight node-controller connections (channels) 73 (i.e., connections to the eight node controllers 75 that together connect in turn to thirty-two processors 74). This is the maximum number of PEs 74 for this embodiment of router 76 (which has eight ports 211) and node controller 75 (which can connect to up to four PEs 74). Each port 211 connects to up to one processor cluster 370, and each processor cluster 370 has up to four PEs 74, a shared memory 77 for the PEs 74, and a shared I/O subsystem 79, all connected through one node controller 75. In this embodiment, router 76 is not connected to any other router 76. Of course in other configurations, not all ports 211 need be used, and not all processor clusters 370 need be fully populated with four PEs
74.
Figure 4 shows an embodiment of a multiprocessor system 400 having no router chips, but having two node controllers 75 connected to one another using a single interprocessor communications channel 73. This embodiment accommodates up to eight processors 74. This is the maximum number of PEs
74 for this embodiment of node controller 75 (each of which can connect to up to four PEs 74). Each node controller 75 connects to up to one other processor cluster 370, and each processor cluster 370 has up to four PEs 74, a shared memory 77 for the PEs 74, and a shared I/O subsystem 79, all connected through one node controller 75. Of course in other configurations, not all processor clusters 370 need be fully populated with four PEs 74, and in still other embodiments, more than eight processors are supported. System Architecture
The system architecture of the present invention (sometimes denoted "SN1"), shown in Figure 5, includes of one or more processor/memory nodes 370 connected with a general interconnect network (also called an interprocessor communications fabric)575 whose bandwidth scales with the number of processors. In various embodiments, interprocessor communications fabric 575 can be as simple as a single interprocessor communications channel 73 that directly couples two node controllers 75 as shown in Figure 4, or can include an extensive network connecting many node controllers 75. One such extensive network includes a network of interconnected routers 76 such as shown in Figure 1 , but other embodiments include other interconnection networks such as are well known in the art. High-level organization of the SN1 system
The global shared-memory 577 in SN1 is partitioned with a section of memory 77 distributed (i.e., locally connected) to each group of four processors 74. This partitioning provides very low latency for memory allocated close to the processor 74, while the general interconnect provides for global access to all of memory 577 from any processor 74. A directory-based protocol is used to maintain cache coherence over all of global memory 577. Input/output (I/O) 579 within the SN1 system is also partitioned with an I/O interface connection point (II port) 273 on each CPU/Memory node 370. As with the distributed memory 577, every I/O port 273 is addressable from every processor 74.
In one embodiment, each CPU/Memory node 370 is packaged in a "brick" module. Large CPU configurations can be constructed using multiple Node modules. These nodes 370 are connected with links through routers 76, each of which is also packaged in brick. In various embodiments, each node 370 contains up to four T-Rex-type or Merced-type processors, memory-directory bank(s) 77 each having some amount of main memory and an associated amount of directory memory, an attachment port 274 for multinode interconnection through the interconnection network (sometimes called CrayLink2), and an II attachment port 273 to the I/O subsystem 79. The interconnection network attachment port is full duplex, 1600 MB/sec in each direction. The I/O attachment port (sometimes called "XTOWN2") is full duplex at 1200 MB/sec but can be slowed down to 800 MB/sec to interface with older I/O devices or graphics components from older SN0 systems.
The attachment port to the CrayLink2 connects to the routing network 175. The routing network 175 is built up from 8-ported router chips 76 that connect to nodes and to each other using cables. The interconnection topology used in the SN1 family is described in the next section.
*
DTMM Module 600
The DIMM module 600 (see Figure 6A) is a 294-pin-position vertically- plugged PCB (printed circuit board), containing parts for main memory and directory memory. DTMM Guide base 671 and Levers 672
Figure 6B shows DIMM guide 673 having four DIMM modules 600. Because the DIMM module 600 is extra tall, an external guide/support part 673 is required. The guide 673 supports four modules 600, allows airflow, and provides features for an insertion/extraction mechanism. In one embodiment, one guide design is used at both ends of the DIMM's, as shown in Figure 6B.
Levers 672 reside on the top of the guide 671. These levers 672 will provide a mechanical advantage in the insertion, ejection, and retention of the DIMM modules 600.
Near-end DIMM latches shown closed in Figure 6B. Far end latches shown in open state.
In some embodiments, node controller 75 is implemented on a single integrated circuit chip 475 (see Figure 7B), and in one particular embodiment, on a single chip called "Bedrock." References to Bedrock shall mean this particular embodiment of node controller 75, but other embodiments of the invention are contemplated. In particular, some embodiments contemplated omit certain features described below, and other embodiments replicate features described, or add and delete certain combinations of features. Overview of the system In one embodiment, the present invention specifies a distributed, shared- memory multiprocessor employing 1 to 4096 processors (although the Bedrock SN1 node implementation of one embodiment supports a maximum of 1024 processors). SN1 fully supports a single address space and provides cache coherence across the entire machine. SN1 is organized into a number of nodes; each node connects the local memory to the processors, provides a port to the Router Network, and a port to the IO subsystem. Bedrock is the first implementation of an SN1 node. Each Bedrock supports up to four T-Rex+ processors, a portion of the global memory, a directory to maintain cache coherence, an interface to the Crosstalk IO subsystem, and an interface to SN1
(SNINet) Router Network, as shown in Figure 7A. Node controller 275 (Bedrock) Overview
The Bedrock chip provides connectivity between four types of external interfaces and an interface to local chip resources. Each of the interfaces is managed by a subdivision of the Bedrock known as a unit. The units' names derive from the interfaces they manage: the IO interface unit (II), the network interface unit (NI), the memory/directory interface unit (MD), the two processor interface units (PI_0 and PI 1), and the local block (LB). The units are connected by a central crossbar (XB), as shown in Figure 7B. Bedrock supports shared memory systems of up to 256 SNINet nodes (1024 processors).
The different internal Bedrock interfaces communicate by sending messages through the XB unit. As explained in the SN1 Cache Coherence Protocol Specification, to avoid system deadlock, messages are separated into two groups: requests and replies. When a message arrives through the I/O port (Crosstalk protocol) or Network port (SNINet protocol), the message is converted to the Bedrock's internal format. The reverse occurs when a message exits one of these ports.
Figure 7A shows node controller 75 of one embodiment of the present invention. Figure 7B shows one embodiment node controller 75 further including indications of the flow of data into and within node controller 75.
Figure 7B also shows node controller 75 implemented within a single chip 475. Figure 7C shows a diagram of node controller 75 within a node 370 and describing the various interface widths and clock speeds for one embodiment of the present invention. Figure 7D shows header and data formats for messages of one embodiment of node controller 75. Bedrock Internal Message Format
The internal message of Bedrock (one embodiment of node controller 75) (see Figure 7D) includes a header frame (a frame is a group of bits that is conceptually or logically a single unit. The term does not infer the number of clock cycles required to process the information, nor the unit-size of the bits that are placed simultaneously on the wire" (either through the Bedrock's XB or over the Router Network or Crosstalk interfaces)), followed (optionally) by one or more data frames carrying a total of 64 to 1024 bits of data for the message.
The format for each of the Bedrock frames (the header, the doubleword data, and the quadword data) is shown in Figure 4. A header frame can be followed by 0, 1, 2, or 16 doubleword data frames, or, on the MD interface, by 0, 1, or 8 quadword data frames (any particular Bedrock MD interface uses only one of these data formats). Most interfaces on the Bedrock's Crossbar unit accept/transmit one 160-bit micropacket flit (carrying either a header, a doubleword of data, or a quadword of data) in a single clock cycle. Bedrock's Crossbar unit processes each micropacket (from input port to output port) in two clock cycles. Whenever possible, the Crossbar unit combines 2 received doublewords into a single quadword micropacket.
As each frame crosses into or out of the Bedrock's XB unit, the control signals (defined in Figure 4 and described in detail in Table 1) indicate all or some of the following information:
— to which local Bedrock unit (that is, XB port) the frame is destined (Xsel)
— whether the frame is a request or a reply (that is, the Class which defines the virtual channel used)
~ whether this frame concludes the current message (Tail). Note that the Xsel field is only valid for headers, and that data frames always follow the header to the destination unit.
Figure 7E shows the field format for source and supplemental fields. Figure 7F shows a table of control signal usages for control field 741 or control field 747. Figure 7G shows a device ID encoding for field 743. Figure 7H shows terminology used for describing content of the source field in the header of messages for XB 279.
Figures 71, 7J, 7K, 7L, 7M, and 7N show a multi-part table (Table 3) describing internal bedrock message source and supplemental fields. Figure 70 is a table (Table 4) of the Xsel select-encodings for XB 279.
The header frame contains the source and supplemental fields, the message type (command) field, and 37 bits (39:3) of valid address. Subsequent data frames contain 64 or 128 bits of data, plus a bit to indicate an uncorrectable data error (UCE). The UCE bit is set if an uncorrectable error was encountered for that data word, such as a double bit error in memory. Note that the quadword data format is explicitly divided into DataEven and DataOdd doublewords. Whenever quadwords must be broken up into doublewords for transmission along a narrower interface, DataEven is transmitted first. If a doubleword of valid data is sent across the quadword interface, it is assumed to reside in DataEven.
Figure 7G (Table 2) specifies the values that are contained in the Source and Supplemental fields of the header frame. The general format of the identifiers used in the these fields is shown in Figure 5. The Node ID corresponds to the Router Network Address [39:32] (when the system is operating in its default M-mode). The node address space (total memory addressable in a node) is therefore Address[31 :0], allowing up to 8 Gigabytes of addressable memory per node. Figure 7H Terminology for Describing Content of Source Field in Header The Source field (illustrated in Figure 7E) identifies one of the following: — For directory revision messages (such as XFER or SHWB) headed out the network interface, it identifies a coherency request initiator (that is, a source).
— For other messages going to the network interface, the Source field on the forwarded request identifies the destination address of the third party (illustrated in Figure 6) in the coherency transaction.
— For all other messages, it identifies a coherency request initiator (that is, a source).
There are two types of invalidates inside the Bedrock: ~ an invalidate plus bit vector, which is only sent to the LB, is referred to as a local invalidate (LINVAL) ,
— the normal invalidate, which is sent to a PI (local or remote), is referred to as an invalidate (INVAL) . The table shown in Figures 7I-7N details Source and Supplemental field contents for all Bedrock transactions. Some of the abbreviations used in this table are described as follows:
— Init - initiator of the original transaction. 3 bit field points to a
DevicelD encoding, as detailed in Table 2. —Send - sender, 8 bit node ID of the node sending the current message. 1
Owner - the owner of the cache line referend to by the address in this header. Used to generate revision messages. Owner will be either the initiator or the sender.
—Priority - priority level of the coherence request. — AckCnt - acknowledge count expected as the result of invalidates sent.
This number is the total number of invalidates sent minus 1. 1 WRB - write request buffer resource tag number of current write transaction.
—Target - identifier of the intervention target.
— T-Rex/BCast - two bit field which specifies which TRex to send an invalidate to. Can also specify a broadcast to both TRexs.
Overview of XB 279
Figure 8 is a block diagram of one embodiment of XB 279. The crossbar unit (XB) 279 of node controller 75 provides connectivity between the two PI 270s, the MD 275, II 273, LB, and NI 274 units in a fair and efficient manner. The XB 279 supports the flow of messages in Bedrock internal format along two virtual channels, multiplexed across the physical channel(s) connecting each unit to the XB 279. The XB 279 is designed for minimal latency under light loads by means of buffer/queue bypass paths and arbitration hints, and maximum throughput under heavy loads by means of per virtual channel arbitration requests and a wavefront arbiter. Message ordering between each pair of units is maintained within each virtual channel. Messages targeting different destination units from a single source virtual channel may be transmitted in any order. Messages along different virtual channels may be interleaved across an interface or along a physical channel at the flit1 level.
This section uses the following unit-centric (not XB-centric) acronyms: • xIQ = x unit's input queue that receives messages from the XB
279 (for example, PIQ = a processor interface's input queue) • J OQ = x unit's output queue that holds messages awaiting transmission to the XB 279 (for example, NOQ = network interface's output queue) In one embodiment, the XB 279 resides physically in the approximate center of the node controller 75, as it must communicate directly with each of the functional units (MD 275, II 273, LB 278, NI 274, and two Pis 271 and 272).
The XB 279 is divided into a set of modules that each interface directly with a functional unit, and a set providing central connectivity and arbitration.
Figure 70 is a block diagram of the XB architecture. In the notation shown in the figure, a dual-FIFO refers to two virtual channel FIFOs within a single buffer memory structure, a quad-FIFO referring to four virtual channels in an analogous structure. Dual bank refers to the width of the FIFO write port. The memory output queue (MOQ) requires dual banked FIFOs to sustain 3.2 GB/sec. of bandwidth. Datapath Crossbar This centrally located module contains an 8-input by 6-output crossbar.
The crossbar datapath is 67 bits wide for all inputs and outputs, providing 1.6 GB/s of data bandwidth per port at a 5ns clock. A single cycle is spent traversing the crossbar datapath: data is registered before being presented to the crossbar datapath, and flows into a register after the output multiplexer. Data transfers are orchestrated by the unit output queues and the arbiter working in tandem. Data, valid and flit tag information are provided by the source output queue. Crossbar select lines are controlled by the arbiter and registered as one-hot busses to minimize fall-through latency. Unit Output Queues The unit output queues (the "OQs") provide buffering for outgoing unit messages (destined for the XB 279) while they arbitrate for datapath resources.
A flit is the smallest atomic, flow-controlled transfer unit supported by an interface to the crossbar. (Note: XB nomenclature is unit-centric, not XB-centric.) In certain cases they are also used to provide rate matching between disparate XB and unit bandwidths (MOQ, NOQ2, IOQ, as well as a point of synchronization for units asynchronous to the core (POQ, IOQ). The OQs have two major interfaces: a unit interface and an arbiter/datapath interface. The unit interfaces are customized to the unique requirements of each unit, and detailed in the sections that follow. The arbiter/datapath interface is uniform across the OQs.
Across all OQ interfaces, message destinations are specified using the Xsel associated with the destination unit. This encoding, described in Table 4
(shown in Figure 8), is consistent with the router network header format (in one embodiment, the present invention uses a router network such as described in application serial number 08/972,010 filed November 17, 1997 entitled "SERIALIZED, RACE-FREE VIRTUAL BARRIER NETWORK", application serial number 08/971,588 filed November 17, 1997 entitled "HYBRID
HYPERCUBE/TORUS ARCHITECTURE", application serial number 08/971,587 filed November 17, 1997 entitled "ROUTER TABLE LOOKUP MECHANISM", application serial number 08/971,184 filed November 17, 1997 entitled "MULTI-DIMENSIONAL CACHE COHERENCE DIRECTORY STRUCTURE", and application serial number 08/971,591 filed November 17,
1997 entitled "VIRTUAL CHANNEL ASSIGNMENT IN LARGE TORUS SYSTEMS" all of which are incorporated by reference), minimizing the amount of format translation required at the network interface. Note that the XB 279 supports a superset of the router network encodings to address Bedrock-internal only message destinations.
Note that the Valid (from the unit to the output queue) corresponds to a single cycle flit, except for NI 274 where it corresponds to either 1 header or two consecutive data flits. The Tail comes with last flit of the message, except for NI 274 where it comes with the Valid. See Figure 7O showing Table 4. Note that setting Xsel[2] to 0 results in four SNO-compatible encodings (with the Local
Block replacing the ΝI 274 as the destination for vectors and NT register PIOs). Xsel[2] can also be thought of as a "sub-node" select bit.
Only when SNONet is being used. To reduce latency through an empty OQ, the message buffers may be bypassed entirely, or the data may be written and read from the buffers in the same cycle. The details of the crossbar arbitration are hidden from the unit, which need only be concerned with proper data transfer and flow control on the OQ interface.
Unit Input Queues
The unit input queues (the "IQs") provide buffering for data that has traversed the XB 279, but has not yet been processed by its destination unit. Their primary role is to provide rate matching and synchronization between the XB 279 and the receiving unit. If sized properly, they isolate XB message flow from the effects of lower bandwidth units, and allow higher bandwidth units to accumulate incoming packets until a transfer at the higher rate is possible.
Since the NI 274 is synchronous and bandwidth-matched to the XB 279, the NI 274 does not have an IQ. Fill level information from the IQs is used by the arbiter to flow control messages from the OQs. In the case of the NI 274,
SNINet flow control information is used to provide back pressure across the XB 279.
The MD 275 requires input buffers to provide rate matching between the XB 279 and the higher bandwidth memory interface. To allow a tighter coupling between the MD 275 and the input buffers, the buffers are placed in the MD unit
279 rather than in an XB IQ. The MD 275 also provides flow control signals to the arbiter.
Note that the Valid (from XB 279 to the IQ or unit) is consistent throughout all the queues in that it corresponds to a single-cycle flit. The Tail correspond to the last flit of the message, except for NI 274 where it is asserted in the first cycle of the two cycle transfer. Arbiter
The arbiter provides low latency arbitration for uncontested ports via bypass arbitration, and efficient, high utilization via wavefront arbitration as resources become saturated. The arbiter must also ensure fairness for all units and correctness by disallowing message fragmentation within a virtual channel.
The basic unit of data transfer supported by the arbiter is a two clock entity, composed of either a single clock header followed by an unused cycle, a single clock of data followed by an unused cycle, or two data clocks. Note that single clock data transfers are only used for the last transfer of an odd-length data payload. The arbiter receives requests for two cycles of XB bandwidth from the units every other cycle, and grants bandwidth to a particular destination in the same cycle.
Error Handling
The XB 279 will, in some embodiments, encounter errors with some of the following root causes: design flaws in the Bedrock (in the units or in the XB 279 itself). • external component failures whose effects are not filtered by the units before reaching the XB 279.
• system configuration errors.
While these errors may manifest themselves in many ways, the crossbar will only detect errors of the following types: • Invalid Xsel destination encodings from unit to OQ (BAD XSEL).
Queue overflow, from unit to OQ or OQ to IQ.
• Queue underflow, due to OQ grant or IQ read of empty queue.
Lack of forward progress from an IQ to unit (DEADLOCK TIMEOUT).
Missing tail from a unit to an OQ (TAILjTMEOUT). Spew Mode
The crossbar provides a debug mode in which all data leaving a particular OQ or all data entering a particular IQ can be echoed ("spewed") to the NI 274. Once reaching the NI 274, the data will be converted to network format and sent out the SNINet port (as normal) for capture via a suitable test chip (one that allows examination of the signals on the link) that is embedded in the link. Note that this mode is only useful for single node system debugging, as the NI 274 port cannot be shared by spew traffic and normal traffic. EQQ
In one embodiment, there are two instantiations, POQ0 812 and POQ1 816, of the processor interface's output queue, one for each PI 270. In other embodiments, even more instantiations of the PI port, or a plurality of instantiations of one or more of the other ports, are used.
Each POQ accepts request and reply messages for a PI 271 or 272 at the PI clock frequency. Intemally, the POQ has a synchronizer to bring the PI data into the core clock domain. In the core clock domain, the POQ presents PI requests and replies to the XB arbiter and datapath. There is a Synchronous mode (pin) which can be set when the PI 270 and the core runs synchronously. This causes the POQ to bypass the synchronizer and save 1.5 cycles.
The PI 271 or 272 is flow-controlled by the POQ 812 or 816, respectively. The POQ indicates the number of free entries available for new data in the request and reply queues. When the number of free entries reaches 0, the PI 270 is no longer permitted to send data to the POQ. The PI 270 needs to know the number of entries so it can properly flow control the T-Rex+ processor (a simple Full flag is not sufficient for this).
Since there are two processors connected to each PI 270, the naming convention used is, for example, PI_POQ_RqValid_P0, PI_POQ_RqValid_Pl. The core-side clock domain of the POQ has special logic for creating revision messages in the reply channel. Some intervention responses from T-
Rex+ require revision messages, with or without data, to be sent to the home node's memory, depending on the command type and the supplemental fields. The following equation details revision message types:
With Revision Bit (bit 55) set, RevisionMessage command is one of ('BR_CMD_UACK I ΕR_CMD_SACK I ΕR_CMD_EACK
I BR_CMD_RACK | BR CMD URESP | BR_CMD_SRESP I ΕR_CMD_ERESP) Revision is LongLong type if (RevCmd='BR_CMD_SXWB) | (RevCmd='BR_CMD_SHWB);
Revision id is LongShort type if (MsgCmd=ΕR_CMD_ERESP) & (RevCmd='BR_CMD_XFER).
RpFree is asserted whenever an entry is freed from the Reply buffer, but RqWrFree is only asserted when the packets are longer than two flits are freed.
No RqWrFree is asserted for Read since Read Request is not longer than two flits, while 15 RqWrFree pulses are asserted for block write (flits 3- 17), since PI 270 needs to reserve the two entries to be able to do a partial write in case of any error. For graphics Request (with no error expected), RqWrFree is asserted whenever an entry is freed. PTQ
The processor interface input queue (PIQ) is a FIFO pair with separate request and reply channels. The XB side of the PIQ runs at the core clock speed, while the PI side of the PIQ runs at the PI clock speed. Thus, the PIQ implements an asynchronous boundary. When valid data appears at the PI side of the PIQ, valid lines are asserted until the PI 270 reads data from the PIQ. MOQ To sustain full memory bandwidth, the memory unit's output queue
(MOQ) block provides three replicated queueing structures (MPO, MPl, MMQ), attached to three separate XB 279 ports. The MD 275 sends messages into each queue based on their destination. There is a single queue dedicated to each PI 270 (MPO and MPl), and a third queue within which LB, NI, and II messages are held (MMQ).
Each MOQ structure is made up of separate header (MOQH) and data (MOQD) FIFOs. MOQH and MOQD have separate interfaces to streamline connecting to the MD 275 datapath. The MOQH is divided unequally into request and reply channels (mostly reply), since most outgoing traffic is on the reply channel. The MOQH and MOQD also have bypass paths. The limited set of data bearing requests from the MD 275 travel entirely through MOQH, eliminating the need for a request channel on MOQD. To accept the 3.2 GB/s data rate from the MD 275, the MOQD has dual buffers which are written simultaneously by the MD 275, and read alternately by the XB 279. When transferring a doubleword of data, the MD 275 may present the data on either side of the quadword interface. The DwOffset signal indicates whether DataEven or DataOdd is used.
To reduce remote read latency, the MD 275 will at times present a header to the MOQ before all checking is complete. There are two scenarios under which this takes place:
1. Hdr{Rq,Rp}dst is asserted and on the following cycle, during which the header itself is transferred, the MD 275 encounters an ECC error and must cancel the message. The MD 275 asserts the Abort signal in this second cycle, indicating that the header should be dropped and all state associated with this message cleared. In the same (second) cycle, another Hdr{Rq,Rp} Valid (on the same channel) may be asserted, and that message must also be cancelled. 2. For messages heading towards the NI 274 (on their way to a remote node), an access error may be detected in the cycle after the header has been transferred to the MOQ. In these cases, the MD 275 asserts the AE signal on the third cycle of the transfer, and the MOQ and NI 274 cooperate to ensure that the header is dropped. There may be residual message-in-progress state left in the XB 279 as a result of this cancelled transfer. The MD 275 guarantees that it will resend a message to the NI 274 along the same channel, clearing up any outstanding XB state. Note that the original Hdr{Rq,Rp} Valid may have not been aborted on the second cycle, and encountered an access error on the third. Also note that any header immediately following (on the same channel) a header that ultimately encounters an Access Error must be aborted. A single cycle of delay after the AE header is sufficient to again allow a valid transfer. If AE is asserted in the third cycle, MD 275 guarantees that there is no Hdr{Rq,Rp} Valid on the second and third cycles. Flow control between the MD 275 and each of the MOQs is credit based.
On reset, the MD 275 assumes that the following space is available in each MOQ:
• 4 request headers/DW data entries in MOQH
12 reply/D W data entries in MOQH • 24 QW data reply entries in MOQD
The MD 275 must maintain a count of available buffer space for each MOQ. On transferring a header or data cycle to a particular MOQ, the MD 275 must decrement the corresponding credit count. The HdrRq/RpFree outputs from each MOQ indicate that a single cycle header/doubleword data entry has become available in MOQH. DataFree indicates that a quadword or quadword- aligned doubleword entry has become available in MOQD.
Figure 10 and Table 20 (shown in Figure 9A) provide a detailed list of the MD/MOQ interface signals. MTQ
There is no MIQ in XB 279. The XB 279 attaches directly to the MD 275 input datapath, allowing the MD 275 to better manage queued messages. The XB's 1.6 GB/s port can only provide half of the memory's peak bandwidth. The MD 275 provides separate flow control for several XB sources, allowing requests from certain sources to make progress while others are stalled until their buffers are freed.
To improve the latency of remote reads, the NI 274 forwards remote read requests to the MIQ as soon as they are received, bypassing the XB.279 entirely. Reads that are received from the NI fast path are speculative in nature, and are only forwarded across the MD 275 interface when the MIQ cannot make use of the interface otherwise. The speculative headers are presented for a single cycle only, and are identified by the HdrSpec signal associated with HdrAvail.
Note that speculative hints sent from the NI 274 to the MD 275 do not affect the operation of the NI->NOQ interface in any way. Table 21 (shown in
Figure 10A) lists in detail the interface signals between the XB 279 and MD 275. NOQ
The network unit's output queue (NOQ) includes four channels that correspond directly to the router network (175)'s four virtual channels. Each individual channel is treated as a FIFO, and each presents requests to the arbiter.
By controlling the grants returned to the NOQ, the arbiter ensures that the four virtual channels are properly merged into the two virtual channels supported by the rest of the Bedrock.
Data is transferred across the NI-NOQ interface in 2-cycle flits, in a manner analogous to that used between OQs and IQs within the XB 279. Valid cannot be asserted to the NOQ on consecutive cycles. Each assertion of Valid represents either a single-cycle Bedrock header followed by an unused cycle, a single cycle or data followed by an unused cycle, or two cycles of data. Even- length payloads are sent as a series of two-cycle data flits, with the last transfer of an odd-length payload being a valid single-cycle of data followed by a dead cycle.
There is no explicit differentiation between a single-cycle and a dual- cycle data flit on the NI-NOQ interface. The NOQ uses the Size field provided with the header's Valid pulse to distinguish the two. The NOQ decodes the header command size bits and samples only the first doubleword of data in the last flit of the message. Also note that although the UCE bit is defined for each doubleword of data in crossbar format, it is only valid on the first cycle of a data flit from the NI 274 to the NOQ.
The NI 274 forwards flits to the NOQ before link-level checking is complete. In cases where a flit must be dropped due to a link-level error, the NI 274 will assert Squash a cycle after asserting Valid.
NOQ-NI interface flow control requires the NI 274 to know the NOQ buffer depth. The NI 274 must keep track of all buffers consumed (by means of
Valid transfers), and all buffers freed (as indicated by Free pulsed from the NOQ). The buffer depth is measured as the number of 2-cycle flits that can be stored, and a Free pulse indicates the release of a 2-cycle flit buffer. A Valid followed by Squash is dropped by the NOQ and thus will not result in a Free pulse.
See Figure 11 for an NOQ timing diagram. Note that the figure includes SNINet LLP and internal crossbar signals. These help illustrate the expected fall-through latency from SNINet onto the crossbar, but do not directly affect the NI-NOQ interface. The interface signals are listed in Table 22 (shown in Figure 11 A).
NIQ Functionality
The NI 274 does not have an input queue for incoming XB traffic. The direct connection of the NI 274 and the XB 279 dictates that messages be transmitted across the interface in the 2-cycle flit format supported by the XB 279. Each assertion of DataValid signals the first cycle of a flit being transmitted. The unused cycle after each header allows the NI 274 to expand Bedrock headers into 2-cycle Router Network headers at full rate. To allow the NI 274 to format outgoing messages with minimal latency, the DataTail tag is provided on the first cycle of the 2-cycle flit. There is no distinction between single and dual data cycle flits on the interface.
The two channels of traffic supported by the XB (request/reply) are demultiplexed onto the four router network channels by the NI 274, in a manner which is invisible to the crossbar. The NI 274 combines flow-control information from the four network virtual channels to apply back-pressure to the two channels seen by the crossbar.
The XB->NI protocol is complicated by a latency-reducing optimization from the memory system. To support minimum latency responses to remote memory requests, the MD 275 sends response headers to the XB (headed for the
NI 274) before access rights checking is complete. When access checking results in an error, the MD 275 asserts the Access Error (AE) signal to the MOQ and the NI 274. If the response header passes through the MOQ and the crossbar with minimum latency, the header DataValid will arrive simultaneously with the AE signal. The AEValid signal from the MOQ to the NI 274 is asserted whenever this minimal latency path is taken. At the NI 274, the Access Error (AE) bit from the MD 275 is combined with the AEValid bit from the MOQ to gate XB DataValid, as illustrated in Figure 12. See Table 23 (shown in Figure 1 IB) for a description of the full set of XB/NI interface signals. I OQ
The local block's output queue (LOQ) is a FIFO pair. It need only be sized large enough to ensure that outgoing invalidates can be sent at full network bandwidth. The LOQ has no bypass path.
The LOQ interface signals are detailed in Table 24 (shown in Figure
12A). A header or single cycle of data is considered transferred if:
(RqAvail && RqReady) || (RpAvail && RpReady) Rq and RpReady may be asserted together. Rq, RpAvail are mutually exclusive. I Q The local block's input queue (LIQ) presents independent request and reply interfaces to the Local Block. The request FIFO will buffer invalidate requests (as well as other requests to the local block), and should be large enough to hold all of the coarse invalidates that can be issued from the four processors on the local node3. When the LIQ has request flits to deliver to the LB, it asserts the RqAvail signal. The LB independently asserts RqReady when it can accept a request flit. When both RqAvail and RqReady are asserted in the same cycle, a data transfer
4 invalidates per processor = 16 invalidates per node. is defined to have occurred. The LB may consume multiple flits in the same cycle by asserting multiple Ready signals in the presence of multiple Avail signals.
The only reply messages flowing through the LIQ are vector replies. The LB guarantees that vector responses can always be consumed at full rate. The
LB also guarantees that any reply messages erroneously arriving at the LB will be consumed at full rate. As a result, a Valid signal from the LIQ is used to indicate a reply transfer rather than a Ready/ Avail protocol, and no reply storage is allocated in the LIQ. The LIQ/LB interface signals are detailed in Table 25 (shown in Figure 12B).
IOQ
The IO interface's output queue (IOQ) is a FIFO pair with separate request and reply channels. Data from the II 273 is written into the IOQ at the speed of the II 273, which is asynchronous with respect to the Bedrock core clock. Data is read by the XB 279 at the Bedrock core speed.
To simply implementation, the IOQ and POQ are instantiated from the same source logic. That is, they are identical logically. In various embodiments, special features are enabled or disabled depending on whether the logic is serving the II 273 or PI 270. The exception is Arbitration Trigger in IOQ. Arbitration Trigger in IOQ enables the IOQ to wait a programmable number of cycles after seeing a Header, before it starts to arbitrate. This gives the IOQ a chance to have enough entries such that it can use the arbiter and the bus optimally without any unused cycle. The Arbitration Trigger register can be set to: II frequency / Core frequency * number of cycles to transfer a cache line.
HQ
The IO interface's input queue (IIQ) is a FIFO pair with separate request and reply channels. Data from the XB 279 is written into the IOQ at the speed of the Bedrock core, which is asynchronous with respect to the II clock. It is presented to the II 273 through separate request and reply registers.
To simply implementation, the IIQ and PIQ are instantiated from the same source logic. That is, they are identical logically, with the exception of Arbitration Trigger in IOQ. Arbitration Trigger in IOQ enables the IOQ to wait a programmable number of cycles after seeing a Header, before it starts to arbitrate. This gives the IOQ a chance to have enough entries such that it can use the XB bandwidth (arbiter and the bus) optimally without any unused cycle. The Arbitration Trigger register can be set to: II frequency / Core frequency * number of cycles to transfer a cache line. If the Tail of a message is seen, it can immediately arbitrate without waiting for the programmed number of cycles. Arbiter and Datapath Interface
The arbiter combines per virtual channel requests and message framing information from the OQs with flow control information from the destination IQs/units to grant crossbar bandwidth fairly and efficiently. To provide low latency under light loads and high-utilization under heavy loads, the arbiter supports two bandwidth request interfaces: the bypass arbiter interface and the wavefront arbiter interface, named respectively for the arbiter implementation that handles each. The switch carries the data along with virtual channel tag, tail bit from the OQ source to the IQ or unit destination.
Bypass Arbitration
The arbiter supports bypass arbitration as a means of low latency, fixed priority arbitration. When a OQ is empty and idle, it can use bypass arbitration for new requests to minimize latency. To initiate bypass arbitration, the OQ asserts its appropriate request line at the beginning of a clock cycle (for a summary of all request lines, see the XB interface section, below.) If the requested IQ is free, and if there are no conflicting virtual messages in progress ("MIPs"), the bypass arbiter will grant the IQ to the requesting OQ. On the next clock, the OQ must provide data on the XB datapath, starting at the beginning of the cycle. Since this process does not give the OQ sufficient time to complete an FIFO read, the OQ must bypass the FIFO read stage and have the data staged for immediate transmission to the XB 279. To simply the implementation, there are restrictions on when an OQ can request bandwidth from the bypass arbiter. Bypass requests can be made from an empty queue as long as no MIPs are outstanding on other channels.
To be able to request n cycles early, the units may need to speculate that they will need the XB bandwidth, but ultimately be unable to use it. To solve this problem, the arbiter supports speculative bypass requests. Once a bypass grant is received, data is presented to the XB datapath with the virtual channel and Xsel of the data. If these match the registered granted values, the data is considered valid at the destination IQ, otherwise the request is considered speculative and the request is ignored.
The bypass arbiter can be disabled via a XB_BYPASS_EN register. When disabled, bypGnt will never be asserted, and all message transfers will be controlled by the wavefront arbiter 1500. Wavefront Arbitration
The arbiter also supports wavefront arbitration, which uses the XB bandwidth more effectively by maximizing the number of grants per arbitration, and provides fairness to OQ requestors through the age mechanism. An OQ may always request wavefront arbitration, and should assert wavefront arbitration requests to all destinations for which it has a valid packet at the head of a FIFO.
The wavefront arbiter can assign grants more efficiently if it is presented with more requests.
The OQ must assert its wavefront arbitration request(s) at the beginning of a cycle. Towards the end of that same cycle, the arbiter will provide the OQ with a grant. The OQ then has one full cycle to complete its FIFO read, then it must provide data for the XB 279 on the next cycle.
Figure 14 is a block diagram of one embodiment of an XB arbiter filter 1400 (the MOQ filter is shown). Before arbitration requests reach the wavefront arbiter, they are sent through the filter block 1400. The filter block prevents the mixing of two message streams on one virtual channel. If there are no other virtual channel MIPs targeting the same destination as an OQ request, that request is sent on from the filter block to the wavefront arbiter 1500 (see Figure 15).
The wavefront arbiter is set up in a matrix form, although it is tilted to the side to minimize worst case timing paths. Rows in the wavefront matrix represent OQ sources, while columns represent IQ destinations. Each tile in the wavefront arbiter is the terminus of a filtered arbitration request line, and represents a particular OQ requesting a particular IQ. OQs may request several IQs in one arbitration round. OQs will be granted a maximum of 1 IQ per round. Note that the wavefront arbiter treats the MOQ as 3 OQs, as the MOQ can provide 3 data streams simultaneously. Thus, the MOQ can receive up to 3 grants in an arbitration round. Wavefront arbitration tiles closest to the upper left corner in Figure 15 are evaluated first, and therefore have the highest priority. If a request is granted at a particular tile, that information is propagated down and to the right as the granting tile de-asserts its Row Free (RFree) and Column Free (CFree) lines. Within an individual tile, a request can only be granted if the RFree and CFree lines are both asserted.
There are a total of 6 wavefront arbiters in the XB 279, each with a different priority set. Notice that the wavefront arbiter structure shown in Figure 15 has a fixed priority, with POQ0 having top priority for PIQO, POQ1 having top priority for LIQ, etc. Each of the 6 wavefront arbiters in the XB 279 represents a different priority ordering, and depending on which OQ has the oldest age packet bound for a particular IQ, the arbiter selects one of the wavefront arbiters to use. The arb/gnt interface between the arbiter and the OQs.
XB requests and grants are pipelined two clocks ahead of the data to allow a full cycle for the OQ buffer memory to be read before the data is presented to the datapath. Figure 15A shows a table (Table 29) of wavefront arbiter signals as an example. Crossbar Arbitration Fairness
To ensure fairness and forward progress, the arbiter attempts to grant the "oldest" arb on the chip whenever possible. Oldest is defined by an aging protocol implemented at the OQs and forwarded to the arbiter. A new header arriving at the head of a virtual channel queue receives an age of 0. Until the message is complete, the age will be incremented at a rate determined by the arbiter. The aging rate enforced by the arbiter is programmable via the XB_AGE_WRAP register. Note that bypass arbitration is not fair, but any message that does not receive a bypass grant will immediately go into wavefront arbitration, which is fair. Wavefront requests have higher priority than bypass requests. The arbiter priority module is constantly comparing the ages from each OQ. The oldest age is always selected and given priority according to its preferred ageXsel field. In the case of a tie, the priority block will select the winner according to a round-robin pointer. The pointer advances every grant cycle, regardless of the grant results. To prevent virtual messages from being cut off before ages have advanced to high levels, the robin pointer will not advance until at least one of the OQs has an and age of eight or more (half of its maximum value.)
The priority module requires a full clock cycle to complete the age comparison between all the OQs. Because of this delay, priority information always lags grant decisions by 1 cycle. Because of this delay, priority information always lags grant decisions by 1 cycle. To prevent new messages from inheriting the age of the previous message due to this lag, if the tail flit of a message receives a grant, the next requester from that OQ is treated as if it has a 0 age for one request cycle.
In the case of age ties, the arbiter uses a pseudo-random resolution function to choose a winner. This is important when ages saturate at the maximum value of Oxf, or when the arbiter age function is disabled. When a tie occurs, a winner is chosen at random from the pool or arbitrating OQs. The pseudo-random number is generated using a 15 bit Linear Feedback Shift
Register (LFSR). The LFSR is driven by the polynomial X15 + X + 1, which provides an equal balance of 0's in l's in a non-repeating fashion every 215 clocks.
Crossbar Flow Control Several flow control signals are provided by each IQ (or the unit where no IQ is present) to handle the pipeline depth of the arbiter. The Full and Fulllnl signals must be asserted based on the queue fill-level. The queue fill-level must be updated on the cycle following a valid transfer from the crossbar.
Fulllnl must be asserted whenever there is space available for one or fewer crossbar flits composed of a header or up to two data cycles. Full must be asserted whenever there is not enough space available to handle a single crossbar flit. In queues where headers and data are stored separately, the lack of space for either must cause Full/Fulllnl to be asserted. See Figure 16 for a timing diagram illustrating the relationship between crossbar DataV(alid) and the Full, Fulllnl signals. Arbiter Connectivity
Signals within the arbiter are named with two capital letters specifying the source unit (PO, PI, MO, Ml, NO, IO, or LO) followed by an action (arb, gnt, mip), followed by two capital letters specifying the destination input unit (PI, MI, NI, II, LI) Table 30 (see Figure 16A) summarizes the virtual channels that each queue can use to request input queues. Table 31 (see Figure 17) summarizes the virtual channels that each queue can use to request input queues. Datapath Crossbar Interface
Data transfers along the crossbar datapath are qualified with a data valid (DataV) control signal, and tagged with virtual channel (DataVch) and message tail (DataT) information. A single cycle is spent traversing it: data is registered before being presented to the crossbar datapath, and flows into a register after the output multiplexer. Crossbar select lines are controlled by the arbiter and registered as one-hot busses to minimize fall-through latency. XB Structures
The following sections describe each XB queue structure separately. POQ Structure The POQ structure is similar to IOQ structure described below.
MOQ Structure
The MOQ is split into separate header (MOQH) and data (MOQD) queues. The MOQH contains two independent FIFOs (first-in-first-out registers), one for each virtual channel. The data MOQD is a reply-only data FIFO. A FIFO design was chosen over a DAMQ (dynamically allocated multi- queue memory) design for the MOQ because performance sensitive traffic from the MD 275 is of a predictable nature which would not significantly benefit from a DAMQ control structure. The FIFO reduces control logic overhead, and separate independent FIFOs allow request and reply traffic to interleave arbitrarily. MOQ Data Buffers
Figure 17 shows a block diagram of MOQ data buffers 1700.
Request message data travels entirely through MOQH. Short data responses may travel through either queue, based on which section of the MD 275 (header/directory vs. data/memory) generated them. Long (cacheline) data responses always travel through MOQD.
The MOQ request and reply FIFOs are built with suitable FIFO memories. The MOQD uses double-banked FIFOs to accept full bandwidth traffic from the MD 275, while the banks are read alternately to match the bandwidth of the XB 279. The MOQH FIFO is shared among request and reply
FIFOs to reduce multiplex levels in the datapath and save on FIFO overhead.
The dual-banked MOQD is managed as a set of quarter cacheline (QCL) response buffers (one QCL = two clocks in each bank). Cacheline responses from the MD 275 consist of four QCL writes, and all partial responses (including F&OP) take up a full QCL. The MOQH contains single clock headers as well as header+single data packets. MOQH reply headers are decoded as they leave the MOQ to determine how many MOQD entries are associated with the header.
Since the MD 275 must reserve MOQ space before issuing a request to the memory, the MD 275 keeps a shadow copy of the fill levels of MOQD and MOQH. Flow control is achieved by a signal per queue that indicates that an entry (single header or QCL of data) has been drained. NOQ Structure
The NOQ contains the SNINet network virtual channel receive buffers. Each of the four virtual channel buffers is 16 entries deep, where each entry corresponds to a router network flit - a header or 128 bits of data. Each channel may contain any mix of headers and data. The NOQ buffers are structured as four independent FIFOs. NOQ Buffers
FIFOs were chosen over DAMQs for the NOQ to reduce control structure overhead. Performance critical messages tend to be read requests to the MD 275 and read responses to the PI 270, and since these message types will travel on separate virtual channels they will not interfere with each other. Writeback messages to the MD 275 will share the request channel with read messages to the MD 275, but this effect will be countered somewhat MD 275 request processing favoring reads and by virtual channel adaption in the network encouraging reads to take the emptier channels. Since each of the four virtual channels has an independent FIFO cable of XB arbitration, the effects of network virtual channel adaption are carried forward to the Bedrock XB 279.
The four logical FIFOs in the NOQ are implemented across a dual banked FIFO structure. This structure allows each FIFO to be 65 bits wide, and requires that headers be written and read out across both banks. T Q Structure The IOQ must synchronize traffic coming from the II 273 to the hub core clock domain. This is done with a standard double synchronizer applied to a gray code counter. When the POQ receives a message on the hub core side, it will arbitrate for the XB 279 once the entire message is received. This is done for block length messages to prevent the higher bandwidth XB 279 from underrunning the IOQ FIFO. The IOQ is divided into independent request and reply FIFOs, although they do share the same buffer memory FIFO. PIQ Structure
The PIQ receives data from the XB 279 and writes it into an FIFO to be read by the PI 270. There are 64 entries for each of the Request and Reply channels. In Synchronous mode, the data can be bypassed from the XB 279 to
PI 270. MIQ Structure
The MIQ is divided into four logical FIFOs, implemented in 3 FIFOs. Headers are buffered in a single bank, request/reply MIQH, and a dual banked request/reply MIQD holds associated data. The MIQD buffers are divided into 3 block request entries and 1 block reply entry, as request data to the MD 275 is more common than reply data.
MIQ always presents a single header to the MD 275. If only one virtual channel contains a valid header, the MIQ will present the valid header and indicate request or reply. If the MIQ has a valid header in both channels, it will always present the reply channel to the MD 275, as long as the MD 275 is not asserting its reply channel full signal. When the MD 275 is ready to consume a header, it asserts the HeaderRead signal, which frees that entry off the queue. TTQ Structure
The IIQ receives data from the XB 279 at the core data rate of 1.6 GB/s and writes it into the GRA in either the request or reply FIFO. After the write pointer is synchronized to the IO clock domain, it can be read by the II 273 at 0.8 GB/s. There is no bypass datapath in the II queues.
LIQ Structure
The LIQ provides a dedicated queue for PIO request from NI 274. PIO request is rare, but higher priority, to avoid the Request-Request PIO deadlock. While servicing LINVAL, LB needs to be able to service an incoming remote PIO request from NI 274. The only request NI 274 can make to LB is PIO request. Requests can bypass the FIFO, and Replies are written to the output register, and never enter the FIFO. The Reply register is free running since LB can process Reply every cycle with no delay. Queue Sizes & Types In one embodiment, the XB queue structures are implemented with IBM
CMOS 6s Low Power Register Arrays (FIFOs) (available from IBM Corp of Burlington VT), or with standard registers where the queue size does not justify the FIFO's gate overhead. Table 32 (shown in Figure 21 A) summarizes the size and type of each queue in the XB 279. Figure 8 details the breakdown of the XB functional units into logic modules for physical design. Memory/Directory Interface
The Bedrock (one embodiment of node controller 75) Memory/Directory Interface (MD) 275 controls access to all the memory in a Bedrock system. In addition to performing the standard memory controller operations, such as reading and writing memory, the Bedrock MD 275 keeps track of exactly which processors and which IO interfaces are currently using every cache line in the system. On every access, the Bedrock MD 275 checks this table (called the Directory) and generates the necessary messages in order to maintain cache coherence.
The Bedrock MD 275 provides enough bandwidth to fully satisfy any single class of requestors. In other words, all four processors or both directions of the SN1 net or both directions of the IO interface can access memory at full bandwidth. The Bedrock MD 275 also provides the arbitration structures necessary to fairly and efficiently allocate bandwidth when multiple requestors are accessing memory. The "Architecture" section contains an architectural level overview of the MD 275, covering the following topics:
• Memory Addressing
• Page Handling
• Interfaces
• Message Types
• Protection Model
• Page Counters
• Fetch- And-Op
• Backdoor ECC
• Backdoor Directory
• Junk Bus
• SDRAM Initialization
• DDR-SDRAM Initialization
• Refresh
• Built-in-Self-Test
• SN0 Compatibility
Subsequently, the major architectural blocks are described, of which there are three (illustrated in Figure 31):
• Issue Block (IB) 3110: Takes in all new messages and issues them in an efficient and fair order to the rest of the memory system. Issues address and control signals to the DRAM.
Memory Block (MB) 3118: Transfers data from the DRAM to the XB AR or from the XBAR to the DRAM.
• Directory Block (DB) 3116: Reads the directory data, creates any necessary message headers, computes the new directory data, and writes it back.
Then implementation information that crosses multiple architectural blocks is discussed:
• DDR Synchronization
• Timing Diagrams Architecture
This section first gives a detailed description of the overall memory system design. The following sub-sections then explain the higher level architectural features built on top of the memory system. Memory System
The Bedrock memory system includes a Bedrock chip, some clock buffers, a flash prom, a UART, four banks of LEDs, and two, four, six, or eight DIMMs (Dual Inline Memory Modules).
Each Bedrock DIMM consists of one or two 72-bit sub-banks of memory DRAM and one or two 16-bit sub-banks of directory DRAM. Figure 42 shows a diagram of the front of a Bedrock DIMM. In a two bank DIMM, the back of the DIMM would be the same as the front.
DIMMs can be populated (or not populated) in groups of two, resulting in a 128-bit wide memory system (plus 16 bits of ECC and 32-bits of directory). In order to accommodate different system uses, the Bedrock MD 275 supports many different sizes of DIMMs. Each set of two DIMMs must be of the same size.
The Bedrock MD 275 supports the following DIMM sizes: 32 MB, 64 MB, 128 MB, 256 MB, 512 MB, and 1 GB. Table 58 (see Figure 32A) shows information on each potential DIMM configuration (shaded rows are the most likely DIMMs the SN1 system will actually use).
The Bedrock MD 275 supports two different types of DIMMs: DDR- SDRAM-std, and DDR-SDRAM-prm. DDR-SDRAM are special versions of SDRAM that output data at twice the rate that they receive addresses. All production Bedrock systems will use DDR-SDRAM.
Standard (std) DIMMs contain one bank of directory DRAM for every two banks of memory DRAM. Premium (prm) DIMMs contain a bank of directory DRAM for every bank of memory DRAM. Systems containing up to 128 processors can use Standard DIMMs. Premium DIMMs will work in any system (up to the max of 1024 processors).
The address bus from the Bedrock chip to the DIMMs always runs at 100 MHz. The data bus between the Bedrock chip and the DIMMs runs at 200 MHz. The maximum bandwidths is therefore 3.2 GB/s. Directory Organization
The Bedrock MD 275 maintains system cache coherence by checking a directory memory on every coherent access of the memory system. Since each access changes the state, the Bedrock MD 275 must perform a read-modify- write of the directory memory in parallel with every read or write of the data memory.
In a system populated with DDR-SDRAM-std DIMMs, there is one bank of directory DRAM for every two banks of memory DRAM. As DIMMs are populated in groups of two, the Bedrock MD 275 can share the two banks of directory DRAM (one on each DIMM) between the two banks of memory
DRAM (spread across the two DIMMs). This results in an effectively 16-bit wide datapath that could enter the Bedrock MD 275 on either side of the 32-bit directory datapath. As the standard directory entry is 32-bits, it is read and written over two cycles. Figure 43 shows a schematic illustration of a standard directory system (with two banks of memory DRAM and one bank of directory
DRAM per DIMM).
In a system populated with DDR-SDRAM-prm DIMMs, there is one bank of directory DRAM for every bank of memory DRAM. In this case, the directory banks are spread across the two DIMMs in the same manner as the data, allowing the full 32-bit directory datapath to be utilized. As the premium directory entry is 64-bits, it is also read and written over two cycles. Figure 44 shows a schematic illustration of a single premium DIMM in a premium directory system (with two banks of memory DRAM and two banks of directory DRAM). Memory Addressing
A maximum memory configuration in M-Mode of eight 1 GB DIMMs results in an address space of 8 GB. A maximum configuration in N-mode of four 1 GB DIMMs results in an address space of 4 GB. The Bedrock MD 275 allocates two blocks of 1 GB for each set of two DIMMs. The DIMMs with two physical banks use both blocks, while one bank DIMMs just use a single block.
If a set of DIMMs does not completely fill the 2 GB space, the OS is expected to allocate around the resulting gaps in memory. The minimum memory configuration would be two 32 MB DIMMS or 64 MB. All of the DDR-SDRAM supported by the Bedrock MD 275 contain four internal (logical) banks. The Bedrock MD 275 interleaves across these four logical banks using the lowest possible address bits (right above the cache line size of 128 bytes). Table 59 (see FIG. 34A) shows how each address bit is used. The Bedrock MD 275 drives all the pins shown even if the smaller DIMMs might not receive or interpret every bit. Memory Directory Addressing
On every coherent transaction, the Bedrock MD 275 performs a read- modify- write of the directory entry. In addition, it might perform a read of the protection entry and/or a read-modify- write of the page counter entry. The directory entry, protection entry, and page counter entry are all stored in the directory. The DIMM, Physical, Logical, and RAS addresses shown in Table 59 are the same for both memory and directory addresses. However, the directory CAS addresses are different for each type of entry. In the C ASDir, CASProt, and CASCount fields, the constants are defined as follows: D=0, P=l, C=0, x=0, and Rgn refers to bits 4:0 of the protection region. Page Handling
The SDRAM and DDR-SDRAM used in Bedrock, like all DRAM, are accessed using a row address and a column address. Multiple column addresses can be read sequentially without any extra delay. In order to change rows (also called pages), the DRAM must close the old row and only then open the new row. For this reason, an access to a new row is much more costly than an access to a new column.
In spite of this fact, the Bedrock MD 275 always closes the row (page) immediately after a transaction has finished (regardless of the address of subsequent transactions). This decision was made for a couple of reasons:
• By doing 4-way interleaving on the cache-line address and supporting full pipelining of four requests, the Bedrock MD 275 can still achieve full bandwidth. • When multiple interfaces (and therefore multiple streams) are accessing memory (the only time when the memory interface can reach full bandwidth), keeping pages open loses much of its effectiveness.
• When a single stream is accessing memory, the excess bandwidth and the reordering capability of the arbitration logic can mitigate most, if not all, of the performance difference relative to keeping the page open. Transaction Grouping
A full cache line read can move across the 128-bit wide memory interface in eight 5 ns cycles. Unfortunately, it takes twelve 5 ns cycles to perform the directory read-modify-write necessary to maintain cache coherence. For this reason, the Bedrock MD 275 groups all transactions (whenever possible) into pairs. In this way, two reads, two modifies, and two writes can take place underneath two data reads or writes without losing any bandwidth. The Bedrock MD 275 can group any two coherent or full cache-line transactions. All partial transactions must execute by themselves. Interfaces
The Bedrock MD 275 connects to the rest of the Bedrock system through the Bedrock crossbar. A single 1.6 GB/s link handles data and headers entering the MD 275 from the crossbar. Three 1.6 GB/s links handle data and headers entering the crossbar from the MD 275.
In order to better utilize the memory system, the Bedrock MD 275 treats requests from PIO, requests from PIl, requests from the NI or II, and replies as four separate virtual channels. By doing this, the MD 275 prevents bottlenecks where one stream would have to wait for a competing stream to drain before continuing. When messages arrive in the MD 275 (into the IB block), they are written into separate input queues based on their virtual channel. When messages leave the MD 275 (into the MOQ 3113; see Figure 31), they are written into separate output queues based on their virtual channel (replies to PIO, replies to PIl, replies to NI or II, and requests). The arbitration engine in the IB block assures that the MD 275 fairly allocates bandwidth between the channels. Message Types
At a high level, all the Bedrock MD 275 does is receive messages from the crossbar and generate responses back into the crossbar. For reference, Table 60 (see FIG. 34B) and Table 61 (see FIG. 34C) show all the messages received and generated by the Bedrock MD 275. The directory table (described in the SN1 Cache Coherence Protocol Specification) determines exactly which set of outgoing messages are generated in response to a particular incoming message. Protection Model
A Bedrock system can scale up to 1024 processors. It would be almost impossible to run a single shared-memory operating system on a system that large. In order to make such systems usable, the Bedrock system must include support for multiple operating systems running on the same shared-memory base. In general, each operating system controls its own environment and can reset or reboot independently from the rest of the system. In order to prevent a single such operating system from taking down the entire system, the Bedrock MD 275 prevents certain processors or IO widgets from accessing certain memory regions. The information used to prevent access is stored in a special protection area of the directory memory and read, along with the directory, on every access.
In order to limit the amount of information needed, the Bedrock system stores protection information based on the region of the requesting processor or IO widget. There are never more than 64 regions in a system. For smaller systems (less than or equal to 64 Bedrock chips), one Bedrock chip equals a region. For systems between 65 and 128 Bedrock chips, two Bedrock chips equals a region. For large systems (up to 256 Bedrock chips or 1024 processors), four Bedrock chips equals a region. For the most part, all processors and IO widgets inside the same operating system (also called cell) will have the same protection. For this reason, the Bedrock MD 275 uses a 64-bit local register called CurrentCell to specify which regions are inside the same operating system as the Bedrock chip. For requests from these "local" regions, the Bedrock MD 275 checks a single bit inside the directory entry. For all other requests, the Bedrock MD 275 reads a separate part of the directory memory that contains four bits for each region in the system (one for Processor Reads, Upgrades, and Write Invalidates, one for Processor WriteBacks and Replies, one for IO Reads and Write Invalidates, and one for IO Writebacks and Replies). Processor and IO protection are separated in order to allow cross-cell DMA without lowering the firewalls. Reads,
Upgrades, and Write Invalids are separated from Writebacks and Replies in order to allow a two stage cell removal process (first disallow reads, then pull the cache lines to the home node, and then finally disallow writes and replies). For details on how to access the protection entries, please refer to the SN1 Programming Manual.
If a READ, RDSH, RDEX, REXU, or UPGRD request hits a line for which it does not have access, the Bedrock MD 275 returns an AERR (Access Error) instead of a normal response. If a WINV request hits a line for which it does not have access, it does not write the memory, and returns a WERR (Write Error). If a WB request or a SHWB, SXWB, or PGWB reply hits a line for which it does not have access, the Bedrock MD 275 acts differently if the requestor is inside or outside the CurrentCell. For requests or replies from inside the cell, the data is written to memory regardless of the protection value. If the firewall has been breached, the MD 275 returns a WERR message (in the case of a WB), stores error information in the MISC_ERROR register, and sends an interrupt to both local Pis. For requests and replies from outside the cell, the Bedrock MD 275 treats the WB, SHWB, SXWB, or PGWB the same as a WINV and does not write the memory unless the protection is valid.
Non-coherent read requests (RSHU and PRDU), do not check protection and can therefore be used to create safe communication between cells. PWRU requests check protection and are treated the same as a WINV. PRDM and PWRM requests both check protection when they miss in the fetch-and-op cache. They both use a separate 64-bit protection field that is cached along with the fetch-and-op variable for cache hits. PRDH requests do not check protection. PWRH requests use the CurrentCell register as their protection register (preventing any processor outside the cell from writing to HSPEC space). The UAlias portion of HSPEC space (a non-coherent read section that is placed in HSPEC for internal R10000 reasons) is treated here as part of USPEC spec. For more information on USPEC, MSPEC, and HSPEC space, please refer to the SN1 Programming Manual. Page Counters
In a NUMA (non-uniform memory architecture) machine such as Bedrock, program performance will change based on the placement of important data structures. In general, data wants to be close to the processors that access it. However, ensuring that the data is always placed correctly is a non-trivial problem and can not always be guaranteed. The Bedrock chip supports page migration in order to improve the situation when the operating system and application do not place data correctly.
Every operating system page (16 kB) contains four sets of two counters. Each set of counters refers to an interleave of the memory. Therefore, set 0 refers to cache lines 0, 4, 8, 12, etc, set 1 refers to cache lines 1, 5, 9, 13, etc, set two refers to cache lines 2, 6, 10, 14, etc, and set 3 refers to cache lines 3, 7, 11, 15, etc. While this is not an intuitive mapping of counters to addresses, it is necessitated by the decision to interleave on cache line boundaries.
The two counters that make up each set are a local counter and a remote counter. Local and remote are determined by a local register inside the Bedrock
MD 275. This register (called the MigNodeMask) contains a 9-bit chipid mask (supporting up to 512 Bedrock chips). For any given access, if the source chipid ANDed with the mask is the same as the MD 275 chipid ANDed with the mask, then the access is considered to be local. Otherwise, the access is considered to be remote.
The counters themselves are stored in the directory memory along with the directory entries and the protection information (for a complete map of the directory memory, refer to the SN1 Programming Manual). In order to prevent the directory access from impacting memory bandwidth, the Bedrock MD 275 only increments and checks these page counters on every nth access. The value of n can be set in the MiglntervalCount local register.
On an access that results in a counter check, both counters are read into the Bedrock MD 275. If the access was local (as determined by the mask), then the local counter is incremented. If the access was remote, then the remote counter is incremented. After the increment, the incremented counter is compared against a threshold (set in the MigValueThresh register) and the difference between the two counters is compared against a threshold (set in the MigDiffThresh register). Two control bits (Valuelnterrupt and Difflnterrupt) are read from the directory along with the counter. If the Valuelnterrupt bit is set, then the MD 275 will send out an interrupt if the MigValueThresh was exceeded.
If the Difflnterrupt bit is set, then the MD 275 will send out an interrupt if the MigDiffThresh register is set. See the SN1 Programming Manual for a full description of MD interrupts. Even if the corresponding interrupt bit is not set, exceeding either counter will cause the address and source of the access to be stored in the MigCandidate register. If either counter saturates on the increment, both counters will be shifted right by one. Fetch-and-Op In a shared memory system, both the operating system and user programs spend a large amount of time acquiring and releasing locks. Unfortunately, most simple synchronization algorithms do not scale well past a couple of processors. In order to get around this problem, the Bedrock MD 275 offers a special noncoherent synchronization mechanism that scales very well to large numbers of processors.
The Bedrock MD 275 maintains a cache (two 64-bit entries or four 32-bit entries) of recently accessed synchronization variables. Any uncached read or write to MSPEC space (PRDM or PWRM) first checks to see if it hits in the cache. If it does, the operation (discussed below) is immediately performed and the data or an acknowledgment is returned. If the read or write does not hit in the cache, then the oldest cache entry is written back, the new data is read in, the operation is performed, and the data or an acknowledgment is returned.
Operations are performed on the cache by setting bits five to three of the address to specific values. Since these address bits are no longer used to address memory, there can be at most two 64-bit (or four 32-bit) fetch-and-op variables per cache line. On a PRDM, the following operations can be performed: a straight fetch of the cache value, a fetch followed by an increment, a fetch followed by a decrement, a fetch followed by a clear, and a cache flush. On a PWRM, the following operations can be performed: a straight write of the cache value, a simple increment (ignoring the value sent with the PWRM), a simple decrement (ignoring the value sent with the PWRM), an AND of the cache value with the PWRM value, an OR of the cache value with the PWRM value, and a cache flush. For the exact encoding, please see the SN/ Programming Manual. As mentioned above, the cache can be used by two 64-bit entries or four 32-bit entries (or by one 64-bit entry and two 32-bit entries). However, each pair of 32-bit entries must be in the same 64-bit doubleword. A 64-bit protection word is also cached along with each 64-bit entry (or each pair of 32-bit entries). Backdoor C
The Bedrock MD 275 supports a backdoor path to read and write the ECC memory. Two address spaces inside the HSPEC space support backdoor ECC access. For write access (PWRH), both spaces perform a read-modify- write of the data in order to write the ECC memory. For read access (PRDH), the BDECC- NORMAL space simply returns the ECC value, while the BDECC-SCRUB space reads the data and ECC, returns the ECC value, corrects the data and ECC, and re-writes the corrected data and ECC. For a full description of the interface, please refer to the SN1 Programming Manual. Backdoor Directory
While the directory memory is read and written on every coherent transaction, the data is only used inside the Bedrock MD 275. In order for the operating system to access the information stored in the directory (and to initialize the directory during boot-up), a separate backdoor path provides transparent access to the directory memory. Two address spaces inside the
HSPEC space are used for backdoor directory operation. A read (PRDH) to either space returns the entire 32-bit (standard) or 64-bit (premium) directory word. A write (PWRH) to the BDDIR- ACCESS space causes the single protection bit inside the directory entry to be written. A write (PWRH) to the BDDIR-FULL space causes the entire directory word to be written.
The 32-bit or 64-bit directory word contains a 6-bit or 7-bit ECC field. Without special assistance, the operating system would need to pre-compute the ECC value whenever it needed to do a backdoor ECC write. In order to avoid this extra complexity, the Bedrock MD 275, by default, fills in the ECC fields with the correct value (regardless of the value sent with the write command). If the IgnoreECC bit is set in the DirectoryConfig register, then the Bedrock MD 275 will pass on the ECC bits without modification.
For complete information on the BDDIR address space and on the address mappings necessary to access a specific directory, protection, or page counter entry, please refer to the SN1 Programming Manual.
Flash PROM
The Bedrock MD 275 supports a 16 MB flash prom (two 8 MB parts) for boot code and system configuration/error information. The prom is accessed through the LBOOT and RBOOT spaces within the HSPEC spec. Since the processors must access the prom before the Bedrock chip has a chip-id, the processor interface catches accesses to LBOOT space on node 0 and directs them to the local MD 275. RBOOT space can be used by any processor to access the prom of any node once the chip-ids have been initialized.
For prom reads, there is a one-to-one mapping of HSPEC address to prom address (within the given space). The Bedrock MD 275 supports all read sizes (doubleword, word, half-word, and byte). For prom writes, there is an eight-to-one mapping of HSPEC address to prom address (within the given space). In other words, HSPEC address 0 corresponds to prom address 0 while
HSPEC address 8 corresponds to prom address 1. The Bedrock MD 275 only supports byte writes of the flash prom. Junk Bus
The Bedrock MD 275 supports a separate interface to components that have no relation to the core MD 275 functionality. The flash prom, four bands of LEDs, a UART, and two Synergies (this interface is used to read remote Synergy registers). Access to the Junk Bus is through the LREG and RREG address spaces within HSPEC space. The Bedrock MD 275 only supports 16-bit reads and writes of all Junk Bus devices except for the flash prom and Synergies, which support 64-bit reads 16-bit writes.
SDRAM and DDR-SDRAM Initialization
All SDRAM and DDR-SDRAM contain mode registers that need to be initialized during system boot. These mode registers are accessed through the same LREG and RREG address spaces that are used to access the Junk Bus. Refresh
The SDRAM and DDR-SDRAM supported by the Bedrock MD 275 require a refresh command approximately once every 16 microseconds. In order to reduce the refresh power surge, the Bedrock MD 275 refreshes one bank of the SDRAM or DDR-SDRAM on each DIMM approximately every eight microseconds. The Bedrock MD 275 uses the auto-refresh feature of the
SDRAM and DDR-SDRAM in order to refresh all four internal banks simultaneously. The refresh will occur during the first idle cycle inside the four microsecond window. If there are no idle cycles, the refresh will timeout and force its way through. The exact refresh interval is configurable by the RefreshControl register. If all DIMMs in the system only have one or two banks, the MD 275 will only perform refresh every eight or 16 microseconds. Memory Testing The Bedrock MD 275 supports a Build-In-Self-Test (BIST) feature that can test all of memory (data, ECC, and directory) much faster than it could otherwise be accessed. The goal of this feature is to keep as much control as possible in the hands of software, while keeping the speed advantages of hardware testing. First, the software must do the mode register write to initialize the memory configuration, turn on refresh and determine the size of each DIMM
(i.e., by four or by eight parts - this is read from the system controller). This memory sizing operation is equivalent to an address decode test of memory. For this reason, the BIST function contains no support for address testing.
After the memory sizing has taken place and the software has configured the MemoryConfig register with the correct DIMM sizes, the actual BIST operation can begin. The software first sets up a couple of configuration registers. The 4-bit data pattern goes into the BISTDataPattern register. This pattern will replicated across all 144-bits of data memory and all 32-bits of directory data. Pattern sequencing information (always same pattern or invert alternate cycles) also goes into the BISTDataPattern register. The bank under test goes into the BISTControl register. Finally, a writing of the start bit in the BISTControl register starts off the BIST operation.
During the BIST, the Bedrock MD 275 initializes all banks under test to the desired pattern and then tests all banks under test. On the first error, the data pattern is stored in the BISTDataError registers (one for directory data, one for memory data, and one for the address) and the BIST operation is halted. Status reports can be read from the BISTStatus register. Once the BIST operation has completed, the Bedrock MD 275 will update a bit in the BISTStatus register. If BIST is restarted after an error, it will continue where it left off. The flash prom and Junk bus cannot be accessed during BIST operation.
Since the local registers are not accessed through the MD 275 (they are read through a special datapath from the LB block), they can proceed normally during BIST operation. Soft-reset
In order to maintain the state of the node's memory through soft-reset, the MD 275 gates the internal reset of some of its functionality based on whether it is going through a soft or hard reset. During a soft-reset, the unit is initialized like a power-on reset except for the following exceptions:
The contents of the MEMORY_CONFIG and DIR_CONFIG registers are maintained. This ensures that settings that pertain to the size and width of the SDRAMs, synchronizer settings and directory flavor are preserved. • Soon after the reset signal goes away, the MD 275 issues a precharge to all banks of the SDRAMs in order to bring them to a known state (in case the reset signal came in the middle of an SDRAM access).
The contents of the REFRESH CONTROL register are maintained. The refresh counter restarts its count at zero after the soft-reset and if refresh was enabled before the soft-reset, the MD 275 will resume issuing refreshes according to the threshold that was programmed. Issue Block
All messages from the XB AR enter the MD 275 through the IB (Issue Block). The IB decodes the messages, reorders them for fairness and efficiency, issues the necessary addresses and commands to the DRAM, and synchronizes the DB and MB blocks with the incoming or outgoing data.
This section is broken up into three subsections: architecture, arbitration, and design. The overall structure of the Issue Block is discussed in the architecture section, while the arbitration algorithm (for all message types) is discussed in the arbitration section.
Architecture
When a message arrives in the MD 275 from the XB, the header is written into the 12-entry Header Queue (located in the IB block) and the corresponding data, if any, is written into the eight cache-line Data Queue (located in the MB block). In parallel with the Header and Data Queue writes, the incoming message is decoded and stored in the Pending Queue and Write Table. The Pending Queue maintains one entry for each entry in the Header Queue and the Write Table maintains one entry for each of the cache lines in the Data Queue (the Data Queue actually contains a ninth cache line for used internally by the MB that does not have an entry in the Write Table).
The XB AR divides incoming messages into one of four virtual channel based on source and type. Requests from PIO arrive on one channel, while requests from PIl, requests from the NI or II, and replies arrive on the other three channels. Each of the four input queues (Header, Data, Pending, and Write) allocates a quarter of its space to each virtual channel. The XB and IB both treat the four virtual channels as completely independent and support arbitrary interleaving. In parallel with the Header Queue write, the IB compares the incoming message against all open banks (up to 4) and decodes the command (Read/Write, Full/ Partial). The output of these operations are stored in the Pending Queue and used during arbitration. For incoming messages with data, the IB writes the Write Table once the tail bit has been received. Once the decoded information for a message is in the Pending Queue and the Write Table, the arbitration logic can select it and issue it to the rest of the MD 275. A message is issued by reading its header out of the Header Queue and writing the relevant information into the three queues at the head of each datapath (address, directory, and memory). Once the message is in these three queues, it then can be issued to the DRAM and completed.
Arbitration
The arbitration section of the Issue Block takes the 12 entries in the Pending Queue and selects the "best" one to issue. Here, "best" is defined in such a way that there is a fair distribution between the three input request queues, writes and replies get enough bandwidth, all messages issue in a reasonable amount of time, and all DRAM constraints are observed.
In order to simplify things, the arbitration process is broken up into two stages: a local arbitration stage based on the individual message and a global arbitration stage based on the queue. Very few dependencies exist between the two stages, allowing them to run in parallel in the same cycle.
Local Request Arbitration
Each of the four virtual channels (requests from PIO, requests from PIl, requests from NI or II, all replies) performs its own independent local arbitration. In parallel, the global arbitration is selecting which of the four channels will actually win the full arbitration.
For the three request queues, the arbitration logic must first figure out which of the (up to three) requests in the queue are ready to execute. For a cached read, partial read, or partial write to be considered ready, it must meet the following requirements:
• If the message is the oldest cached read, partial read, or partial write in the channel and a write timeout (described below) is not pending, then there must be space for at least three headers in the correct output header queue and space for a cache line of data in the correct output data queue.
If the message is a cached read, partial read, or partial write or a write timeout is pending, then there must be space for at least six headers in the correct output header queue and specs for two cache lines of data in the correct output data queue. If the message is a cache line write, then there must be space for at least six headers in the correct output header queue.
• The message must not conflict with any of the open banks.
• For partial reads and partial writes, the address logic must be able to accept partials. For cached reads and cached writes, the address logic must be able to accept non-partials. • For cached writes and partial writes, the entire data packet must be present in the data queue.
• For cached writes, there must be no ERESPs waiting to issue. (ERESPs are messages that are issued as writes and then need to be reissued as reads. Between the issue and the reissue, no new writes are allowed to win arbitration.)
• For partial reads and partial writes, the message must be oldest partial in the channel (partials must issue in order).
• For cached writes, the message must be the oldest cached write in the channel (cached writes issue in order). Once the ready information is available for each of the (up to) three messages, the arbitration logic selects the message with the highest priority. Priorities are determined as follows: 1. Any cached write (if write timeout is asserted). 2. Oldest cached read, partial read, or partial write.
3. Any cached read, partial read, or partial write.
4. Any cached write. Local Reply Arbitration The reply channel is handled slightly differently than the three request channels. A reply is considered ready if the following criteria are satisfied:
• The message must not conflict with any of the open banks.
• The address logic must be able to accept non-partials.
• The message is the oldest reply in the queue (replies issue in order). • For write replies, the entire data packet must be present in the data queue.
There are no priorities for the reply channel as the oldest message is always selected (if it is ready). Global Arbitration
In order to allocate bandwidth fairly between the three request queues, the global arbitration logic maintains three LRU tables. The first LRU (Oldest) keeps track of the order in which the queues last issued a message marked as the oldest pending cached read, partial read, or partial write. The second LRU (Any) keeps track of the order in which the queues last issues any cached read, partial read, or partial write message. The third LRU (Write) keeps track of the order in which the queues last issued a cached write message.
The global arbitration selects the queues using the following priority scheme:
1. Ready reply with Reply Timeout
2. Ready cached write with Write Timeout. Selected using the Write LRU. 3. Ready cached read, partial read, or partial write marked as oldest.
Selected using the Oldest LRU.
4. Ready cached read, partial read, or partial write. Selected using the Any LRU.
5. Ready cached write. Selected using the Write LRU. 6. Ready reply.
The Write LRU is updated whenever a cached write message issues. The Oldest LRU is updated whenever a message marked as the oldest cached read, partial read, or partial write issues. The Any LRU is updated whenever a cached read, partial read, or partial write issues. Write Timeout
The write timeout logic makes sure that writes will not sit in the data queue forever. In addition, once the data queue for a channel is full, the write timeout logic forces the writes to issue, freeing up space for the next write message.
A write timeout is started after one of two conditions is met:
• There are two cache lines of data in the data queue of any channel.
• A user-setable number of read messages have issued since the last write message issued.
If one of the above conditions is true and there is not a reply timeout in progress, a write timeout will be asserted only on the virtual channel, containing a write message, that has the highest priority in the Write LRU. Once a write issues out of that channel, with the timeout asserted, then the timeout is broadcast to all three request channels. The timeout continues until something besides a cached write issues or four cached writes issue, whichever comes first. After the timeout has completed, at least four messages, of any type, must issue before the timeout can be reasserted. Reply Timeout The reply timeout logic makes sure that replies eventually issue. A reply timeout is asserted if more than a user-setable number of requests have issued since the last reply was issued, and there is no write timeout in action. Junk Bus and PROM
The Bedrock MD 275 talks to non-DRAM external devices using a separate proprietary bus. The MD 275 recognizes four different types of devices on this bus: an EPROM, a UART, LEDs, and one or more "Junk" buses. Each type of device has its own address space within the LREG or RREG portion of HSPEC space (see Table 42).
The bus connects to the Bedrock MD 275 using 16 address/data pins, four control pins, and an Interrupt line. Figure 36 shows a diagram of the interface. Memory Block
Figure 37 shows a block diagram of the Memory Block datapath. This datapath transfers data from the pins to the output data queue (MOQD 3114), and from the input data queue (MIQD) to the pins. Inside the datapath are a couple of special subb locks that allow read-modify- write operations of cache lines, and that implement Fetch&Op operations. The Merge Cache supports read-modify write operations on cache lines.
The Bedrock MD allows uncached write operations on a byte boundary. However, in order to save SDRAM cost, protection information (ECC) is only stored on 64-bit quantities. Therefore, in order to perform an uncached write, the MD must perform a read-modify-write operation. First, the Memory Block reads an entire cacheline, storing the needed 128-bits in the Merge Cache. The
Memory Block then reads the store information out of the MIQD and overwrites the selected bytes with new data. Now that the correct data is available, it is sent through ECC generation and written back to memory.
The Fetch-and Op-block implements a two entry cache in order to improve synchronization operations.
Basic Operation Normal Read
A normal read proceeds by taking in data from the pins, detecting and correcting ECC errors (if we are not ignoring ECC errors) and then passing this data on to the MOQ. ValidData and DataTail signals are sent to the MOQ 3113 to indicate that valid data is present. Normal Write
The Odd and Even MIQD queues each contain seventy-two 65-bit entries, each entry being a 64-bit word, and a UCE bit associated with that word. The seventy-two entries are enough for two complete cache lines of data from each of the input queues (PO, PI, and Misc), and one extra cache line that is used only by the MB to do read-modify-writes of individual cache lines. The MIQD is written one 64-bit word at a time by the XB. When told to do a normal write, the MB reads MIQD Odd and MIQD Even in parallel, latches this data, and on the next cycle generates the ECC bits, and sends the data to the pins. Note that during a normal write ECC bits are generated regardless of whether the IgnoreECC bit is set or not.
Figure 37 shows one embodiment of a Memory Block Datapath. Partial Read
A partial read proceeds by reading an entire cache line from memory, but only sending the 128-bits that contain the requested data to the MOQ. DataValid and DataTail are set when the appropriate 128-bit quantity is available. Partial Write
Partial write is a 2-phase operation. In the first phase the appropriate cache line is read into the MIQD, and the 128-bit quantity that requires modification is read into the Merge Cache. During the second phase of the partial write, the cache line is written back to memory. The partial write data is taken from the read into the Memory Cache. During the second phase of the partial write, the cache line is written back to memory. The partial write data is taken from the MIQD and merged with the data in the Merge Cache based on ByteEnable signals. All the other 128-bit pieces of the cache line are written back to memory unchanged from the MIQD. Normally ECC is regenerated on the writeback. However, in the case that we are ignoring ECC, the old ECC that the line had previously will be written back instead. Fetch-and-Op Write Miss
A Flush operation is performed to write out the data currently in the cache, followed by a Fill operation, followed by a Write operation. Fetch-and-Op Protection
Fetch-and-Op protection has two parts. The first part is the normal directory protection check that occurs over in the Directory Block, and occurs during a Fill operation. If the DB detects an access error, then the protection word in the Fetch-and-Op cache is cleared, and no Fetch-and-Op operations will be allowed. The DB also notifies the IB that an access error occurred on a Fetch- and-Op fill.
The second part of the protection check occurs during a Read Hit or Write Hit operation. The IB has sent the Protection Region bits that address one of the 64 bits in the Fetch-and-Op protection register. If this is set then the operation is allowed to proceed, otherwise the Fetch-and-Op cache remains unchanged. Fetch-and-Op Operation
The Bedrock MD implements the same Fetch-and-Op commands as the SNO MD. A small fetch-and-op cache (2 entries) allows a low occupancy (~20ns) transaction which significantly speeds up program synchronization.
Figure 38 shows a block diagram of the Fetch-and-Op Cache datapath. There are two entries in the cache. Each entry consists of a 64-bit protection word, and 64-bit data word, which can be considered as a single 64-bit word, or as 2 32-bit words, shown as F&Op-Hi, and F&Op-Lo in the diagram. The four key operations performed by the Fetch-and-Op Cache are: fill, read, write, and flush. A short summary of each operation is described below:
Fill - On a fill operation, data is loaded from memory directly into the Fetch-and-Op Cache. No arithmetic or logical operations are performed.
Read - On a read operation, data is taken from the Cache, sent to the MOQD, and the cache entry is cleared, incremented, decremented, or left unmodified. No logical operations are performed.
Write - On a store operation, data is taken from the MIQD, optionally ANDed or ORed with the value in the Cache, and then stored in the Cache. It can also ignore the incoming data from the MIQD, and simply increment or decrement the value in the Cache.
Flush - On a flush operation, data is taken from the Cache and returned to memory. This is a two phase operation similar to a partial write operation: the entire cache line is read from memory and stored in the MIQD, then the cache line is written back, with the first two words taken from the Fetch-and-Op Cache rather than the MIQD.
We can do a read or write to the Fetch-and-Op Cache, and for each case there are three possibilities: a hit in the cache (Hit case), a miss in the cache and the cache entry is unused (Load case), and a miss in the cache with the cache entry currently in use (Miss case). Each of these cases is handled by some combination of Fill, Read, Write, and Flush operations.
Fetch-and-Op Read Hit: A Read operation is performed.
Fetch-and-Op Write Hit: A Write operation is performed. Fetch-and-Op Read Load: A Fill operation is performed to load the correct data into the cache, followed by a Read operation.
Fetch-and-Op Write Load: A Fill operation is performed to load the coπect data into the cache, followed by a Write operation. Fetch-and-Op Read Miss: A Flush operation is performed to write out the data currently in the cache, followed by a Fill operation, followed by a Read operation.
Backdoor Data ECC Operation Backdoor F C Read
A backdoor ECC read proceeds by collecting the ECC bits from either the top 8 double words in a cache line, or the bottom 8 double words in a cache line. The IB always brings in the critical part of the cache line first, so the MB collects the ECC bits from the first 4 cycles, 16 ECC bits per cycle. These ECC bits are then sent as a single 64-bit word of the MOQ.
If IgnoreECC is not set, then ECC errors in the cache line will be detected and corrected (single bit error case) during backdoor ECC reads. Backdoor ECC Write
A backdoor ECC write proceeds in a fashion similar to a partial write. A backdoor ECC write writes the ECC bits of 2 aligned 64-bit words at one time.
We first read in a complete cache line from memory, and write it into the MIQD. Note that the IB does critical half cache line re-ordering in this case, so the words for which we want to write the ECC will always be in the first 4 cycles. The MB looks at the byte enables to determine which 2 words will have their ECC bits written (only two of the byte enable bits should be set). The merge cache is written with the 128 bits for which we wish to do a backdoor ECC write. The cache line is then written back to memory, and on the appropriate cycle the backdoor ECC bits are read from the MIQD at the same time as the Merge Cache data, and the full 144-bits are written to memory. Note that the ECC bits are the 16 bottom bits of the word read from the MIQD.
Backdoor ECC writes are primarily intended for use with IgnoreECC set. If IgnoreECC is not set, then ECC errors will be detected and corrected on the read of the cache line. Also, ECC bits will be regenerated for all words that we are not writing directly with backdoor ECC write. ECC Scrub Operation
The ECC scrub operation is used to correct single bit ECC errors in memory. It is a 2-phase operation which involves reading in a cache line and writing the corrected cache line into the MIQD. Then writing back the data to memory while regenerating the correct ECC. Note that since the purpose of the Scrub operation is to correct known errors, single bit ECC errors on the read do not generate an interrupt, and do not affect the error registers, even if IgnoreECC is not set. However, double bit errors will still be detected when IgnoreECC is not set.
Directory Block
The directory block (DB) is responsible for implementing the SN7 cache coherence protocol. While the inbound-message block (IB) decides which incoming transactions to handle and issues all the addresses to the SDRAMs, and the memory block (MB) handles the data to and from memory, the DB maintains coherence information in the directory and generates all headers for outgoing messages.
The primary functions of the DB are:
• Store up to 6 pending requests for the DB pipeline. • Determine output message headers and sometimes output message data, based on the incoming message, the protection permission for the source of the incoming message, and the current directory state.
• Maintain directory state. ECC is generated and checked. Two different directory entry sizes are supported (32/64 bits). • Update page migration counters. Generate interrupts if the count (or difference between the counts) exceeds a user defined threshold.
• Log errors (directory state memory errors and incoming message protocol errors).
• Allow direct reads/writes of the directory memory. These are supported through uncached HSPEC space as well as a special mode in which cached reads return a doubleword of data.
• Allow the local registers to be read and written (The IB handles the interface to the local block).
Network Interface Unit 274
The network interface unit (NI) 274 acts as a bridge between the Bedrock crossbar 279 and the Bedrock's SNINet port 73. The NI 274 handles all aspects of the SNINet connection, and can be attached to an SNl router 76, or directly to a peer node controller 75's NI 274.
Since the SNINet message format differs from the Bedrock crossbar's message format, the NI translates all messages flowing between the two interfaces. The NI performs these translations at full bandwidth, with a single cycle of latency in each direction. All Bedrock side message buffering takes place within the crossbar, with the NI mediating the flow control information between the crossbar and the remote SNINet agent.
Throughout this document, the terms "send" and "outgoing" refer to messages being sent from the Bedrock to SNINet, while "receive" and "incoming" refer to messages being received at the Bedrock from SNINet.
Figure 39 is a block diagram of the main address and data paths of the NI 274. SNI Net Interface
Figure 41 shows a block diagram of the connections between node controller 75 and router 76 of one embodiment. At the physical layer, the NI connects to SNINet via two unidirectional, source synchronous 20-bit data ports operating with STI signal technology (refer to Figure 41). All the signals are differential, resulting in 44 signal pins per port. The physical layer circuitry consists of a source synchronous driver (SSD) and source synchronous receiver (SSR) that implement the conversion between 800MHz serial data on the pins and 200MHz parallel data used by the chip core.
The Link Level Protocol (LLP version 3.0) resides above the physical layer to provide reliable, in-order delivery of 128-bit data atoms known as micropackets. See the Link Level Protocol specification for details on the operation of this protocol layer. The SSD, SSR, and LLP send and receive modules implement the same protocol as those used on the SNl router 76. Most aspects of the SSD/SSR interface are handled within the LLP. An exception is control of the SSR's data sampling window which is done via the d_avail_select port. The sample setting is set in NI_PORT_PARMS register with the D_AVAIL_SEL bits. SNINet virtual channel tagging, message framing, and credit-based flow control are layered above the LLP, allowing SNl coherence protocol messages to be composed from micropacket sequences. This information is passed on from the LLP as sideband data (Refer to Figure 40, which shows message sideband encoding). Crossbar Interface
Both directions of the NI's crossbar interface are simplified by the exact bandwidth match between internal Bedrock and SNINet transfers. All single- cycle Bedrock headers are followed by a dead-cycle on the crossbar, thus consuming equal bandwidth to the two-cycle SNINet headers. All quadword data micropackets consume two data cycles on the crossbar, and doubleword data micropackets are followed by a dead-cycle on the crossbar, again matching the SNINet bandwidth. All messages received from SNINet are translated at full bandwidth and forwarded to the crossbar's NOQ section where they may be buffered or sent directly to the crossbar switch. The NOQ fill level is communicated via the NI to the remote SNINet peer using the sideband virtual channel flow control protocol. SNINet to Bedrock Internal Message Conversion
This section describes how SNINet messages arriving at the NI port are converted to Bedrock internal message format.
NOTE: When receiving router network micropackets, Bedrock considers any micropacket that follows a micropacket-with-its-Tail-bit-set to be a router network header. After reset, the first micropacket received is assumed to be a
Header.
Bedrock to SNINet header conversion is message-type independent. In brief, Bedrock Source[10:0] = SNINet Source • Bedrock Supplementation] = SNINet Supplemental
£ec?roc£ Command[6:0] = SNINet Command[30:24] SNINet Addr[2:0] = 0, as all addresses are byte aligned SNINet Addr[34:33] = 0, reserved for future node memory expansion SNlNetAddr[42:35] is the node number, from BedrockAddress[40:33] Bedrock Internal to SNINet Message Conversion
This section describes how Bedrock internal messages exiting the NI port are converted to SNINet message format.
Note: The content of the Destination Field of the SNINet Header corresponds to the contents of the Bedrock Internal Header's Address field, Source field or Supplemental field, depending on the command (as summarized in Table 120 below). Table 120 Processor Physical Address to SNINet Address
Figure imgf000060_0001
Table 121 Converting Bedrock Internal Header into SNINet Header
Figure imgf000061_0001
d For Request 1 barrier commands (PRDB, PWRB & BAR) select PO/1. This is based on Source field subnode bits [2:0]=. All other commands select MD. e For Request 2, the Pre-Xsel field is based on the Supplemental[2:0]. A master of IO or GBR uses II, and, a master of PROC uses FI0/1 based on the Supplemental field subnode bit. f For Request 3, select PIO/1 based on the Supplemental field subnode bit.
8 Request 5 is PIO to Bedrock widget. The PreXsel field depends on address bits [23:21]. An address in the IO range uses II ([23:21]=0x6), an address in the PI range uses PIO/1 (PI0=0x4 & PIl=0x5 for [23:21]), and all other addresses use LB. Access to PIx/LB/II registers require address[31:24] = 0x01 (BW0/SW1). h For Reply 1 the PreXsel field depends on Source field bits [2:0]. A master of
IO or FBR uses II, and a master of PROC uses PIO/1 based on the subnode bit, Source[2]. Table 122 DevicelD Encoding
Figure imgf000062_0001
Register Access
Access to registers in the NI space is mediated by the Local Block (LB). Register read and write requests are received by the LB, which uses a dedicated register data and address bus to transfer register data to/from the NI. Access protection is also controlled by the LB. However, the NI will detect read access errors to registers address space that does not exist. This error is passed on to the LB.
The IO Interface unit (II) of the Bedrock chip implements the interface to the Crosstalk interface, which connects to I/O devices such as disk controllers and network boards (e.g., Ethernet).
Figure 42 shows a high level diagram of the IO unit and its position relative to the rest of node controller 75 and input/output port 79. The interface between Bedrocks Crossbar (XB) unit and II is composed of four XB queues. The names of the four queues are prefixed by "RQ" or "RP", indicating that they carry request messages or response messages, respectively. The remainder of the name indicates whether the II section is the receiver of the message (the input queue, "IIQ") or the sender of the message (the output queue, "IOQ"). Figure 60 lists the types of messages that can be produced or consumed by each interface.
The II section performs two basic functions: (1) it provides a method by which IO devices can read and write host memory ("DMA" operations), and it provides a method by which the processors in the system can control IO devices ("PIO" operations). Thus, the term "DMA" refers to the case where an IO device is the initiator of a request and the term "PIO" refers to the case where a processor somewhere in the system is the source of the request. In order to control II itself, a third basic function is also implemented, called "Local PIO". This term reflects the fact that a processor is the initiator of the request, and the object of the request is a register which is internal (local) to II. For DMA operations, an IO device initiates a request by sending a
Crosstalk request message into the Crosstalk receive interface. The request is recorded within II in a state vector called a Credit Response Buffer (CRB). The request is reformatted to the Bedrock-internal message format, then sent to the XB unit by way of the Request IOQ for dispatch to the home node of the memory which is the target of the request. The II section participates in the SN7
Coherence Protocol, and may need to take several actions (described later) to gain access to the target memory. As these actions are taken, the state of the request is updated in the CRB. Once a response is received from the XB by way of the Response IIQ, it is forwarded to the Crosstalk Transmit Interface and the CRB is retired.
For PIO operations, a processor initiates a request by sending a router network request message into the Request IIQ. If the request is a read request, the node number and processor number of the request is recorded in a structure called a PIO Response Buffer (PRB). If the request is a write request, the requestor is given a write response immediately by sending it out the Response-
IOQ. The request is then reformatted to Crosstalk format and sent to the target IO device by way of the Crosstalk Transmit Interface. The II section does not have any work to do with regard to cache coherence for PIOs, because accesses to IO space are defined as having left the coherence domain. When a read response is received on the Crosstalk Receive Interface, the information stored in the PRB is used to reformat the response into a Bedrock-internal message, and it is sent out across the Response-IOQ. When a write response is received on the Crosstalk Receive Interface, it is discarded after checking for errors and other status information. PIO operations to Il-local registers operate very much like PIO operations to IO devices. The only difference is the obvious difference that the target of the request is internal to II, so the request doesn't involve the Crosstalk interface. Message Flow
In order to prevent buffer overflows and deadlock conditions, hardware flow control mechanisms must be used. These flow control mechanisms form a hierarchy, with low level signal handshaking at the bottom of the hierarchy and message-synchronized flow control at the top of the hierarchy. This section is an overview of the flow control aspects of II.
In order to prevent deadlock within a node or between nodes, II assures that it will pop requests and responses off of the IIQ in a finite amount of time. This assurance remains even in the face of certain errors, especially those that involve the Crosstalk Interface. The II further attempts to minimize the time a message spends on the IIQ.
Because II implements the Crosstalk interface, it implements the Crosstalk Credit mechanism. This credit mechanism keeps message input buffers from overflowing (both IO devices and II). The mechanisms described in the preceding two paragraphs are not independent. In fact, the Crosstalk Credit mechanism could undermine the assurance of IIQ forward progress when there is a slow IO device. (II cannot accept any further PIO requests when it has no Crosstalk Credits, thus possibly stalling the IIQ.) To mitigate this problem, a mechanism called "PIO conveyor Belt" is implemented by II. This mechanism manages a second set of credits, called "PIO Credits." These PIO Credits manage access to particular IO devices (as opposed to Crosstalk Credits, which control access to all IO devices), and when a particular IO device cannot accept another request, any new request for that IO device is popped from the IIQ and given a negative acknowledge. This action causes the requestor to requeue the request and send it again, thus implying a "conveyer belt", which gives the mechanism its name. The CRB as a Partial Merge Buffer
The SN7 Network Protocol and Cache Coherence Protocol operate on memory data one cacheline at a time. But IO devices need to be able to operate on byte-sized chunks of memory data, so II must provide the mechanisms for doing this. For reads, this simply means that whenever an IO device requests memory read data, an entire cacheline is sent to II, which then forwards the interesting bytes to the device. For writes, it is more complicated. When an IO device writes main memory in chunks smaller than cachelines, II will (1) request the cacheline from the system (2) receive the cacheline in a buffer when the system returns it, (3) merge the byte data into the cacheline, and (4) write the entire cacheline back to main memory. Just as all other requests from Crosstalk, a CRB is assigned to maintain the coherence information for the cacheline, and the cacheline buffer associated with the CRB is used to perform the merge.
The merging of partial cacheline writes (also called "partial writes") represents the only case where II requests an exclusive copy of a cacheline. Upon completion of the merge, the line is immediately written back, so it is not held in the dirty exclusive state. Credit Response Buffers (CRB)
The Coherent Resource Buffers (or CRBs) are internal II state describing cachelines which are being manipulated by II. Since the II must participate in the SN/ Cache Coherence Protocol, it must maintain certain state information relative to each cacheline for which it has requests in flight.
In general, every request that is received from Crosstalk uses one CRB for each cacheline it touches. As the request is forwarded to the router network 575, some information is left behind in a CRB so that when a response is received from the router network 575, II knows what to do with it. Given the complexity of the SN/ Cache Coherence Protocol, II may participate in several exchanges with the directory or the owner of the cacheline before a response is received that can finally be forwarded to the requestor on Crosstalk. Thus, each CRB represents the "current state" in the state machine that manages IPs participation in the Cache Coherence Protocol.
The rest of the state machine that manages IPs participation in the Cache Coherence Protocol is the so-called "CRB Table". The CRB Table is simply a big chunk of combinational logic that looks at the incoming message, the CRB implied by the incoming message (determined by a CAM lookup of the address in the message), and other Il-internal factors. Given all these inputs, the CRB
Table produces the next CRB state, which is used to update the CRB, and the responses to the messages: requests or responses to the router network 575 or Crosstalk 82. Sometimes an incoming message only updates the CRB, and doesn't produce an outgoing message.
There is only one CRB Table, and it is shared in a pipelined fashion with all fifteen CRBs. PT Response Buffers (PRB) CRBs keep information about outstanding DMA requests (requests which are initiated by Crosstalk). In a similar manner, information about outstanding PIO requests (requests which are initiated on the router network 575) is kept in PIO Response Buffers (PRBs). When a processor in the SNl system makes a request to an I/O device on Crosstalk, certain state must be maintained so that when the response comes back from that device, II knows where to send it. For reasons which will be described later, this information is only kept for PIO Read requests.
The information about outstanding reads is kept in registers called PIO Read Table Entries (PRTEs). There are eight PRTEs, one for each possible outstanding read. There is a PRB table which functions in a manner similar to the CRB table, taking the state of the outstanding request (described by the PRB and the PRTE associated with that request) and the incoming message, and deriving the next state and response messages. Because this is on the I/O side of the coherence boundary (PIO requests, by definition, leave the coherence domain), the current state embodied by the PRBs and PRTEs is much simpler than in the CRB, as is the PRB table. Il-Internal Registers
The II is configured and controlled by many internal (local) registers. They are mentioned here because they represent the rest of the Il-internal state required to make sense of the II interfaces as described in the subsequent sections of this document. IIQ Interface Requests
The only requests from the router network 575 received by II are interventions (messages regarding cachelines that II owns), and PIO reads and writes (to either Crosstalk or II local registers).
Local Block
The Local Block (LB) of the Bedrock chip contains the PIO Access Machine, some registers, the Invalidate Engine, the Vector PIO Machine and a real-time clock generator.
The input signals which enter the LB and the output signals which are driven by the LB can be aggregated into several different groups. Each such group constitutes a particular interface. Together, these interfaces comprise the entire interface of the LB unit. Each of the following subsections describes a particular group. Clock, Reset and JTAG
Figure imgf000067_0001
Assertion of the RESET LB input causes the LB to reset all its state machines and initialize its registers to their specified reset values. The LB will abort any operation that is in progress (e.g., a PIO read or write request, or an LINVAL request). Assertion of the RESET_LB_SOFT input causes the LB to reset all its state machines and abort any operation that is in progress, but registers retain their current contents (i.e., they are not re-initialized). The RESET_LB_SOFT input is intended for diagnostic purposes while the Bedrock chip is subjected to testing in the lab after prototype chips are available. If a node becomes "stuck" such that no further progress is possible, the RESET_LB_SOFT input can be used to restore the chip to a state in which software can examine the contents of registers so as to determine the cause of the failure. Rq Flits From LIQ to LB
Figure imgf000068_0001
System Configurations and Topologies
SNl apparatus of the present invention are modular computer systems which span the range of two to 512 CPUs. They are made up of separate rackmounted modules which include node having two or four CPUs (C-Bricks), 8- port routers (R-Bricks), various IO bricks (I-Bricks, P-Bricks, and/or X-Bricks), Power Bays, and/or disk drive bays (D-Bricks). All of these modules (or "bricks") are mounted in a rack or racks and interconnected with cables. In one embodiment, a systems and method is used such as described further in application serial number 09/408,874 filed September 29, 1999, entitled "MODULAR COMPUTING ARCHITECTURE HAVING COMMON COMMUNICATION INTERFACE".
These systems are extremely flexible in configuration, since the amount of CPU, memory, IO and disk resource can all be independently selected. High- availability systems, where all functionality is fully redundant, may be easily configured.
All SNl systems (with the exception of systems containing eight or fewer CPUs, which are a special case that are implemented without using a router R- Brick) include groupings of a Power Bay, a router (R-Brick), and 4-processor nodes (C-Bricks), plus one or more I/O bricks. The other nodes are cabled to the router, and in large systems, the routers are cabled to each other. This configuration gives an excellent value, yielding a combination of low cost, high bandwidth, and low latency, and it easily supports the configuration of high- availability systems. Up to 24 CPUs with a fairly substantial I/O system may be configured in a single rack. Up to 32 CPUs may be constructed in a single rack, with a separate rack for IO. Larger systems are implemented using multiple racks.
Systems involving over one hundred twenty-eight (128) CPUs are configured with additional routers 76 installed in some of the racks, known as metarouters, which allow interconnection of larger numbers of nodes. Modules within the racks are organized and installed identically for all configurations, and no re-cabling is ever required within a rack to expand the configuration.
In systems of 16 or fewer CPUs, space is available within the rack for installation of I/O and disk bricks. For larger systems, I/O and disk modules are mounted in separate racks which contain no nodes 370 or routers 76. Node: Processor/Memory
A SNl system includes one or more nodes 370. Each node 370 includes two or four processors 74 and their associated caches, main memory 77, and I/O port 273 and a port 274 into the router network. A central ASIC (application- specific integrated circuit), node controller 75, connects these four subsystems. CPU Types
In some embodiments of the present invention, two families of CPUs are supported in SNl systems: MIPS "T-Rex" processors (e.g., the R12000S processor and its follow-on processors available from SGI, assignee of the present invention) and Intel "Merced" processors (a type of processor anticipated to be available from Intel Corporation). Each type of CPU is implemented on a different board design used to implement the various embodiments of each node
370. Upgrades may be achieved by replacing the (node 370) C-Brick. In one embodiment, MIPS and Merced nodes are not to be mixed within a single system, although systems using both processor types may be connected as a cluster using interconnects other than CrayLink2. MTPS CPUs
In one embodiment, SNl supports MIPS T-Rex CPUs, starting with the T-Rex Shrink (R12000S) CPU, which is packaged in a flip-chip package and supports a 200 MHz SYSAD speed (SYSAD is the processor interface 71). In various embodiments, additional MIPS processors are planned to be developed for SNl enhancements.
In one embodiment, cache sizes for MIPS SNl nodes are four and eight MB. In one embodiment, the cache is built from nine 256k x 18 or 512k x 18 SSRAMs (synchronous static random access memory chips). The secondary cache for the T-Rex Shrink processor operates at Vz of the CPU core clock. Merced CPUs
In one embodiment, SNl supports Merced-type CPUs. In one embodiment, Merced CPUs are supported by introducing an additional processor interface ASIC, which adapts two Merced CPUs to communicate on one of the SYSAD busses (a processor interface bus 71 of node controller 75). Two processor interface ASIC s are provided per node to implement support for four
Merced CPUs. The processor interface ASIC also supports a level-3 cache, which is implemented using DDR SDRAM chips (double-data rate synchronous dynamic random access memory, such as are available from Hitachi and NEC of Japan, and are being sampled by IBM Corp.). This cache improves the effective memory access time for the CPUs, and is also used to perform the protocol translations needed to allow the Merced CPUs to operate in the SNl system, which is based on the protocols employed in MIPS CPUs. Main Memory Configuration In one embodiment, SNl systems employ custom DIMMs (dual in-line memory modules) containing DDR SDRAM for main memory. The DIMMs that are used in larger SNl systems (those systems which are capable of scaling beyond 128 processors) are physically similar but contain one additional memory chip to provide enough directory memory to allow building configurations of up to 512 CPUs. These DIMMS are called "premium DIMMs" in this document, and those without the extra directory memory are called "standard DIMMs." Other than the difference in the directory memory, premium and standard DIMMs are identical and share a common PCB (printed circuit board) design. In systems of less than 128 CPUs, it is acceptable to use the two types interchangeably, and to mix them within a node. In these embodiments, the ratio of (memory data space) to (directory data space) on each of the plurality of memory/directory cards is set to a value that is based on a size of the multiprocessor computer system. In some embodiments, the size is measured as the number of CPUs in the system, and in particular, whether there are (128) or more processors. When (128) or more processors are included, the premium DIMMs having an extra memory chip (i.e., a lower ratio of (memory data space) to (directory data space)) are used.
In one embodiment, the board of each node 370 includes eight DIMM sockets, arranged as four banks of two DIMMs each. Note that this is half the number of DIMM slots implemented in prior systems. This decision was made because of the greater memory densities available in the SNl time frame. Main memory is implemented using DDR SDRAM parts running at 100 MHz address / 200 MHz data and supplying a peak of 3200 Mbyte/sec of bandwidth. The DIMMS employ 128 and 256 Mbit DRAM technology to offer a variety of
DIMM sizes to meet various customer needs. With 256 Mbit technology, each SNl node can support up to eight Gbytes (gigabytes) of memory.
All SNl DIMMs are built from two base printed circuit types, one supporting x4 memory (four-bit wide data path) chips for data / ECC and the other supporting x8 memory (eight-bit wide data path) chips. The following table enumerates the various types that are implemented in various embodiments. All types may be implemented in either standard or premium; the planned implementation is to make the smallest chosen size available in standard only and all other sizes in premium only. The types which are used for one embodiment are shown in bold. Those marked with a * are premium DIMMs. DIMM Table
Figure imgf000072_0001
The above table identifies the data memory increment DIMM kits. An increment requires two memory/directory data DIMMs.
In one embodiment, all DIMMs contain an serial PROM which is readable by the system controller. The PROM contains part number, date code, memory chip manufacturer, and other information as specified in the JEDEC standard for SPID PROMs. The DIMM includes a write-enable pin to allow programming of the PROM in the factory. This pin is not be connected on the node board, thereby making it impossible to write the PROM in the system 100. The C-Brick
The C-Brick for one embodiment houses a single node of up to four CPU's, together with eight DIMM slots, the node controller 75, and LI system controller. The design of the C-box, in one embodiment, accommodates either a MIPS T-Rex CPU implementation or an Intel Merced CPU implementation. The C-Brick design is based around a removable logic carrier that slides in and out of the front side of the C-Brick for service. This logic carrier unit holds the node electronics, front panel, hot plug fans, LI display, and cosmetic plastics. Visible from the front of the C-Brick are the LI display and 3 front mounted fans. The fans are removable from the front, redundant and hot swappable.
The rear of the C-Brick contains connectors for 48VDC input power, D- NET, XTalk2 I/O, and USB. The USB port is used for connection to an optional
L2 system controller in small systems without a R-Brick. The same USB port could also connect to a L3 system controller if desired.
Fan replacement is the only type of service which is able to be performed while the C-Brick is operating. All other maintenance activities (such as DIMM replacement) will require the brick to be powered down, and the logic carrier unit to be removed from the brick. "C" Node Brick MTPS T-Rex CPU Implementation
The T-Rex node board set includes a main board and up to two processors boards (PIMM's). The main board of one embodiment is a half-panel (11 x 17 inches) 16 layer board that holds the node controller 75, eight DIMM sockets for memory 77, three 48 VDC-to-low- voltage DC-DC converters, LI system controller, and connections to the PIMM (processor in-line module) boards.
Each processor board holds two MIPS CPUs 74 that are soldered directly to the board, along with their L2 cache memory. Each PIMM will provide its own 48VDC-to-low-voltage conversion through the use of two DC-DC converters on each PIMM. Electrical connection between the main board and each PIMM is provided by two 240-position area-array connector. CONCLUSION A first aspect of the present invention provides a multiprocessor computer system (for example, a small multiprocessor system 400 having only two node controllers 75 connected to one another using an interprocessor channel 73, or a multiprocessor system 100 or 500 having up to hundreds or thousands of node controllers 75 connected together through a router network 575 or other interprocessor communications fabric 575). One such embodiment of the system includes a first node controller 75, a second node controller 75, a first plurality of processors 141 operatively coupled to the first node controller 75, a second plurality of processors 141 operatively coupled to the second node controller 75, a first memory 77 operatively coupled to the first node controller 75, a first input/output system 79 operatively coupled to the first node controller 75, and an interprocessor communications network 575 operatively coupled between the first node controller 75 and the second node controller 75. In this embodiment, the first node controller 75 includes: a crossbar unit 279, a memory port 275 operatively coupled between the crossbar unit 279 and the first memory 77, an input/output port 273 operatively coupled between the crossbar unit 279 and the first input/output system 79, a network port 274 operatively coupled between the crossbar unit 279 and the interprocessor communications network 575, and a plurality of independent processor ports 270, including a first processor port 271 operatively coupled between the crossbar unit 279 and a first subset 241 of the first plurality of processors 74, and a second processor port 272 operatively coupled between the crossbar unit 279 and a second subset 242 of the first plurality of processors 74. In some embodiments of the system, the first node controller 75 is fabricated onto a single integrated-circuit chip 475.
In some embodiments of the system, the first memory 77 is packaged on a plurality of plugable memory/directory cards 600 wherein each card 600 includes a plurality of memory chips 610 including a first subset of memory chips 620 dedicated to holding memory data and a second subset of memory chips dedicated to holding directory data. Further, the memory port 275 includes a memory data port 613 including a memory data bus 611 and a memory address bus 612 coupled to the first subset of memory chips 610, and a directory data port 623 including a directory data bus 621 and a directory address bus 622 coupled to the second subset of memory chips 620. In some such embodiments, the ratio of (data space in the first subset of memory chips 610) to (data space in the second subset of memory chips 620) on each of the memory/directory cards is set to a value based on a size of the multiprocessor computer system.
In some embodiments of the system, the crossbar unit 279 selectively combines two serially received doublewords of data into a single quadword micropacket for transmission through the crossbar unit 279, and wherein each doubleword contains at least 64 bits of data and the single quadword contains at least 128 bits of data. In some embodiments of the system 400, the first node controller 75 and the second node controller 75 are directly connected to one another by the interprocessor communications network 73. In other embodiments of the system 100, 300, the first node controller 75 and the second node controller 75 are indirectly connected to one another through a router chip 76 that is also connected to one or more other node controllers 75.
Another aspect of the present invention provides a first node controller 75 for use in a multiprocessor computer system 100, 300, 500 having one or more other node controllers 75. This first node controller 75 includes a crossbar unit 279, a memory port 275 operatively coupled to the crossbar unit 279 for communicating to a memory 77, an input/output port 273 operatively coupled to the crossbar unit 279 for communicating to a first input/output system 79, a network port 274 operatively coupled to the crossbar unit 279 for communicating to a second node controller 75 across an interprocessor- communications network 575, and a plurality of independent processor ports
270. These processor ports 270 include a first processor port 271 operatively coupled to the crossbar unit 279 for communicating to a first subset 241 of a first plurality of processors 74, and a second processor port 272 operatively coupled to the crossbar unit 279 or communicating to a second subset 242 of the first plurality of processors 74.
In various embodiments of the node controller, various details are optionally included, as described above for embodiments of the first system aspect of the invention.
Another aspect of the present invention provides a multiprocessor system 100, 300, or 500 (the "second system") that includes one or more node controllers 75 including a first node controller 75, a first plurality of processors 74 operatively coupled to the first node controller 75, a first memory 77 operatively coupled to the first node controller 75. This first node controller 75 is packaged as a single integrated circuit chip 475 that includes a crossbar unit 279, a memory port 275 operatively coupled between the crossbar unit 279 and the first memory 77, and a plurality of independent processor ports, including a first processor port operatively coupled between the crossbar unit 279 and a first subset 241 of the first plurality of processors 74, and a second processor port 272 operatively coupled between the crossbar unit 279 and a second subset 242 of the first plurality of processors 74, wherein the first subset 241 includes a plurality of processors 74 and the second subset 242 includes a plurality of processors 74. In some embodiments of the second system, the system further includes a first input/output system 79 operatively coupled to the first node controller 75, and the first node controller 75 further includes an input/output port 273 operatively coupled between the crossbar unit 279 and the first input/output system 79.
In some embodiments of the second system, the system further includes an interprocessor communications channel 73 operatively coupled between the first node controller 75 and a second node controller 75; and the first node controller 75 further comprises a network port 274 operatively coupled between the crossbar unit 279 and the interprocessor communications channel 73.
In some embodiments of the second system, the system further includes a first input output system 79 operatively coupled to the first node controller 75, and an interprocessor communications network 575 operatively coupled between the first node controller 75 and a second node controller 75. The first node controller 75 of these embodiments further includes an input/output port 273 operatively coupled between the crossbar unit 279 and the first input/output system 79, and a network port 274 operatively coupled between the crossbar unit
279 and the interprocessor communications network 575.
In some embodiments of the second system, the first memory 77 is packaged on a plurality of plugable memory/directory cards 600, each card including a plurality of memory chips including a first subset of memory chips 610 dedicated to holding memory data and a second subset of memory chips 620 dedicated to holding directory data, wherein the memory port 275 further includes a memory data port 613 including a memory data bus 611 and a memory address bus 612 coupled to the first subset of memory chips 610, and a directory data port 623 including a directory data bus 621 and a directory address bus 622 coupled to the second subset of memory chips 620. In some such embodiments, the ratio of (data space in the first subset of memory chips 610) to (data space in the second subset of memory chips 620) on each of the plurality of memory/directory cards 600 is set to a value that is based on a size of the multiprocessor computer system.
Another aspect of the present invention provides a method usable with one or more of the above described systems. The method includes transmitting data between the memory port 275 and the first processor port 271, between the memory port 75 and the second processor port 272, between the memory port
275 and the input/output port 273, and between the memory port 275 and the network port 274.
Some embodiments of the method further include transmitting data directly between the first node controller 75 and the second node controller 75 that are directly connected to one another by the interprocessor communications channel 73.
Some embodiments of the method further include transmitting data indirectly between the first node controller 75 and the second node controller 75 through an interprocessor fabric 575 that is also connected to one or more other node controllers 75.
Some embodiments of the method further include transmitting data between any two of the memory port, the first processor port, the second processor port, the input/output port and the network port.
In some embodiments of the method, the transmitting of data between any two of the memory port, the first processor port, the second processor port, the input/output port and the network port is performed in a non-blocking manner such that a plurality of such transmissions occur simultaneously.
Another aspect of the present invention provides a system that varies the relative size of the directory based on a size of the system, such as the number of processors, the amount of memory, and/or the number of nodes. Some such embodiments provide a multiprocessor system 100, 300 or 500 that includes a plurality of node controllers 75 including a first node controller 75, wherein each one of the plurality of node controllers 75 includes a memory port 275 and a separate network port 274 and a separate first processor port 277 and optionally a second processor port 272, , an interprocessor communications network operatively coupled to the network port 274 of each respective one of the plurality of node controllers 75, a plurality of processors 74 operatively coupled to the first processor port of each respective one of the plurality of node controllers 75, including a first plurality of processors 74 operatively coupled to first processor port 271 of the first node controller 75, and a plurality of separate memories 77, wherein one or more of the plurality of separate memories 77 is operatively coupled locally to the memory port 275 of each respective one of the plurality of node controllers 75, including a first memory 77 operatively coupled locally to the memory port 275 of the first node controller 75, wherein the first memory is packaged on a plurality of plugable memory/directory cards 600. Each of these cards 600 include a plurality of memory chips 610 including a first subset of memory chips 610 dedicated to holding memory data and a second subset of memory chips 620 dedicated to holding directory data. The memory port 275 of the first node controller 75 further includes a memory data port 613 including a memory data bus 611 and a memory address bus 612 coupled to the first subset of memory chips 610 on each of a plurality of memory/directory cards 600 such that memory data is accessed simultaneously from the plurality of memory cards 600, and a directory data port 613 including a directory data bus 621 and a directory address bus 622 coupled to the second subset of memory chips 620 on each of the plurality of memory/directory cards 600 such that directory data is accessed simultaneously from a plurality of memory cards 600 independent of memory data accesses. In some of these embodiments, the ratio of (data space in the first subset of memory chips 610) to (data space in the second subset of memory chips 620) on each of the plurality of memory/directory cards 600 is set to a value that is based on a size of the multiprocessor computer system. In various embodiments, the size that determines the ratio is based on a number of processors 74, an amount of memory 77, a number of routers 76, and/or a number of node controllers 75.
It is to be understood that the above description is intended to be illustrative, and not restrictive. Many other embodiments will be apparent to those of skill in the art upon reviewing the above description. The scope of the invention should, therefore, be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Claims

What is claimed is:
1. A multiprocessor computer system comprising: a first node controller; and an interprocessor communications network operatively coupled between the first node controller and the second node controller; wherein the first node controller comprises: a crossbar unit; a plurality of ports operatively coupled to the crossbar unit, including a first port and a second port, both of a first port type and each operatively coupled to the crossbar unit, and a third port of a second port type operatively coupled to the crossbar unit, wherein the first port type has a different overall function than the second port type but wherein the first port and the second port have substantially identical function.
2. The system according to claim 1, wherein the first node controller is fabricated onto a single integrated-circuit chip.
3. The system according to claim 1, further comprising: a first plurality of processors operatively coupled to the first node controller; a first memory operatively coupled to the first node controller; wherein the first node controller is fabricated onto a single integrated-circuit chip, and wherein the first port is operatively coupled between the crossbar unit and a first subset of the first plurality of processors, wherein the second port is operatively coupled between the crossbar unit and a second subset of the first plurality of processors, and wherein the third port is operatively coupled between the crossbar unit and the first memory.
4. The system according to claim 3, further comprising: a first plurality of processors operatively coupled to the first node controller; a second node controller; a second plurality of processors operatively coupled to the second node controller; and wherein the first node controller includes a fourth port of a third port type, and wherein the fourth port is operatively coupled between the crossbar unit and the interprocessor communications network.
5. The system according to claim 4, wherein the first node controller and the second node controller are directly connected to one another by the interprocessor communications channel.
6. The system according to claim 3, wherein the first memory is packaged on a plurality of plugable memory/directory cards, each card comprising: a plurality of memory chips including a first subset of memory chips dedicated to holding memory data and a second subset of memory chips dedicated to holding directory data; and wherein the memory port further comprises: a memory data port including a memory data bus and a memory address bus coupled to the first subset of memory chips; and a directory data port including a directory data bus and a directory address bus coupled to the second subset of memory chips.
7. The system according to claim 3, wherein the ratio of (data space in the first subset of memory chips) to (data space in the second subset of memory chips) on each of the plurality of memory/directory cards is set to a value that is based on a size of the multiprocessor computer system.
8. A first node controller for use in a multiprocessor computer system having one or more other node controllers, the first node controller comprising: a crossbar unit; a memory port operatively coupled to the crossbar unit for communicating to a memory; an input/output port operatively coupled to the crossbar unit for communicating to a first input/output system; a network port operatively coupled to the crossbar unit for communicating to a second node controller across an interprocessor- communications network; and a plurality of independent processor ports, including a first processor port operatively coupled to the crossbar unit for communicating to a first subset of a first plurality of processors, and a second processor port operatively coupled to the crossbar unit for communicating to a second subset of the first plurality of processors.
9. The first node controller according to claim 8, wherein the first node controller is fabricated onto a single integrated-circuit chip.
10. The first node controller according to claim 8, wherein the memory is packaged on a plurality of plugable memory/directory cards, each card comprising: a plurality of memory chips including a first subset of memory chips dedicated to holding memory data and a second subset of memory chips dedicated to holding directory data; and wherein the memory port further comprises: a memory data port including a memory data bus and a memory address bus coupled to the first subset of memory chips; and a directory data port including a directory data bus and a directory address bus coupled to the second subset of memory chips.
11. The first node controller according to claim 10, wherein the ratio of (data space in the first subset of memory chips) to (data space in the second subset of memory chips) on each of the plurality of memory/directory cards is varied based on a size of the multiprocessor computer system.
12. The first node controller according to claim 8, wherein the crossbar unit selectively combines two serially received doublewords of data into a single quadword micropacket for transmission through the crossbar unit, and wherein each doubleword contains at least 64 bits of data and the single quadword contains at least 128 bits of data.
13. The first node controller according to claim 8, wherein the first node controller and the second node controller are directly connected to one another by the interprocessor communications channel.
14. The first node controller according to claim 8, wherein the first node controller and the second node controller are indirectly connected to one another through an interprocessor communications network that is also connected to one or more other node controllers.
15. A multiprocessor system comprising: one or more node controllers including a first node controller; a first plurality of processors operatively coupled to the first node controller; and a first memory operatively coupled to the first node controller; wherein the first node controller is packaged as a single integrated circuit chip that comprises: a crossbar unit; a memory port operatively coupled between the crossbar unit and the first memory; and a plurality of independent processor ports, including a first processor port operatively coupled between the crossbar unit and a first subset of the first plurality of processors, and a second processor port operatively coupled between the crossbar unit and a second subset of the first plurality of processors, wherein the first subset includes a plurality of processors and the second subset includes a plurality of processors.
16. The system according to claim 15, wherein the system further comprises: a first input/output system operatively coupled to the first node controller, wherein the first node controller further comprises an input/output port operatively coupled between the crossbar unit and the first input/output system.
17. The system according to claim 15, wherein the system further comprises: an interprocessor communications network operatively coupled between the first node controller and a second node controller, wherein the first node controller further comprises a network port operatively coupled between the crossbar unit and the interprocessor communications network.
18. The system.according to claim 15, wherein the system further comprises: a first input/output system operatively coupled to the first node controller; and an interprocessor communications network operatively coupled between the first node controller and a second node controller; and wherein the first node controller further comprises: an input/output port operatively coupled between the crossbar unit and the first input/output system; and a network port operatively coupled between the crossbar unit and the interprocessor communications network.
19. The system according to claim 15, wherein the first memory is packaged on a plurality of plugable memory/directory cards, each card comprising: a plurality of memory chips including a first subset of memory chips dedicated to holding memory data and a second subset of memory chips dedicated to holding directory data; and wherein the memory port further comprises: a memory data port including a memory data bus and a memory address bus coupled to the first subset of memory chips; and a directory data port including a directory data bus and a directory address bus coupled to the second subset of memory chips.
20. The system according to claim 19, wherein the ratio of (data space in the first subset of memory chips) to (data space in the second subset of memory chips) on each of the plurality of memory/directory cards is set to a value that is based on a size of the multiprocessor computer system.
21. A method for coupling units in a multiprocessor computer system that includes at least a first node controller, a second node controller, a first plurality of processors operatively coupled to the first node controller, a second plurality of processors operatively coupled to the second node controller, a first memory operatively coupled to the first node controller, a first input/output system operatively coupled to the first node controller, and an interprocessor communications network operatively coupled between the first node controller and the second node controller, wherein the first node controller includes a memory port coupled to the first memory, an input/output port coupled to the input/output system, a plurality of independent processor ports, including a first processor port operatively coupled to a first subset of the first plurality of processors, and a second processor port operatively coupled to a second subset of the first plurality of processors, the method comprising: transmitting data between the memory port and the first processor port, transmitting data between the memory port and the second processor port, transmitting data between the memory port and the input/output port, and transmitting data between the memory port and the network port.
22. The method according to claim 21 , wherein the interprocessor communications network comprises a interprocessor communications channel, and further comprising transmitting data directly between the first node controller and the second node controller that are directly connected to one another by the interprocessor communications channel.
23. The method according to claim 21 , wherein the interprocessor communications network comprises a interprocessor communications fabric, and further comprising transmitting data indirectly between the first node controller and the second node controller through the interprocessor communications fabric that is also connected to one or more other node controllers.
24. The method according to claim 21, further comprising: transmitting data between any two of the memory port, the first processor port, the second processor port, the input/output port and the network port.
25. The method according to claim 21, wherein the transmitting of data between any two of the memory port, the first processor port, the second processor port, the input/output port and the network port is performed in a non- blocking manner such that a plurality of such transmissions occur simultaneously.
26. A multiprocessor computer system comprising: a plurality of node controllers including a first node controller, wherein each one of the plurality of node controllers includes a memory port and a separate network port and a separate first processor port; an interprocessor communications network operatively coupled to the network port of each respective one of the plurality of node controllers; a plurality of processors operatively coupled to the first processor port of each respective one of the plurality of node controllers, including a first plurality of processors operatively coupled to first processor port of the first node controller; and a plurality of separate memories, wherein one or more of the plurality of separate memories is operatively coupled locally to the memory port of each respective one of the plurality of node controllers, including a first memory operatively coupled locally to the memory port of the first node controller; wherein the first memory is packaged on a plurality of plugable memory/directory cards, each said card comprising: a plurality of memory chips including a first subset of memory chips dedicated to holding memory data and a second subset of memory chips dedicated to holding directory data; and wherein the memory port of the first node controller further comprises: a memory data port including a memory data bus and a memory address bus coupled to the first subset of memory chips on each of a plurality of memory/directory cards such that memory data is accessed simultaneously from the plurality of memory cards; and a directory data port including a directory data bus and a directory address bus coupled to the second subset of memory chips on each of the plurality of memory/directory cards such that directory data is accessed simultaneously from a plurality of memory cards independent of memory data accesses.
27. The system according to claim 26, wherein the ratio of (data space in the first subset of memory chips) to (data space in the second subset of memory chips) on each of the plurality of memory/directory cards is set to a value that is based on a size of the multiprocessor computer system.
28. A multiprocessor computer system comprising: a first node controller; a second node controller; a first plurality of processors operatively coupled to the first node controller; a second plurality of processors operatively coupled to the second node controller; a first memory operatively coupled to the first node controller; a first input/output system operatively coupled to the first node controller; and an interprocessor communications network operatively coupled between the first node controller and the second node controller; and wherein the first node controller comprises: a crossbar unit; a memory port operatively coupled between the crossbar unit and the first memory; an input/output port operatively coupled between the crossbar unit and the first input/output system; a network port operatively coupled between the crossbar unit and the interprocessor communications network; and a plurality of independent processor ports, including a first processor port operatively coupled between the crossbar unit and a first subset of the first plurality of processors, and a second processor port operatively coupled between the crossbar unit and a second subset of the first plurality of processors.
29. The system according to claim 28, wherein the first node controller is fabricated onto a single integrated-circuit chip.
30. The system according to claim 28, wherein the first memory is packaged on a plurality of plugable memory/directory cards, each card comprising: a plurality of memory chips including a first subset of memory chips dedicated to holding memory data and a second subset of memory chips dedicated to holding directory data; and wherein the memory port further comprises: a memory data port including a memory data bus and a memory address bus coupled to the first subset of memory chips; and a directory data port including a directory data bus and a directory address bus coupled to the second subset of memory chips.
31. The system according to claim 30, wherein the ratio of (data space in the first subset of memory chips) to (data space in the second subset of memory chips) on each of the plurality of memory/directory cards is set to a value that is based on a size of the multiprocessor computer system.
PCT/US2000/027003 1999-09-29 2000-09-29 Multiprocessor node controller circuit and method WO2001024031A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
DE60006842T DE60006842T2 (en) 1999-09-29 2000-09-29 Multiprocessor node controller circuit and method
EP00967187A EP1222559B1 (en) 1999-09-29 2000-09-29 Multiprocessor node controller circuit and method
JP2001526730A JP4472909B2 (en) 1999-09-29 2000-09-29 Multiprocessor node controller circuit and method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/407,428 US6751698B1 (en) 1999-09-29 1999-09-29 Multiprocessor node controller circuit and method
US09/407,428 1999-09-29

Publications (3)

Publication Number Publication Date
WO2001024031A2 true WO2001024031A2 (en) 2001-04-05
WO2001024031A3 WO2001024031A3 (en) 2001-08-23
WO2001024031A9 WO2001024031A9 (en) 2001-09-20

Family

ID=23612049

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/027003 WO2001024031A2 (en) 1999-09-29 2000-09-29 Multiprocessor node controller circuit and method

Country Status (5)

Country Link
US (3) US6751698B1 (en)
EP (1) EP1222559B1 (en)
JP (1) JP4472909B2 (en)
DE (1) DE60006842T2 (en)
WO (1) WO2001024031A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003036508A2 (en) * 2001-10-22 2003-05-01 Sun Microsystems, Inc. Stream processor with cryptographic co-processor
WO2008083012A1 (en) * 2006-12-31 2008-07-10 Intel Corporation Efficient power management techniques for computer systems

Families Citing this family (207)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6751698B1 (en) * 1999-09-29 2004-06-15 Silicon Graphics, Inc. Multiprocessor node controller circuit and method
US7072817B1 (en) 1999-10-01 2006-07-04 Stmicroelectronics Ltd. Method of designing an initiator in an integrated circuit
AU2001222161A1 (en) * 2000-07-28 2002-02-13 Delvalley Limited A data processor
US7213087B1 (en) * 2000-08-31 2007-05-01 Hewlett-Packard Development Company, L.P. Mechanism to control the allocation of an N-source shared buffer
US20020161453A1 (en) * 2001-04-25 2002-10-31 Peltier Michael G. Collective memory network for parallel processing and method therefor
ATE453152T1 (en) * 2001-05-01 2010-01-15 Sun Microsystems Inc SHARED MEMORY MULTIPROCESSOR SYSTEM USING MIXED BROADCAST SNOOP AND DIRECTORY BASED COHERENCE PROTOCOLS
US7222220B2 (en) * 2001-05-01 2007-05-22 Sun Microsystems, Inc. Multiprocessing system employing address switches to control mixed broadcast snooping and directory based coherency protocols transparent to active devices
US7486685B2 (en) * 2001-06-29 2009-02-03 Rankin Linda J System for sharing channels by interleaving flits
US7062609B1 (en) * 2001-09-19 2006-06-13 Cisco Technology, Inc. Method and apparatus for selecting transfer types
US7274692B1 (en) * 2001-10-01 2007-09-25 Advanced Micro Devices, Inc. Method and apparatus for routing packets that have multiple destinations
US7295563B2 (en) * 2001-10-01 2007-11-13 Advanced Micro Devices, Inc. Method and apparatus for routing packets that have ordering requirements
US7221678B1 (en) * 2001-10-01 2007-05-22 Advanced Micro Devices, Inc. Method and apparatus for routing packets
JP3683211B2 (en) * 2001-12-10 2005-08-17 エヌイーシーコンピュータテクノ株式会社 Inter-node data transfer method and inter-node data transfer apparatus
US6807586B2 (en) * 2002-01-09 2004-10-19 International Business Machines Corporation Increased computer peripheral throughput by using data available withholding
US7088711B2 (en) * 2002-02-05 2006-08-08 Forcelo Networks, Inc. High-speed router backplane
GB2389207B (en) * 2002-04-09 2004-05-12 Via Tech Inc Remote node accessing local memory by using distributed shared memory
CN1152330C (en) * 2002-04-09 2004-06-02 威盛电子股份有限公司 Maintain method for remote node to read local memory and its application device
US20030221030A1 (en) * 2002-05-24 2003-11-27 Timothy A. Pontius Access control bus system
US7103636B2 (en) * 2002-05-28 2006-09-05 Newisys, Inc. Methods and apparatus for speculative probing of a remote cluster
US6865595B2 (en) * 2002-05-28 2005-03-08 Newisys, Inc. Methods and apparatus for speculative probing of a remote cluster
US7281055B2 (en) * 2002-05-28 2007-10-09 Newisys, Inc. Routing mechanisms in systems having multiple multi-processor clusters
EP1376373B1 (en) * 2002-06-20 2006-05-31 Infineon Technologies AG Arrangement having a first device and a second device connected via a cross bar switch
US7051150B2 (en) * 2002-07-29 2006-05-23 Freescale Semiconductor, Inc. Scalable on chip network
US7200137B2 (en) * 2002-07-29 2007-04-03 Freescale Semiconductor, Inc. On chip network that maximizes interconnect utilization between processing elements
US7139860B2 (en) * 2002-07-29 2006-11-21 Freescale Semiconductor Inc. On chip network with independent logical and physical layers
US6996651B2 (en) * 2002-07-29 2006-02-07 Freescale Semiconductor, Inc. On chip network with memory device address decoding
US7277449B2 (en) * 2002-07-29 2007-10-02 Freescale Semiconductor, Inc. On chip network
US7296121B2 (en) * 2002-11-04 2007-11-13 Newisys, Inc. Reducing probe traffic in multiprocessor systems
US7003633B2 (en) * 2002-11-04 2006-02-21 Newisys, Inc. Methods and apparatus for managing probe requests
US7346744B1 (en) 2002-11-04 2008-03-18 Newisys, Inc. Methods and apparatus for maintaining remote cluster state information
US8185602B2 (en) 2002-11-05 2012-05-22 Newisys, Inc. Transaction processing using multiple protocol engines in systems having multiple multi-processor clusters
US7577755B2 (en) * 2002-11-19 2009-08-18 Newisys, Inc. Methods and apparatus for distributing system management signals
US7447794B1 (en) * 2002-12-04 2008-11-04 Silicon Graphics, Inc. System and method for conveying information
US7353321B2 (en) * 2003-01-13 2008-04-01 Sierra Logic Integrated-circuit implementation of a storage-shelf router and a path controller card for combined use in high-availability mass-storage-device shelves that may be incorporated within disk arrays
US7024510B2 (en) * 2003-03-17 2006-04-04 Hewlett-Packard Development Company, L.P. Supporting a host-to-input/output (I/O) bridge
US7234099B2 (en) * 2003-04-14 2007-06-19 International Business Machines Corporation High reliability memory module with a fault tolerant address and command bus
US6982892B2 (en) * 2003-05-08 2006-01-03 Micron Technology, Inc. Apparatus and methods for a physical layout of simultaneously sub-accessible memory modules
US7334089B2 (en) * 2003-05-20 2008-02-19 Newisys, Inc. Methods and apparatus for providing cache state information
US7184916B2 (en) * 2003-05-20 2007-02-27 Cray Inc. Apparatus and method for testing memory cards
US7320100B2 (en) 2003-05-20 2008-01-15 Cray Inc. Apparatus and method for memory with bit swapping on the fly and testing
US7386626B2 (en) * 2003-06-23 2008-06-10 Newisys, Inc. Bandwidth, framing and error detection in communications between multi-processor clusters of multi-cluster computer systems
US7337279B2 (en) * 2003-06-27 2008-02-26 Newisys, Inc. Methods and apparatus for sending targeted probes
US7395347B2 (en) * 2003-08-05 2008-07-01 Newisys, Inc, Communication between and within multi-processor clusters of multi-cluster computer systems
US7028130B2 (en) * 2003-08-14 2006-04-11 Texas Instruments Incorporated Generating multiple traffic classes on a PCI Express fabric from PCI devices
US7171499B2 (en) * 2003-10-10 2007-01-30 Advanced Micro Devices, Inc. Processor surrogate for use in multiprocessor systems and multiprocessor system using same
US7620696B2 (en) * 2004-01-20 2009-11-17 Hewlett-Packard Development Company, L.P. System and method for conflict responses in a cache coherency protocol
US8176259B2 (en) 2004-01-20 2012-05-08 Hewlett-Packard Development Company, L.P. System and method for resolving transactions in a cache coherency protocol
US7149852B2 (en) * 2004-01-20 2006-12-12 Hewlett Packard Development Company, Lp. System and method for blocking data responses
US8090914B2 (en) * 2004-01-20 2012-01-03 Hewlett-Packard Development Company, L.P. System and method for creating ordering points
US8468308B2 (en) * 2004-01-20 2013-06-18 Hewlett-Packard Development Company, L.P. System and method for non-migratory requests in a cache coherency protocol
US8145847B2 (en) * 2004-01-20 2012-03-27 Hewlett-Packard Development Company, L.P. Cache coherency protocol with ordering points
US20050160238A1 (en) * 2004-01-20 2005-07-21 Steely Simon C.Jr. System and method for conflict responses in a cache coherency protocol with ordering point migration
US7769959B2 (en) 2004-01-20 2010-08-03 Hewlett-Packard Development Company, L.P. System and method to facilitate ordering point migration to memory
US7818391B2 (en) 2004-01-20 2010-10-19 Hewlett-Packard Development Company, L.P. System and method to facilitate ordering point migration
US7757033B1 (en) 2004-02-13 2010-07-13 Habanero Holdings, Inc. Data exchanges among SMP physical partitions and I/O interfaces enterprise servers
US20050182915A1 (en) * 2004-02-12 2005-08-18 Patrick Devaney Chip multiprocessor for media applications
US7633955B1 (en) 2004-02-13 2009-12-15 Habanero Holdings, Inc. SCSI transport for fabric-backplane enterprise servers
US8868790B2 (en) 2004-02-13 2014-10-21 Oracle International Corporation Processor-memory module performance acceleration in fabric-backplane enterprise servers
US7685281B1 (en) 2004-02-13 2010-03-23 Habanero Holdings, Inc. Programmatic instantiation, provisioning and management of fabric-backplane enterprise servers
US7873693B1 (en) * 2004-02-13 2011-01-18 Habanero Holdings, Inc. Multi-chassis fabric-backplane enterprise servers
US7843906B1 (en) * 2004-02-13 2010-11-30 Habanero Holdings, Inc. Storage gateway initiator for fabric-backplane enterprise servers
US7296181B2 (en) * 2004-04-06 2007-11-13 Hewlett-Packard Development Company, L.P. Lockstep error signaling
US7237144B2 (en) * 2004-04-06 2007-06-26 Hewlett-Packard Development Company, L.P. Off-chip lockstep checking
US7290169B2 (en) * 2004-04-06 2007-10-30 Hewlett-Packard Development Company, L.P. Core-level processor lockstepping
JP4469306B2 (en) * 2004-04-30 2010-05-26 株式会社日立製作所 Computer system, management server
KR20040052921A (en) * 2004-05-17 2004-06-23 김기천 Clock synchronizer for distributed traffic control
JP3780457B2 (en) * 2004-06-07 2006-05-31 株式会社トヨタIt開発センター Signal processing apparatus, method, program, and recording medium
US8713295B2 (en) 2004-07-12 2014-04-29 Oracle International Corporation Fabric-backplane enterprise servers with pluggable I/O sub-system
US8000322B2 (en) * 2004-07-30 2011-08-16 Hewlett-Packard Development Company, L.P. Crossbar switch debugging
US20060072563A1 (en) * 2004-10-05 2006-04-06 Regnier Greg J Packet processing
DE602005006302T2 (en) * 2004-10-12 2008-08-14 Koninklijke Philips Electronics N.V. SWITCH DEVICE AND COMMUNICATION NETWORK WITH SUCH A SWITCH DEVICE AND METHOD FOR SENDING DATA IN AT LEAST ONE VIRTUAL CHANNEL
US7600023B2 (en) * 2004-11-05 2009-10-06 Hewlett-Packard Development Company, L.P. Systems and methods of balancing crossbar bandwidth
US7290085B2 (en) * 2004-11-16 2007-10-30 International Business Machines Corporation Method and system for flexible and efficient protocol table implementation
US20060149923A1 (en) * 2004-12-08 2006-07-06 Staktek Group L.P. Microprocessor optimized for algorithmic processing
US7970980B2 (en) * 2004-12-15 2011-06-28 International Business Machines Corporation Method and apparatus for accessing memory in a computer system architecture supporting heterogeneous configurations of memory structures
US20060174050A1 (en) * 2005-01-31 2006-08-03 International Business Machines Corporation Internal data bus interconnection mechanism utilizing shared buffers supporting communication among multiple functional components of an integrated circuit chip
US7136954B2 (en) * 2005-01-31 2006-11-14 International Business Machines Corporation Data communication method and apparatus utilizing credit-based data transfer protocol and credit loss detection mechanism
US7493426B2 (en) * 2005-01-31 2009-02-17 International Business Machines Corporation Data communication method and apparatus utilizing programmable channels for allocation of buffer space and transaction control
US7483428B2 (en) * 2005-02-10 2009-01-27 International Business Machines Corporation Data processing system, method and interconnect fabric supporting a node-only broadcast
US7206889B2 (en) * 2005-03-22 2007-04-17 Hewlett-Packard Development Company, L.P. Systems and methods for enabling communications among devices in a multi-cache line size environment and disabling communications among devices of incompatible cache line sizes
CN101176318A (en) * 2005-05-18 2008-05-07 皇家飞利浦电子股份有限公司 Integrated circuit and method of arbitration in a network on an integrated circuit
JP2006352706A (en) * 2005-06-17 2006-12-28 Hitachi Ltd Microprocessor, network system and communication method
WO2007038445A2 (en) 2005-09-26 2007-04-05 Advanced Cluster Systems, Llc Clustered computer system
JP4546380B2 (en) * 2005-10-04 2010-09-15 エヌイーシーコンピュータテクノ株式会社 Crossbar switch, information processing apparatus, and transfer method
US7890686B2 (en) * 2005-10-17 2011-02-15 Src Computers, Inc. Dynamic priority conflict resolution in a multi-processor computer system having shared resources
JP4887824B2 (en) * 2006-02-16 2012-02-29 富士通セミコンダクター株式会社 Memory system
GB2435531A (en) * 2006-02-27 2007-08-29 Sharp Kk Control Flow Protection Mechanism
US8082289B2 (en) 2006-06-13 2011-12-20 Advanced Cluster Systems, Inc. Cluster computing support for application programs
US7451260B2 (en) * 2006-10-23 2008-11-11 Hewlett-Packard Development Company, L.P. Interleave mechanism for a computing environment
US20080115139A1 (en) * 2006-10-27 2008-05-15 Todd Alan Inglett Barrier-based access to a shared resource in a massively parallel computer system
US7773617B2 (en) * 2006-11-08 2010-08-10 Sicortex, Inc. System and method for arbitration for virtual channels to prevent livelock in a richly-connected multi-processor computer system
US20080172510A1 (en) * 2007-01-16 2008-07-17 Wei-Jen Chen Parallel bus architecture and related method for interconnecting sub-systems utilizing a parallel bus
US8238334B2 (en) * 2007-04-30 2012-08-07 Futurewei Technologies Inc. Optimal path selection for accessing networked applications
US7953863B2 (en) * 2007-05-08 2011-05-31 Intel Corporation Techniques for timing optimization in wireless networks that utilize a universal services interface
WO2008155850A1 (en) * 2007-06-20 2008-12-24 Fujitsu Limited Cache controller, cache control method and cache control program
US8230433B2 (en) 2007-06-26 2012-07-24 International Business Machines Corporation Shared performance monitor in a multiprocessor system
US8756350B2 (en) 2007-06-26 2014-06-17 International Business Machines Corporation Method and apparatus for efficiently tracking queue entries relative to a timestamp
US7877551B2 (en) * 2007-06-26 2011-01-25 International Business Machines Corporation Programmable partitioning for high-performance coherence domains in a multiprocessor system
US8032892B2 (en) * 2007-06-26 2011-10-04 International Business Machines Corporation Message passing with a limited number of DMA byte counters
US8458282B2 (en) 2007-06-26 2013-06-04 International Business Machines Corporation Extended write combining using a write continuation hint flag
US8509255B2 (en) 2007-06-26 2013-08-13 International Business Machines Corporation Hardware packet pacing using a DMA in a parallel computer
US8108738B2 (en) 2007-06-26 2012-01-31 International Business Machines Corporation Data eye monitor method and apparatus
US8010875B2 (en) 2007-06-26 2011-08-30 International Business Machines Corporation Error correcting code with chip kill capability and power saving enhancement
US7761687B2 (en) * 2007-06-26 2010-07-20 International Business Machines Corporation Ultrascalable petaflop parallel supercomputer
US8140925B2 (en) 2007-06-26 2012-03-20 International Business Machines Corporation Method and apparatus to debug an integrated circuit chip via synchronous clock stop and scan
US7793038B2 (en) 2007-06-26 2010-09-07 International Business Machines Corporation System and method for programmable bank selection for banked memory subsystems
US7886084B2 (en) 2007-06-26 2011-02-08 International Business Machines Corporation Optimized collectives using a DMA on a parallel computer
US8468416B2 (en) 2007-06-26 2013-06-18 International Business Machines Corporation Combined group ECC protection and subgroup parity protection
US7827391B2 (en) 2007-06-26 2010-11-02 International Business Machines Corporation Method and apparatus for single-stepping coherence events in a multiprocessor system under software control
US7802025B2 (en) 2007-06-26 2010-09-21 International Business Machines Corporation DMA engine for repeating communication patterns
US8103832B2 (en) * 2007-06-26 2012-01-24 International Business Machines Corporation Method and apparatus of prefetching streams of varying prefetch depth
US7984448B2 (en) * 2007-06-26 2011-07-19 International Business Machines Corporation Mechanism to support generic collective communication across a variety of programming models
US20090089510A1 (en) 2007-09-28 2009-04-02 Mips Technologies, Inc. Speculative read in a cache coherent microprocessor
US7974278B1 (en) * 2007-12-12 2011-07-05 Integrated Device Technology, Inc. Packet switch with configurable virtual channels
US8392663B2 (en) * 2007-12-12 2013-03-05 Mips Technologies, Inc. Coherent instruction cache utilizing cache-op execution resources
US8055879B2 (en) * 2007-12-13 2011-11-08 International Business Machines Corporation Tracking network contention
US8140771B2 (en) * 2008-02-01 2012-03-20 International Business Machines Corporation Partial cache line storage-modifying operation based upon a hint
US8250307B2 (en) * 2008-02-01 2012-08-21 International Business Machines Corporation Sourcing differing amounts of prefetch data in response to data prefetch requests
US8108619B2 (en) * 2008-02-01 2012-01-31 International Business Machines Corporation Cache management for partial cache line operations
US20090198910A1 (en) * 2008-02-01 2009-08-06 Arimilli Ravi K Data processing system, processor and method that support a touch of a partial cache line of data
US7958309B2 (en) 2008-02-01 2011-06-07 International Business Machines Corporation Dynamic selection of a memory access size
US8117401B2 (en) 2008-02-01 2012-02-14 International Business Machines Corporation Interconnect operation indicating acceptability of partial data delivery
US8024527B2 (en) * 2008-02-01 2011-09-20 International Business Machines Corporation Partial cache line accesses based on memory access patterns
US8266381B2 (en) * 2008-02-01 2012-09-11 International Business Machines Corporation Varying an amount of data retrieved from memory based upon an instruction hint
US8255635B2 (en) * 2008-02-01 2012-08-28 International Business Machines Corporation Claiming coherency ownership of a partial cache line of data
US8490110B2 (en) * 2008-02-15 2013-07-16 International Business Machines Corporation Network on chip with a low latency, high bandwidth application messaging interconnect
US20090248988A1 (en) * 2008-03-28 2009-10-01 Mips Technologies, Inc. Mechanism for maintaining consistency of data written by io devices
US9225545B2 (en) 2008-04-01 2015-12-29 International Business Machines Corporation Determining a path for network traffic between nodes in a parallel computer
US7796585B2 (en) * 2008-05-21 2010-09-14 Dell Products, Lp Network switching in a network interface device and method of use thereof
US8397030B2 (en) 2008-06-24 2013-03-12 International Business Machines Corporation Efficient region coherence protocol for clustered shared-memory multiprocessor systems
US20100005212A1 (en) * 2008-07-01 2010-01-07 International Business Machines Corporation Providing a variable frame format protocol in a cascade interconnected memory system
US7907625B1 (en) 2008-08-04 2011-03-15 Integrated Device Technology, Inc. Power reduction technique for buffered crossbar switch
US8166146B2 (en) * 2008-09-29 2012-04-24 International Business Machines Corporation Providing improved message handling performance in computer systems utilizing shared network devices
US8209489B2 (en) * 2008-10-22 2012-06-26 International Business Machines Corporation Victim cache prefetching
US8347037B2 (en) * 2008-10-22 2013-01-01 International Business Machines Corporation Victim cache replacement
US8225045B2 (en) * 2008-12-16 2012-07-17 International Business Machines Corporation Lateral cache-to-cache cast-in
US8499124B2 (en) * 2008-12-16 2013-07-30 International Business Machines Corporation Handling castout cache lines in a victim cache
US8489819B2 (en) * 2008-12-19 2013-07-16 International Business Machines Corporation Victim cache lateral castout targeting
US8799587B2 (en) * 2009-01-26 2014-08-05 International Business Machines Corporation Region coherence array for a mult-processor system having subregions and subregion prefetching
US8285942B2 (en) * 2009-01-27 2012-10-09 International Business Machines Corporation Region coherence array having hint bits for a clustered shared-memory multiprocessor system
KR101250666B1 (en) * 2009-01-30 2013-04-03 후지쯔 가부시끼가이샤 Information processing system, information processing device, control method for information processing device, and computer-readable recording medium
US8949540B2 (en) * 2009-03-11 2015-02-03 International Business Machines Corporation Lateral castout (LCO) of victim cache line in data-invalid state
US8131935B2 (en) * 2009-04-07 2012-03-06 International Business Machines Corporation Virtual barrier synchronization cache
US8095733B2 (en) * 2009-04-07 2012-01-10 International Business Machines Corporation Virtual barrier synchronization cache castout election
US8103847B2 (en) * 2009-04-08 2012-01-24 Microsoft Corporation Storage virtual containers
US8312220B2 (en) * 2009-04-09 2012-11-13 International Business Machines Corporation Mode-based castout destination selection
US8327073B2 (en) * 2009-04-09 2012-12-04 International Business Machines Corporation Empirically based dynamic control of acceptance of victim cache lateral castouts
US8347036B2 (en) * 2009-04-09 2013-01-01 International Business Machines Corporation Empirically based dynamic control of transmission of victim cache lateral castouts
US8117390B2 (en) * 2009-04-15 2012-02-14 International Business Machines Corporation Updating partial cache lines in a data processing system
US8176254B2 (en) * 2009-04-16 2012-05-08 International Business Machines Corporation Specifying an access hint for prefetching limited use data in a cache hierarchy
US8140759B2 (en) * 2009-04-16 2012-03-20 International Business Machines Corporation Specifying an access hint for prefetching partial cache block data in a cache hierarchy
US20110010522A1 (en) * 2009-06-12 2011-01-13 Cray Inc. Multiprocessor communication protocol bridge between scalar and vector compute nodes
US8306042B1 (en) * 2009-06-19 2012-11-06 Google Inc. Class-based deterministic packet routing
JP5493575B2 (en) * 2009-08-10 2014-05-14 富士通株式会社 Information processing apparatus and information processing apparatus control method
US8539130B2 (en) * 2009-09-24 2013-09-17 Nvidia Corporation Virtual channels for effective packet transfer
US8446824B2 (en) 2009-12-17 2013-05-21 Intel Corporation NUMA-aware scaling for network devices
US9189403B2 (en) * 2009-12-30 2015-11-17 International Business Machines Corporation Selective cache-to-cache lateral castouts
WO2011120214A1 (en) * 2010-03-29 2011-10-06 华为技术有限公司 Cluster router and cluster routing method
US9229886B2 (en) * 2010-04-30 2016-01-05 Hewlett Packard Enterprise Development Lp Management data transfer between processors
US8381014B2 (en) * 2010-05-06 2013-02-19 International Business Machines Corporation Node controller first failure error management for a distributed system
US9552206B2 (en) * 2010-11-18 2017-01-24 Texas Instruments Incorporated Integrated circuit with control node circuitry and processing circuitry
US8949453B2 (en) 2010-11-30 2015-02-03 International Business Machines Corporation Data communications in a parallel active messaging interface of a parallel computer
JP5603507B2 (en) 2010-12-29 2014-10-08 エンパイア テクノロジー ディベロップメント エルエルシー Accelerating cache state migration on directory-based multi-core architectures
CN102141975B (en) * 2011-04-01 2013-10-09 华为技术有限公司 Computer system
EP2695070B1 (en) 2011-04-08 2016-03-09 Altera Corporation Systems and methods for using memory commands
KR101873526B1 (en) * 2011-06-09 2018-07-02 삼성전자주식회사 On-chip data scrubbing method and apparatus with ECC
US8949328B2 (en) 2011-07-13 2015-02-03 International Business Machines Corporation Performing collective operations in a distributed processing system
US9176913B2 (en) 2011-09-07 2015-11-03 Apple Inc. Coherence switch for I/O traffic
US8930962B2 (en) 2012-02-22 2015-01-06 International Business Machines Corporation Processing unexpected messages at a compute node of a parallel computer
JP2013196167A (en) * 2012-03-16 2013-09-30 Toshiba Corp Information processor
JP5949312B2 (en) * 2012-08-16 2016-07-06 富士通株式会社 Parallel computer system, data transfer apparatus, and parallel computer system control method
US8867559B2 (en) * 2012-09-27 2014-10-21 Intel Corporation Managing starvation and congestion in a two-dimensional network having flow control
DE112013003723B4 (en) 2012-10-22 2018-09-13 Intel Corporation High performance physical coupling structure layer
US9280507B2 (en) 2012-10-22 2016-03-08 Intel Corporation High performance interconnect physical layer
US9160607B1 (en) * 2012-11-09 2015-10-13 Cray Inc. Method and apparatus for deadlock avoidance
CN103049422B (en) * 2012-12-17 2013-11-27 浪潮电子信息产业股份有限公司 Method for building multi-processor node system with multiple cache consistency domains
US9250679B2 (en) * 2013-03-08 2016-02-02 Intel Corporation Reduced wake up delay for on-die routers
US9229894B2 (en) * 2013-04-09 2016-01-05 Apple Inc. Protocol conversion involving multiple virtual channels
JP5932146B2 (en) 2013-05-20 2016-06-08 華為技術有限公司Huawei Technologies Co.,Ltd. Method, computer system and apparatus for accessing PCI Express endpoint device
US9823864B2 (en) * 2014-06-02 2017-11-21 Micron Technology, Inc. Systems and methods for throttling packet transmission in a scalable memory system protocol
US9720838B2 (en) * 2015-03-27 2017-08-01 Intel Corporation Shared buffered memory routing
WO2016178717A1 (en) * 2015-05-07 2016-11-10 Intel Corporation Bus-device-function address space mapping
CN105068786B (en) * 2015-07-30 2018-03-23 浪潮(北京)电子信息产业有限公司 A kind of method and Node Controller for handling access request
CN106603355B (en) * 2015-10-15 2019-10-18 华为技术有限公司 A kind of computing device, node device and server
US9992135B2 (en) * 2015-12-11 2018-06-05 Intel Corporation Apparatus and method for fusion of compute and switching functions of exascale system into a single component by using configurable network-on-chip fabric with distributed dual mode input-output ports and programmable network interfaces
KR102025801B1 (en) * 2016-01-26 2019-09-26 한국전자통신연구원 Distributed file system and method for protecting data thereof
WO2017175549A1 (en) * 2016-04-08 2017-10-12 株式会社清水 Slow-release fertilizer composition and soil
US10291512B2 (en) * 2016-09-13 2019-05-14 Cisco Technology, Inc. Interest message path steering and multi-path traceroute in information-centric networking
NO342930B1 (en) 2016-10-18 2018-09-03 Numascale As Cache Coherent node controller
KR102610984B1 (en) * 2017-01-26 2023-12-08 한국전자통신연구원 Distributed file system using torus network and method for operating of the distributed file system using torus network
US10459659B2 (en) * 2017-03-31 2019-10-29 Intel Corporation Technologies for issuing commands on selected memory devices
NO343359B1 (en) 2017-05-02 2019-02-11 Numascale As Interconnect switch in multiprocessor systems
US11287985B2 (en) 2017-05-17 2022-03-29 Seagate Technology Llc Network data storage buffer system
CN111917656B (en) * 2017-07-27 2023-11-07 超聚变数字技术有限公司 Method and device for transmitting data
WO2019025864A2 (en) 2017-07-30 2019-02-07 Sity Elad A memory-based distributed processor architecture
US20190065418A1 (en) * 2017-08-29 2019-02-28 International Business Machines Corporation Message routing in a main memory arrangement
US10474611B2 (en) * 2017-09-19 2019-11-12 International Business Machines Corporation Aligning received bad data indicators (BDIS) with received data on a cross-chip link
US10592465B2 (en) 2017-10-26 2020-03-17 Hewlett Packard Enterprise Development Lp Node controller direct socket group memory access
US11275632B2 (en) 2018-09-14 2022-03-15 Advanced Micro Devices, Inc. Broadcast command and response
CN110677278A (en) * 2019-09-10 2020-01-10 无锡江南计算技术研究所 Message processor
CN113010173A (en) 2019-12-19 2021-06-22 超威半导体(上海)有限公司 Method for matrix data broadcasting in parallel processing
CN113094099A (en) 2019-12-23 2021-07-09 超威半导体(上海)有限公司 Matrix data broadcast architecture
KR20210089804A (en) 2020-01-08 2021-07-19 삼성전자주식회사 Memory module and memory system including the same
JP2022049552A (en) * 2020-09-16 2022-03-29 キオクシア株式会社 Semiconductor device and method
US11403221B2 (en) 2020-09-24 2022-08-02 Advanced Micro Devices, Inc. Memory access response merging in a memory hierarchy
EP4315089A1 (en) * 2021-03-29 2024-02-07 Google LLC On-chip interconnect for memory channel controllers
US11853231B2 (en) 2021-06-24 2023-12-26 Ati Technologies Ulc Transmission of address translation type packets

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5864738A (en) * 1996-03-13 1999-01-26 Cray Research, Inc. Massively parallel processing system using two data paths: one connecting router circuit to the interconnect network and the other connecting router circuit to I/O controller
US5878241A (en) * 1990-11-13 1999-03-02 International Business Machine Partitioning of processing elements in a SIMD/MIMD array processor
WO1999026429A2 (en) * 1997-11-17 1999-05-27 Cray Research, Inc. Hybrid hypercube/torus architecture

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4330858A (en) 1979-06-29 1982-05-18 International Business Machines Corporation Time domain supervisory channel for data terminal equipments
US4814979A (en) 1981-04-01 1989-03-21 Teradata Corporation Network to transmit prioritized subtask pockets to dedicated processors
US4814973A (en) 1983-05-31 1989-03-21 Hillis W Daniel Parallel processor
JP2644718B2 (en) 1983-12-28 1997-08-25 株式会社日立製作所 Computer system
US4754394A (en) 1984-10-24 1988-06-28 International Business Machines Corporation Multiprocessing system having dynamically allocated local/global storage and including interleaving transformation circuit for transforming real addresses to corresponding absolute address of the storage
US4630259A (en) 1984-11-14 1986-12-16 At&T Bell Laboratories Lockup detection and recovery in a packet switching network
US4807183A (en) * 1985-09-27 1989-02-21 Carnegie-Mellon University Programmable interconnection chip for computer system functional modules
US4771391A (en) 1986-07-21 1988-09-13 International Business Machines Corporation Adaptive packet length traffic control in a local area network
US4811214A (en) 1986-11-14 1989-03-07 Princeton University Multinode reconfigurable pipeline computer
US4933933A (en) 1986-12-19 1990-06-12 The California Institute Of Technology Torus routing chip
US5093920A (en) * 1987-06-25 1992-03-03 At&T Bell Laboratories Programmable processing elements interconnected by a communication network including field operation unit for performing field operations
US5170482A (en) 1987-08-14 1992-12-08 Regents Of The University Of Minnesota Improved hypercube topology for multiprocessor computer systems
US5008882A (en) 1987-08-17 1991-04-16 California Institute Of Technology Method and apparatus for eliminating unsuccessful tries in a search tree
US4868818A (en) 1987-10-29 1989-09-19 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Fault tolerant hypercube computer system architecture
US5105424A (en) 1988-06-02 1992-04-14 California Institute Of Technology Inter-computer message routing system with each computer having separate routinng automata for each dimension of the network
DE68927946T2 (en) 1988-08-02 1997-10-16 Philips Electronics Nv Method and device for synchronizing parallel processors using an unsharp lock
EP0364638B1 (en) 1988-10-20 1994-04-20 International Business Machines Corporation Communication network
US5117350A (en) 1988-12-15 1992-05-26 Flashpoint Computer Corporation Memory address mechanism in a distributed memory architecture
US5347450A (en) 1989-01-18 1994-09-13 Intel Corporation Message routing in a multiprocessor computer system
JP2749098B2 (en) 1989-02-03 1998-05-13 株式会社日立製作所 Communication line switching / combination method
US5036459A (en) 1989-03-09 1991-07-30 U.S. Philips Corporation Multi-processor computer system with distributed memory and an interprocessor communication mechanism, and method for operating such mechanism
JP3072646B2 (en) 1989-03-20 2000-07-31 富士通株式会社 Communication control method between parallel computers
US5127092A (en) 1989-06-15 1992-06-30 North American Philips Corp. Apparatus and method for collective branching in a multiple instruction stream multiprocessor where any of the parallel processors is scheduled to evaluate the branching condition
US5166156A (en) * 1989-12-20 1992-11-24 Adir Et Compagnie Naphthyl piperazines useful as 5-HT1A receptor ligands
CA2032620C (en) 1989-12-22 1995-08-15 Takafumi Chujo Method for searching for alternate path in communication network
US5197130A (en) 1989-12-29 1993-03-23 Supercomputer Systems Limited Partnership Cluster architecture for a highly parallel scalar/vector multiprocessor system
US5280474A (en) 1990-01-05 1994-01-18 Maspar Computer Corporation Scalable processor to processor and processor-to-I/O interconnection network and method for parallel processing arrays
US5218676A (en) 1990-01-08 1993-06-08 The University Of Rochester Dynamic routing system for a multinode communications network
US5161156A (en) 1990-02-02 1992-11-03 International Business Machines Corporation Multiprocessing packet switching connection system having provision for error correction and recovery
US5210705A (en) 1990-02-28 1993-05-11 Texas Instruments Incorporated Digital filtering with single-instruction, multiple-data processor
US5201044A (en) 1990-04-16 1993-04-06 International Business Machines Corporation Data processing method for file status recovery includes providing a log file of atomic transactions that may span both volatile and non volatile memory
US5083265A (en) 1990-04-17 1992-01-21 President And Fellows Of Harvard College Bulk-synchronous parallel computer
US5229990A (en) 1990-10-03 1993-07-20 At&T Bell Laboratories N+K sparing in a telecommunications switching environment
US5963746A (en) * 1990-11-13 1999-10-05 International Business Machines Corporation Fully distributed processing memory element
US5625836A (en) 1990-11-13 1997-04-29 International Business Machines Corporation SIMD/MIMD processing memory element (PME)
US5794059A (en) * 1990-11-13 1998-08-11 International Business Machines Corporation N-dimensional modified hypercube
US5383191A (en) 1990-12-20 1995-01-17 International Business Machines Corporation Dual ring reconfiguration switching unit
US5175733A (en) 1990-12-27 1992-12-29 Intel Corporation Adaptive message routing for multi-dimensional networks
US5365228A (en) 1991-03-29 1994-11-15 International Business Machines Corporation SYNC-NET- a barrier synchronization apparatus for multi-stage networks
US5265232A (en) 1991-04-03 1993-11-23 International Business Machines Corporation Coherence control by data invalidation in selected processor caches without broadcasting to processor caches not having the data
US5251131A (en) * 1991-07-31 1993-10-05 Thinking Machines Corporation Classification of data records by comparison of records to a training database using probability weights
JP2836321B2 (en) 1991-11-05 1998-12-14 三菱電機株式会社 Data processing device
EP0562251A2 (en) 1992-03-24 1993-09-29 Universities Research Association, Inc. Parallel data transfer network controlled by a dynamically reconfigurable serial network
CA2129825C (en) 1992-03-25 2000-08-15 Sun Microsystems, Inc. Real time processing system
EP0570729A3 (en) 1992-05-22 1994-07-20 Ibm Apap i/o programmable router
US5533198A (en) 1992-11-30 1996-07-02 Cray Research, Inc. Direction order priority routing of packets between nodes in a networked system
US5675579A (en) 1992-12-17 1997-10-07 Tandem Computers Incorporated Method for verifying responses to messages using a barrier message
JPH06318951A (en) 1993-01-07 1994-11-15 Toshiba Corp Method and system for transferring cell
US5598568A (en) * 1993-05-06 1997-01-28 Mercury Computer Systems, Inc. Multicomputer memory access architecture
FR2707819B1 (en) 1993-07-12 1995-09-15 Tremel Jean Yves Method and device for monitoring and / or testing an ATM type telecommunications network.
US5546596A (en) 1993-08-24 1996-08-13 Intel Corporation Method and apparatus for integrated local and express routing in a multiprocessor
US5509125A (en) 1993-09-29 1996-04-16 Silicon Graphics, Inc. System and method for fair arbitration on a multi-domain multiprocessor bus
US5504874A (en) 1993-09-29 1996-04-02 Silicon Graphics, Inc. System and method of implementing read resources to maintain cache coherency in a multiprocessor environment permitting split transactions
US5390164A (en) 1993-10-22 1995-02-14 At&T Corp. Ring interworking between bidirectional line-switched ring transmission systems
US5583990A (en) 1993-12-10 1996-12-10 Cray Research, Inc. System for allocating messages between virtual channels to avoid deadlock and to optimize the amount of message traffic on each type of virtual channel
US5434995A (en) 1993-12-10 1995-07-18 Cray Research, Inc. Barrier synchronization for distributed memory massively parallel processing systems
US5453978A (en) 1994-04-04 1995-09-26 International Business Machines Corporation Technique for accomplishing deadlock free routing through a multi-stage cross-point packet switch
US5634004A (en) * 1994-05-16 1997-05-27 Network Programs, Inc. Directly programmable distribution element
US5546549A (en) 1994-06-01 1996-08-13 International Business Machines Corporation Multi-path channel (MPC) interface with user transparent, unbalanced, dynamically alterable computer input/output channels
US5592610A (en) 1994-12-21 1997-01-07 Intel Corporation Method and apparatus for enhancing the fault-tolerance of a network
US5659796A (en) 1995-04-13 1997-08-19 Cray Research, Inc. System for randomly modifying virtual channel allocation and accepting the random modification based on the cost function
US5701416A (en) 1995-04-13 1997-12-23 Cray Research, Inc. Adaptive routing mechanism for torus interconnection network
US5669008A (en) 1995-05-05 1997-09-16 Silicon Graphics, Inc. Hierarchical fat hypercube architecture for parallel processing systems
US5634110A (en) 1995-05-05 1997-05-27 Silicon Graphics, Inc. Cache coherency using flexible directory bit vectors
US5721819A (en) 1995-05-05 1998-02-24 Silicon Graphics Corporation Programmable, distributed network routing
US5680576A (en) 1995-05-05 1997-10-21 Silicon Graphics, Inc. Directory-based coherence protocol allowing efficient dropping of clean-exclusive data
US5682479A (en) 1995-05-05 1997-10-28 Silicon Graphics, Inc. System and method for network exploration and access
US5721921A (en) 1995-05-25 1998-02-24 Cray Research, Inc. Barrier and eureka synchronization architecture for multiprocessors
US5613071A (en) 1995-07-14 1997-03-18 Intel Corporation Method and apparatus for providing remote memory access in a distributed memory multiprocessor system
AU6501496A (en) * 1995-07-19 1997-02-18 Ascom Nexion Inc. Point-to-multipoint transmission using subqueues
US5778437A (en) 1995-09-25 1998-07-07 International Business Machines Corporation Invalidation bus optimization for multiprocessors using directory-based cache coherence protocols in which an address of a line to be modified is placed on the invalidation bus simultaneously with sending a modify request to the directory
US5787241A (en) * 1995-12-18 1998-07-28 Integrated Device Technology, Inc. Method and apparatus for locating exception correction routines
US5970510A (en) * 1996-04-10 1999-10-19 Northrop Grumman Corporation Distributed memory addressing system
US5793962A (en) 1996-04-30 1998-08-11 International Business Machines Corporation System for managing membership of a group of processors in a distributed computing environment
US5787477A (en) 1996-06-18 1998-07-28 International Business Machines Corporation Multi-processor cache coherency protocol allowing asynchronous modification of cache data
US5752258A (en) 1996-07-01 1998-05-12 Sun Microsystems, Inc. Encoding method for directory state in cache coherent distributed shared memory system
US5900015A (en) 1996-08-09 1999-05-04 International Business Machines Corporation System and method for maintaining cache coherency using path directories
US6625166B2 (en) * 1996-11-15 2003-09-23 Canon Kabushiki Kaisha Communication system for communicating a plurality of time-division multiplexed data, and control method therefor
US5915104A (en) 1997-01-09 1999-06-22 Silicon Graphics, Inc. High bandwidth PCI to packet switched router bridge having minimized memory latency
US5995512A (en) * 1997-01-17 1999-11-30 Delco Electronics Corporation High speed multimedia data network
US5978578A (en) * 1997-01-30 1999-11-02 Azarya; Arnon Openbus system for control automation networks
US6633958B1 (en) * 1997-11-17 2003-10-14 Silicon Graphics, Inc. Multiprocessor computer system and method for maintaining cache coherence utilizing a multi-dimensional cache coherence directory structure
US6101181A (en) 1997-11-17 2000-08-08 Cray Research Inc. Virtual channel assignment in large torus systems
US5970232A (en) * 1997-11-17 1999-10-19 Cray Research, Inc. Router table lookup mechanism
US6085303A (en) * 1997-11-17 2000-07-04 Cray Research, Inc. Seralized race-free virtual barrier network
US6072772A (en) * 1998-01-12 2000-06-06 Cabletron Systems, Inc. Method for providing bandwidth and delay guarantees in a crossbar switch with speedup
US6334159B1 (en) * 1998-12-22 2001-12-25 Unisys Corporation Method and apparatus for scheduling requests within a data processing system
US6110181A (en) * 1999-02-10 2000-08-29 Veterans General Hospital-Taipei, Vacrs Thread carrier plate device and method
US6484220B1 (en) * 1999-08-26 2002-11-19 International Business Machines Corporation Transfer of data between processors in a multi-processor system
US6725307B1 (en) * 1999-09-23 2004-04-20 International Business Machines Corporation Method and system for controlling data transfers with physical separation of data functionality from address and control functionality in a distributed multi-bus multiprocessor system
US6604161B1 (en) * 1999-09-29 2003-08-05 Silicon Graphics, Inc. Translation of PCI level interrupts into packet based messages for edge event drive microprocessors
US6751698B1 (en) * 1999-09-29 2004-06-15 Silicon Graphics, Inc. Multiprocessor node controller circuit and method
US6674720B1 (en) * 1999-09-29 2004-01-06 Silicon Graphics, Inc. Age-based network arbitration system and method
US6516372B1 (en) * 1999-09-29 2003-02-04 Silicon Graphics, Inc. Partitioning a distributed shared memory multiprocessor computer to facilitate selective hardware maintenance
US6711636B1 (en) * 1999-09-29 2004-03-23 Silicon Graphics, Inc. Transfer attribute encoding within an address on a bus
US6457146B1 (en) * 1999-09-30 2002-09-24 Silicon Graphics, Inc. Method and apparatus for processing errors in a computer system
US6339812B1 (en) * 1999-09-30 2002-01-15 Silicon Graphics, Inc. Method and apparatus for handling invalidation requests to processors not present in a computer system
US6564277B1 (en) * 1999-09-30 2003-05-13 Silicon Graphics, Inc. Method and system for handling interrupts in a node controller without attached processors
US6546451B1 (en) * 1999-09-30 2003-04-08 Silicon Graphics, Inc. Method and apparatus for decoupling processor speed from memory subsystem speed in a node controller

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5878241A (en) * 1990-11-13 1999-03-02 International Business Machine Partitioning of processing elements in a SIMD/MIMD array processor
US5864738A (en) * 1996-03-13 1999-01-26 Cray Research, Inc. Massively parallel processing system using two data paths: one connecting router circuit to the interconnect network and the other connecting router circuit to I/O controller
WO1999026429A2 (en) * 1997-11-17 1999-05-27 Cray Research, Inc. Hybrid hypercube/torus architecture

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003036508A2 (en) * 2001-10-22 2003-05-01 Sun Microsystems, Inc. Stream processor with cryptographic co-processor
WO2003036508A3 (en) * 2001-10-22 2003-12-18 Sun Microsystems Inc Stream processor with cryptographic co-processor
WO2008083012A1 (en) * 2006-12-31 2008-07-10 Intel Corporation Efficient power management techniques for computer systems

Also Published As

Publication number Publication date
JP2003510721A (en) 2003-03-18
EP1222559A2 (en) 2002-07-17
US7881321B2 (en) 2011-02-01
DE60006842T2 (en) 2004-09-02
WO2001024031A9 (en) 2001-09-20
US20090024833A1 (en) 2009-01-22
DE60006842D1 (en) 2004-01-08
US20050053057A1 (en) 2005-03-10
JP4472909B2 (en) 2010-06-02
US7406086B2 (en) 2008-07-29
US6751698B1 (en) 2004-06-15
WO2001024031A3 (en) 2001-08-23
EP1222559B1 (en) 2003-11-26

Similar Documents

Publication Publication Date Title
EP1222559B1 (en) Multiprocessor node controller circuit and method
US5796605A (en) Extended symmetrical multiprocessor address mapping
US5805839A (en) Efficient technique for implementing broadcasts on a system of hierarchical buses
US5754877A (en) Extended symmetrical multiprocessor architecture
US6279084B1 (en) Shadow commands to optimize sequencing of requests in a switch-based multi-processor system
JP2512651B2 (en) Memory sharing multiprocessor
US6101420A (en) Method and apparatus for disambiguating change-to-dirty commands in a switch based multi-processing system with coarse directories
EP0911731B1 (en) Order supporting mechanisms for use in a switch-based multi-processor system
US6108752A (en) Method and apparatus for delaying victim writes in a switch-based multi-processor system to maintain data coherency
US6249520B1 (en) High-performance non-blocking switch with multiple channel ordering constraints
US10210117B2 (en) Computing architecture with peripherals
US7380102B2 (en) Communication link control among inter-coupled multiple processing units in a node to respective units in another node for request broadcasting and combined response
US20050091432A1 (en) Flexible matrix fabric design framework for multiple requestors and targets in system-on-chip designs
US20070081516A1 (en) Data processing system, method and interconnect fabric supporting multiple planes of processing nodes
US20040019733A1 (en) On chip network with memory device address decoding
JPH0810447B2 (en) How to maintain data compatibility of all physical addresses used by memory sharing multiprocessors
Ang et al. StarT-Voyager: A flexible platform for exploring scalable SMP issues
EP0817095B1 (en) Extended symmetrical multiprocessor architecture
Briggs et al. Intel 870: A building block for cost-effective, scalable servers
EP0987625A2 (en) Microprocessor with a plurality of functional units and cache levels
Litz Improving the scalability of high performance computer systems
NZ716954B2 (en) Computing architecture with peripherals
Lyberis et al. The 512-core Formic Hardware Prototype

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP US

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
AK Designated states

Kind code of ref document: C2

Designated state(s): JP US

AL Designated countries for regional patents

Kind code of ref document: C2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

COP Corrected version of pamphlet

Free format text: PAGES 1/59-59/59, DRAWINGS, REPLACED BY NEW PAGES 1/71-71/71; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2000967187

Country of ref document: EP

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2001 526730

Kind code of ref document: A

Format of ref document f/p: F

WWP Wipo information: published in national office

Ref document number: 2000967187

Country of ref document: EP

WWG Wipo information: grant in national office

Ref document number: 2000967187

Country of ref document: EP