WO2001026145A1 - Seed layers for interconnects and methods and apparatus for their fabrication - Google Patents

Seed layers for interconnects and methods and apparatus for their fabrication Download PDF

Info

Publication number
WO2001026145A1
WO2001026145A1 PCT/US2000/040983 US0040983W WO0126145A1 WO 2001026145 A1 WO2001026145 A1 WO 2001026145A1 US 0040983 W US0040983 W US 0040983W WO 0126145 A1 WO0126145 A1 WO 0126145A1
Authority
WO
WIPO (PCT)
Prior art keywords
conformal
seed layer
layer
seed
deposition
Prior art date
Application number
PCT/US2000/040983
Other languages
French (fr)
Other versions
WO2001026145A9 (en
Inventor
Uri Cohen
Original Assignee
Uri Cohen
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=27021179&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=WO2001026145(A1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Priority claimed from US09/410,898 external-priority patent/US6136707A/en
Application filed by Uri Cohen filed Critical Uri Cohen
Priority to JP2001529014A priority Critical patent/JP2003511858A/en
Priority to KR1020027004251A priority patent/KR20020043604A/en
Publication of WO2001026145A1 publication Critical patent/WO2001026145A1/en
Publication of WO2001026145A9 publication Critical patent/WO2001026145A9/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers

Definitions

  • the present invention pertains to the field of electroplating metals or alloys for filling high aspect ratio openings, such as trenches and vias, for semiconductor metallization interconnects, thin film heads, or micromachined Microelectromechanical Systems (MEMS) devices.
  • embodiments of the present invention provide improved seed layers for electroplating copper or silver interconnects in semiconductor devices, and methods and apparatus for fabricating such improved seed layers.
  • the improved seed layers facilitate reliable, void-free filling of small openings with high aspect ratios for so called "Damascene” and “Dual Damascene” copper and/or silver interconnects.
  • filling trenches and/or vias formed on a wafer by electroplating copper metal to form semiconductor device interconnects requires that a metallization layer (often referred to in the art as a seed layer or a base layer) be formed over the wafer surface.
  • the seed layer is required: (a) to provide a low-resistance electrical path (to enables uniform electroplating over the wafer surface); (b) to adhere well to the wafer surface (usually to an oxide-containing a dielectric film such as SiO , SiO ⁇ , or SiO ⁇ N ⁇ ); and (c) to be compatible with subsequent electroplating copper thereon.
  • a low-resistance electrical path to be comprised of an adequately thick, low-resistivity material.
  • the requirement of adhering well to the wafer surface is typically fulfilled by disposing an intermediary barrier (or adhesion) metallic layer having a strong affinity for oxygen atoms under the seed layer.
  • the barrier metallic layer is formed prior to the seed layer to provide good adhesion: (a) to the oxide surface underneath it (the barrier layer provides good adhesion to the oxide surface by sharing oxygen atoms) and (b) to the seed layer above it (the barrier metallic layer provides good adhesion to the seed layer by metal to metal bonds).
  • the barrier layer is often also referred to as an "adhesion layer" or a "liner".
  • the barrier layer also serves to mitigate copper out-diffusion directly into the device, or indirectly (through an insulating or a dielectric layer) into the device.
  • the barrier layer is usually chosen from the refractory metals or their alloys, such as for example, Ta, TaNx, Cr, CrNx, Ti,
  • the requirement of being compatible with electroplating copper is fulfilled by choosing a seed layer that does not react spontaneously (i.e., by displacement) with copper electrolyte used during the electroplating. This is satisfied by requiring that the seed layer does not comprise a metal or alloy that is less noble than copper.
  • a seed layer comprises a copper layer that is deposited by a "dry” technique, such as by physical vapor deposition (“PVD”), including but not limited to sputtering, ion plating, or evaporation, or by chemical vapor deposition (“CVD”).
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • the seed layer may also be deposited by a "wet” electroless plating process.
  • the copper seed layer thickness is typically in a range of about 300 A to about 2,000 A on the field (i.e., the top surface of the wafer outside trenches and via openings).
  • the barrier layer is typically deposited to a thickness of about 50A to about 50 ⁇ A (on the field) by either a PVD or a CVD technique.
  • the PVD techniques include, for example and without limitation, techniques such as evaporation, ion plating, and various sputtering techniques, such as DC and/or RF plasma sputtering, bias sputtering, magnetron sputtering, or Ionized Metal Plasma (IMP) sputtering.
  • sputtering techniques such as DC and/or RF plasma sputtering, bias sputtering, magnetron sputtering, or Ionized Metal Plasma (IMP) sputtering.
  • IMP Ionized Metal Plasma
  • MOCVD Metal Organic Chemical Vapor Deposition
  • CupraselectTM which precursor is sold by Schumacher, Inc.
  • Cu(II) hexafluoroacetylacetonate is another precursor used for CVD Cu.
  • the latter can be reacted with hydrogen gas to obtain high purity copper.
  • the CVD and the electroless techniques produce conformal deposition, with substantially uniform thickness over the entire surface, including over the field and the bottom and sidewall surfaces of the openings.
  • AR Aspect ratio
  • D depth
  • W width, or diameter
  • commercial copper electrolytes contain additives that adsorb and locally inhibit (or suppress) growth outside the openings (i.e., on the field). Further, growth inhibition inside the openings is decreased from that achieved outside the openings due to slow replenishment of the additives inside the openings as compared with replenishment of the additives on the field. As a result, the deposition rate inside the openings is faster than outside, thereby facilitating void-free copper fill.
  • Other well known reasons for voids in copper electrofill include discontinuous (or incomplete coverage of) seed layers inside the openings, and pinching-off of opening walls (for example, by overhangs of the top corners) prior to plating.
  • the openings may consist of vias, trenches, or patterned photoresist.
  • an insulating or a dielectric layer is pattern-etched to form openings therein.
  • a barrier (or an adhesion) metallic layer and a seed layer are deposited over the insulating layer to metallize its field (the surface surrounding openings), as well as the sidewalls and bottom surfaces of the openings.
  • copper electroplating is performed over the entire metallized surface, including the top surface (the field) surrounding the openings, and inside the patterned openings.
  • the seed layer inside the openings must completely cover the bottom and the sidewall surfaces inside the openings without discontinuities, or else there will be voids in the copper electrofill.
  • the seed layer must not be so thick on the sidewalls that it pinches-off the very narrow openings and should not overhang the top corners of the openings so that it pinches-off the very small openings.
  • the barrier layer must also be continuous inside the openings.
  • the seed layer must be sufficiently thick on the top surface (the field) to provide a low-resistive electrical path that facilitates uniform plating across the surface of the wafer. That is, the seed layer must be sufficiently thick (for example, a Cu seed layer should preferably be at least about 1,000 A) on the field to avoid radial non-uniformity across the wafer caused by a voltage (or IR) drop between a contact at the edge of the wafer to the center of the wafer. Any voltage drop (and resulting non-uniformity therefrom) becomes more severe as the resistance of the seed layer increases due to high resistivity and/or insufficient thickness.
  • a copper seed layer to a thickness of about 1,000 A to about 2,000A on the top surface (field) by a PVD technique.
  • the typical thickness of about 300A to about 1,000A (on the field), deposited by the CVD techniques may not be sufficient.
  • the non-conformal PVD techniques while providing adequate thickness on the field, fail to provide continuous and complete step coverage inside very narrow openings with large AR. They also result in substantial overhangs at the top corners of the openings.
  • the conformal CVD or electroless techniques while providing continuous and complete step coverage of the seed layer inside very narrow openings, pinch-off the small openings when used at thicknesses required on the field for a low-resistance electrical path. As a result, typical conformal CVD or electroless seed layers are too thin on the field and too thick inside the very narrow openings.
  • Embodiments of the present invention advantageously satisfy the above- identified need in the art and provide a method and apparatus to produce seed layers used to produce void-free copper or silver electrochemical filling of small openings having high aspect ratios.
  • One embodiment of the present invention is a method for making metallic interconnects comprising: (a) forming a patterned insulating layer on a substrate, the patterned insulating layer including at least one opening and a field surrounding the at least one opening; (b) depositing a barrier layer over the field and inside surfaces of the at least one opening; (c) depositing a first seed layer over the barrier layer using a first deposition technique; (d) depositing a second seed layer over the first seed layer using a second deposition technique, the first and second deposition techniques being different; and (e) electroplating a metallic layer over the second seed layer, the electroplated metallic layer comprising a material selected from a group consisting of Cu, Ag, or alloys comprising one or more of these metals.
  • a substantially conformal and a substantially non-conformal seed layers are deposited in a single tool, without breaking vacuum, or without exposing the wafer to the atmosphere between the deposition of the two seed layers.
  • the single deposition tool may comprise two or more chambers, at least one chamber for the deposition of the conformal seed layer and at least another chamber for the deposition of the non-conformal seed layer.
  • a single deposition tool comprises a single chamber in which both the conformal and the non-conformal seed layers are deposited utilizing either: (a) two or more distinct steps, wherein the deposition conditions (or parameters) during the first step are suitable for the deposition of a substantially conformal (or a non-conformal) seed layer and the deposition conditions during the second step are suitable for the deposition of a substantially non-conformal (or a conformal) seed layer, or (b) wherein the deposition conditions are varied continuously or gradually, thereby changing the nature of the seed layer from substantially conformal to substantially non-conformal, or vice versa, or (c) a combination of at least one distinct step and at least one gradual variation of the deposition conditions.
  • FIG. 1 shows a cross-sectional view of an inventive structure formed in accordance with a preferred embodiment of the present invention wherein a first, conformal seed layer is deposited over a barrier layer, followed by a second, non- conformal seed layer deposited over the first, conformal seed layer;
  • FIG. 2 shows a cross-sectional view of the inventive structure of FIG. 1 after removing excess plated copper or silver overlying an opening and the field, as well as removing the seed layers and barrier layer overlying the field surrounding the opening;
  • FIG. 3 shows a cross-sectional view of an inventive structure formed in accordance with an alternative embodiment of the present invention wherein a first, non-conformal seed layer is deposited over a barrier layer, followed by a second, conformal seed layer deposited over the first, non-conformal seed layer;
  • FIG. 4 shows a cross-sectional view of the inventive structure of FIG. 3 after removing excess plated copper or silver overlying an opening and the field, as well as removing the seed layers and barrier layer overlying the field surrounding the opening;
  • FIG. 5 shows a scanning electron microscope ("SEM") photograph of a cleaved cross-section (with a tilt angle of 30°) of a trench (the trench is -O.lO ⁇ m wide, ⁇ 1.4 ⁇ m deep, and has an aspect ratio of -14:1) having seed layers formed in accordance with one embodiment of the present invention;
  • FIG. 6 shows an SEM photograph of the trench shown in FIG. 5 without a tilt, and with a larger enlargement
  • FIG. 7 shows a schematic (not to scale) top view of a cluster tool apparatus, and a frontal view of its controller (e.g. computer), in accordance with a preferred embodiment of the invention.
  • FIG. 1 shows a cross-sectional view of an inventive structure formed in accordance with a preferred embodiment of the present invention wherein a first, conformal seed layer is deposited over a barrier layer, followed by a second, non- conformal seed layer deposited over the first, conformal seed layer.
  • the conformal seed layer provides continuous and complete step coverage inside the openings, while the non-conformal seed layer provides a low resistance electrical path over the top surface (field) surrounding the openings to enable uniform plating across the substrate (or wafer).
  • the thickness of the combined seed layers be at least about 1,000A on the field.
  • barrier layer 18 is deposited over the entire surface of wafer 10, including over patterned insulating layer 12 (having had opening 16 patterned therein in accordance with any one of a number of methods that are well known to those of ordinary skill in the art), using a conformal Chemical Vapor Deposition ("CVD") technique.
  • CVD Chemical Vapor Deposition
  • barrier layer includes examples wherein: (a) the barrier layer acts both as an adhesion layer and as a barrier layer; (b) a barrier layer separate from an adhesion layer is used; and (c) a multiplicity of layers is used, some acting as adhesion layers, some acting as barrier layers, or some acting as both.
  • wafer is used, this also includes the term substrate as it is used in the art. Still further, although the present invention is described in the context of opening 16, in practice, a multiplicity of openings are patterned and filled in accordance with the present invention.
  • barrier layer 18 ensures substantially complete and continuous coverage of the bottom and sidewall surfaces inside opening 16.
  • barrier layer 18 may also be deposited using a Physical Vapor Deposition ("PVD") technique that provides continuous bottom and sidewall coverage.
  • PVD Physical Vapor Deposition
  • barrier layer 18 may comprise, for example and without limitation, a material selected from Ta, TaNx, Cr, CrNx, Ti, TiN ⁇ ; W, WNx, and other alloys containing one or more of these materials. Further, the thickness of barrier layer 18 can be in a range of about
  • barrier layer 18 occupies a certain fraction of interconnects formed in accordance with the present invention, and since barrier layer 18 has a relatively large resistivity, its thickness should be minimized. However, the thickness of barrier layer 18 should be sufficiently large to mitigate copper out-diffusion and to provide complete bottom and sidewall coverage inside opening 16. Many CVD techniques and PVD techniques are well known to those of ordinary skill in the art for forming barrier layer 18.
  • conformal seed layer 20 is deposited over barrier layer 18.
  • Conformal seed layer 20 can be preferably deposited by using a CVD technique, but it can also be deposited by using an electroless technique or any other substantially conformal deposition technique. Many CVD techniques and electroless techniques are well known to those of ordinary skill in the art for forming conformal seed layer 20.
  • the thickness of conformal seed layer 20 can be in a range of about 5 ⁇ A to about 500 A, and more preferably in a range of about 100 A to about 300 A.
  • non- conformal seed layer 22 is deposited over conformal seed layer 20.
  • Non-conformal seed layer 22 can be preferably obtained using a PVD technique. Many PVD techniques are well known to those of ordinary skill in the art for forming non- conformal seed layer 22.
  • the thickness of non-conformal seed layer 22 can be in a range of about lOOA to about 3,000A, and more preferably in a range of about 500A to about 1 ,800A (in the field).
  • the conformal and non- conformal seed layers may comprise the same material, or they may comprise different materials.
  • copper is commonly used as a seed layer, a highly conductive silver (Ag) layer can also be used.
  • Ag has lower resistivity than that of Cu and, therefore, can be formed with a smaller thickness than that required when using Cu.
  • conformal seed layer 20 and non-conformal seed layer 22 may comprise, for example, a material selected from Cu, Ag, or alloys comprising one or more of these metals.
  • the thickness of the CVD layers is substantially uniform over the entire surface (i.e., conformal), including over field 14, and over bottom and sidewall surfaces inside opening 16.
  • the best conformal CVD layers are thicker over the field than inside the openings.
  • CVD Cu seed layers inside openings it is quite common for CVD Cu seed layers inside openings to have a thickness of about 80% of that over the field.
  • the thickness of a CVD barrier layer inside the openings is typically only about 50% of that over the field. Thus, even the best CVD layers exhibit some overhang at the top corners of the openings.
  • one deposits, by a CVD technique, a barrier layer comprised of about 200A of TaNx or WNx, then one deposits, by a CVD technique, a conformal seed layer comprised of about 300 A of Cu, finally one deposits, by a PVD technique, a non- conformal seed layer comprised of about 900 A of Cu (as measured on the field).
  • the inventive "two-step" seed layer deposition ensures a continuous seed layer having excellent step coverage, and a low-resistance electrical path on the field to ensure uniform copper plating across the wafer.
  • the thickness of the "two-step” seed layer inside the openings is adequate for copper plating therein.
  • the thickness of the "two-step” seed layer inside the openings can be further decreased (to a range from about 100A to about 200A) to enable void-free copper filling of even smaller openings (for example, below 0.10 - 0.13 ⁇ m).
  • the combined thicknesses of the barrier and seed layers at the sidewalls of the openings is about 400A on each side, thus occupying about 800 A of the 1,800 A opening. This leaves enough room (-1,000 A) to facilitate electroplating inside the opening without sealing or pinching-off of the top corners.
  • substrate 10 is placed in a copper electroplating bath, and electroplating is carried out in accordance with any one of a number of methods that are well known to those of ordinary skill in the art to deposit a thickness of copper sufficient to fill patterned opening 16, with some excess, and to cover field 14 surrounding opening 16.
  • excess plated copper overlying opening 16 and overlying field 14, as well as seed layers 20 and 22 and barrier layer 18 overlying field 14, are removed using any one of a number of techniques that are well known to those of ordinary skill in the art, for example, using a mechanical polishing or a chemical mechanical polishing (CMP) technique.
  • CMP chemical mechanical polishing
  • removal techniques such as wet or dry etching techniques may also be used to remove excess plated copper overlying opening 16 and field 14, and to remove seed layers 20 and 22 and barrier metallic layer 18 overlying field 14. It should be clear to those of ordinary skill in the art that removal may also be accomplished using a combination of techniques, including those identified above.
  • electrofill opening 16 with any low resistivity material, such as a material selected from Cu, Ag, or an alloy comprising one or more of these metals.
  • silver (Ag) has lower resistivity than that of Cu, and may be attractive for further reducing the dimensions of the interconnects.
  • FIG. 2 shows a cross-sectional view of the inventive structure of FIG. 1 after removing excess plated copper (or silver) 24 overlying opening 16 and field 14, and removing seed layers 20 and 22 and barrier layer 18 overlying field 14 surrounding opening 16.
  • FIG. 2 illustrates the filling of openings (trenches and vias) with electroplated copper (or silver) 24, as well as the lining of the bottom and sidewall surfaces of opening 16 by barrier layer 18 and seed layers 20 and 22.
  • all metallic layers were removed from field 14 of insulating layer 12 which surrounds embedded electroplated copper (or silver) interconnect 24.
  • FIG. 1 shows a cross-sectional view of the inventive structure of FIG. 1 after removing excess plated copper (or silver) 24 overlying opening 16 and field 14, and removing seed layers 20 and 22 and barrier layer 18 overlying field 14 surrounding opening 16.
  • FIG. 2 illustrates the filling of openings (trenches and vias) with electroplated copper (or silver) 24, as well as the lining of the bottom and sidewall surfaces of opening 16
  • FIG. 3 shows a cross-sectional view of an inventive structure formed in accordance with an alternative embodiment of the present invention wherein a first, non-conformal seed layer is deposited over a barrier layer, followed by a second, conformal seed layer deposited over the first, non-conformal seed layer.
  • the non- conformal seed layer provides a low resistance electrical path over the top surface (field) surrounding the openings to enable uniform plating across the substrate (or wafer), while the conformal seed layer provides continuous and complete step coverage inside the openings.
  • barrier layer 118 is deposited over the entire surface of wafer 110, including over patterned insulating layer 112 (having had opening 116 patterned therein in accordance with any one of a number of methods that are well known to those of ordinary skill in the art), using a conformal Chemical Vapor Deposition ("CVD”) technique.
  • CVD Chemical Vapor Deposition
  • barrier layer includes examples wherein: (a) the barrier layer acts both as an adhesion layer and as a barrier layer; (b) a barrier layer separate from an adhesion layer is used; and (c) a multiplicity of layers is used, some acting as adhesion layers, some acting as barrier layers, or some acting as both.
  • wafer is used, this also includes the term substrate as it is used in the art.
  • present invention is described in the context of opening 116, in practice, a multiplicity of openings are patterned and filled in accordance with the present invention.
  • barrier layer 118 ensures complete and continuous coverage of the bottom and sidewall surfaces inside opening 116.
  • barrier layer 118 may also be deposited using a
  • barrier layer 118 may comprise, for example and without limitation, a material selected from Ta, TaNx, Cr, CrNx, Ti, TiNx, W, WNx, and other alloys containing one or more of these materials. Further, the thickness of barrier layer 118 can be in a range of about 3 ⁇ A to about
  • barrier layer 118 occupies a certain fraction of interconnects formed in accordance with the present invention, and since barrier layer 118 has a relatively large resistivity, its thickness should be minimized. However, the thickness of barrier layer 118 should be sufficiently large to mitigate copper out-diffusion and to provide complete bottom and sidewall coverage inside opening 116. Many CVD techniques and PVD techniques are well known to those of ordinary skill in the art for forming barrier layer 118.
  • non-conformal seed layer 126 is deposited over barrier layer 118.
  • Non-conformal seed layer 126 can be preferably obtained using a PVD technique. Many PVD techniques are well known to those of ordinary skill in the art for forming non-conformal seed layer 126.
  • the thickness of non-conformal seed layer 126 can be in a range of about 100 A to about 3, 000 A, and more preferably in a range of about 500A to about l,80 ⁇ A (on the field).
  • conformal seed layer 128 is deposited over non-conformal seed layer 126.
  • Conformal seed layer 128 can be preferably obtained using a CVD or electroless technique or any other substantially conformal deposition technique.
  • conformal seed layer 128 can be in a range of about 50 A to about 500 A, and more preferably in a range of about lOOA to about 30 ⁇ A.
  • the conformal and non- conformal seed layers may comprise the same material, or they may comprise different materials.
  • copper is commonly used as a seed layer, a highly conductive silver (Ag) layer can also be used.
  • Non-conformal seed layer 126 and conformal seed layer 128 may comprise, for example, a material selected from Cu, Ag, or alloys comprising one or more of these metals.
  • substrate 110 is placed in a copper electroplating bath, and electroplating is carried out in accordance with any one of a number of methods that are well known to those of ordinary skill in the art to deposit a thickness of copper sufficient to fill patterned opening 116, with some excess, and to cover field 114 surrounding opening 116.
  • excess plated copper overlying opening 116 and field 114 of insulating layer 112, as well as seed layers 126 and 128 and barrier layer 118 overlying field 114 are removed using any one of a number of techniques that are well known to those of ordinary skill in the art, for example, using a mechanical polishing or a chemical mechanical polishing (CMP) technique.
  • CMP chemical mechanical polishing
  • removal techniques such as wet or dry etching techniques may also be used to remove excess plated copper overlying opening 116 and field 114, and to remove seed layers 126 and 128 and barrier layer 118 overlying field 114. It should be clear to those of ordinary skill in the art that removal may also be accomplished using a combination of techniques, including those identified above.
  • FIG. 4 shows a cross-sectional view of the inventive structure of FIG. 3 after removing excess electroplated copper (or silver) 130 overlying opening 116 and field 114, and removing seed layers 126 and 128 and barrier layer 118 overlying field 114 surrounding opening 116.
  • FIG. 4 shows a cross-sectional view of the inventive structure of FIG. 3 after removing excess electroplated copper (or silver) 130 overlying opening 116 and field 114, and removing seed layers 126 and 128 and barrier layer 118 overlying field 114 surrounding opening 116.
  • FIG. 4 illustrates the filling of openings (trenches and vias) with electroplated copper (or silver) 130, as well as the lining of the bottom and sidewall surfaces of opening 116 by barrier layer 118 and seed layers 126 and 128. As shown in FIG. 4, all metallic layers were removed from field 114 of insulating layer 112 which surrounds embedded electroplated copper (or silver) interconnect 130.
  • Example 2
  • FIGs. 5 and 6 show scanning electron microscope ("SEM") photographs of a cross-section of a 0.10 ⁇ m wide trench having a Cu seed layer prepared in accordance with a preferred embodiment of the invention.
  • SEM scanning electron microscope
  • a pattern of trenches was formed in a SiO insulating layer.
  • the trenches were about 0.10 ⁇ m wide and about 1.4 ⁇ m deep (thereby having an aspect ratio of about 14:1).
  • a barrier layer (WNx) was deposited using a CVD technique.
  • a relatively thin, conformal Cu seed layer was deposited using a CVD technique.
  • the barrier layer and thin, conformal Cu seed layer is seen at 501 in FIG. 6.
  • the combined thickness of the barrier and the CVD Cu seed layer was about 500 A on the field, and about 400-500 A on the sidewalls and bottom of the trenches.
  • a non-conformal PVD Cu seed layer having a thickness of about 1,400 A (on the field) was deposited by sputtering.
  • the non conformal PVD Cu seed layer was applied in two steps and is seen at 510 in FIG. 6. The end result, as shown in FIGs. 5 and 6, was a combined thickness (including the barrier and the Cu seed layers) of only about 400-500A on the sidewalls and bottom of the trench (with excellent continuity and uniformity there), and about 1,900A on the field, without pinching-off of the trench.
  • FIG. 5 shows the cross-section with a tilt of about 30° and an enlargement of 20,000X (thus providing also a partial view of the top surface)
  • FIG. 6 shows the same cross-section with an enlargement of 40,000X and without a tilt.
  • trenches -0.10 ⁇ m wide and -1.4 ⁇ m deep were formed in a SiO insulating layer.
  • a barrier layer (WNx) was deposited using a CVD technique.
  • a relatively thin, conformal Cu seed layer was deposited using a CVD technique.
  • the combined thickness of the barrier layer and the CVD Cu layer was -500 A on the field, and -400- 500 A on the sidewalls and bottom of the trenches.
  • a non-conformal PVD Cu seed layer having a thickness of -500 A (on the field) was deposited by sputtering.
  • a relatively thin (“Flash”) PVD seed layer can be deposited first, followed by a conformal CVD or electroless seed layer, and finally followed by a (relatively thick) PVD seed layer to produce three separately deposited seed layers.
  • Adhesion of a metallo-organic CVD (MOCVD) deposited Cu seed layer to underlying barrier layer is rather poor, and may not be adequate for use in devices when chemical mechanical polishing (CMP) processing follows Cu plating.
  • CMP chemical mechanical polishing
  • MOCVD Cu layer is deposited directly over a barrier layer containing a refractory metal, further problems arise. In particular, the morphology, uniformity, and electrical resistivity of the MOCVD Cu layer may not be adequate for use in devices. It is believed that these problems are due to the high affinity of the refractory metal in the barrier layer to oxygen and/or carbon atoms.
  • the refractory metal of the barrier layer spontaneously reacts with carbon or oxygen containing species (from the organic part of the metallo-organic compound) to form an oxide, carbide, or a mixed oxide- carbide interfacial layer between itself and the depositing Cu.
  • carbon or oxygen containing species from the organic part of the metallo-organic compound
  • Such an intermediate layer adversely impairs the adhesion of the MOCVD Cu layer.
  • Cu (as well as other noble metals) does not adhere well to oxide or carbide layers, and requires a clean metal -to-metal bond in order to adhere well to another metal.
  • the oxide, carbide, and/or oxide-carbide interfacial layer impairs proper nucleation of the
  • MOCVD Cu on the refractory metal barrier layer. This adversely affects the morphology, uniformity, and resistivity of the deposited MOCVD Cu seed layer.
  • At least an initial stage of CVD Cu deposition is carried out utilizing high purity, inorganic Cu compounds (precursors), such as, for example and without limitation, chlorides or fluorides, which do not contain oxygen or carbon atoms.
  • precursors such as, for example and without limitation, chlorides or fluorides, which do not contain oxygen or carbon atoms.
  • the resulting clean metal-to- metal interface between a barrier layer containing a refractory metal and the depositing copper ensures good adhesion, morphology, uniformity, and low electrical resistivity of the CVD Cu layer.
  • the entire CVD Cu layer can be deposited using the inorganic precursors.
  • only the initial stage of the CVD Cu is carried out using inorganic precursors, switching later to an MOCVD Cu deposition process, to form the rest of the CVD Cu layer.
  • a first, relatively thin, "Flash” PVD seed layer is deposited to enhance adhesion to the barrier layer and/or to improve grain morphology and uniformity of a subsequently deposited CVD seed layer.
  • conformal and non-conformal seed layers are deposited in an apparatus where the conformal and non-conformal seed layer deposition steps can be carried out without breaking vacuum, or without exposing the wafer to the atmosphere between the deposition steps.
  • the apparatus may comprise two or more chambers, at least one chamber for deposition of the conformal seed layer, and at least another chamber for deposition of the non-conformal seed layer.
  • the apparatus further comprises a chamber for deposition of the barrier layer, preferably by a CVD technique.
  • the barrier layer may be deposited in a separate chamber or it may be deposited in one of the chambers used to deposit either the conformal, or the non-conformal, seed layers.
  • FIG. 7 shows apparatus 7000 that is fabricated in accordance with a preferred embodiment of the invention.
  • apparatus 7000 comprises cluster tool 70 which operates in accordance with input from controller 80 in a manner that is well known to those of ordinary skill in the art.
  • cluster tool 70 includes input loadlock 71 and output loadlock 72.
  • loadlocks 71 and 72 enable wafers to be inserted into and removed from cluster tool 70, respectively.
  • FIG. 7 shows separate input and output loadlocks, it is also within the spirit and scope of the present invention to use a single loadlock for both input and output of wafers.
  • cluster tool 70 comprises CVD barrier layer deposition chamber 76, PVD Cu seed layer deposition chamber 77, and CVD Cu seed layer deposition chamber 78.
  • FIG. 7 shows several other processing, for example, processing chambers 75 and 79, which can be used for other processing steps that are well known to those of ordinary skill in the art, such as pre-cleaning, cooling, or as extra deposition chambers.
  • FIG. 7 shows separate CVD chambers for depositing a barrier layer and Cu seed layers, it is also within the scope of the invention to deposit both types of layers in the same CVD chamber.
  • Controller 80 is apparatus which is well known to those of ordinary skill in the art that is used to control the operation of cluster tool 70. As such, controller 80 determines the sequence and duration of movements and stays of wafer 74: (a) to and from loadlocks 71 and 72; and (b) to and from the various processing chambers 75-79.
  • controller 80 controls the specific process sequence and process parameters for operation of the various ones of processing chambers 75-79, sometimes referred to in the art as "recipes.”
  • controller 80 controls the duration of the sputter deposition, the background pressure, the sputtering gas (such as Argon) pressure and flow rate, the cathodic voltage and power, and/or bias voltage applied to the wafer.
  • controller 80 performs these functions in accordance with specific recipes which are data structures that dictate the operation of controller 80 software.
  • the data structures are typically stored on computer readable media that are input to controller 80 under the control of operation software, which operation software itself is typically stored on a computer readable medium.
  • recipes are input to controller 80 to cause it to control cluster tool 70 to process wafers in the manner described above to deposit a Cu barrier layer and Cu seed layers without breaking vacuum or exposing a wafer to the atmosphere.
  • the apparatus comprises a chamber in which both conformal and non-conformal seed layers are deposited utilizing: (a) two or more distinct steps, wherein the deposition variables (or conditions or parameters) during the first step are suitable for the deposition of a substantially conformal (or a non-conformal) seed layer, and the deposition conditions during the second step are suitable for the deposition of a substantially non-conformal (or a conformal) seed layer; (b) wherein at least one of the deposition variables is varied (or ramped) continuously or gradually, thereby changing the nature of the seed layer from substantially conformal to substantially non-conformal, or vice versa; or (c) a combination of at least one distinct step of depositing a substantially conformal (or a non-conformal) seed layer and at least one gradual variation (or ramping) of at least one deposition variable towards a substantially non-conformal (or a conformal) seed layer, and vice versa.
  • the nature of certain deposition techniques can be made more conformal, or less conformal, by varying the deposition parameters (or variables, or conditions). For example, increasing the (partial) pressure during ion plating and other PVD techniques, tends to increase scattering of the depositing atoms (or ions), thereby making the deposition more isotropic and conformal.
  • biasing the substrate has a effect on the nature of the deposit. For example, in ionized metal plasma (IMP) and ion plating, increasing the (negative) bias voltage further accelerates positive ions (of the depositing metal) towards the substrate, thereby improving the filling of small openings.
  • the higher (negative) bias also increases the removal rate (or back-sputtering) from the top corners of the openings and the field, thereby rendering the deposition to be more conformal.
  • the nature of certain CVD techniques can be made less conformal, or more conformal, by changing the deposition variables. For example, increasing the substrate temperature tends to shift the deposition from a surface-reaction, rate-controlled deposition at low temperature, to a transport, rate-controlled deposition at higher temperature. As a result, increasing the substrate temperature tends to render the deposition to be less conformal. Conversely, decreasing the temperature, tends to render the deposition to be more conformal. Similarly, increasing the precursor and/or the reacting gas partial pressure (or flow rate) tends to shift the deposition to be a more surface-reaction, rate- controlled deposition, thereby tending to render the deposition to be more conformal.
  • PECVD plasma variables in PECVD, such as the power density, may also have significant effects on the nature of the deposition.
  • cluster tool 70 comprises the following chambers: a CVD deposition chamber for depositing a barrier layer (for example, Ta, TaN x , W, or WN X ); a PVD deposition chamber for depositing a PVD Cu seed layer; and a CVD deposition chamber for depositing a CVD Cu seed layer.
  • a barrier layer for example, Ta, TaN x , W, or WN X
  • PVD deposition chamber for depositing a PVD Cu seed layer
  • CVD deposition chamber for depositing a CVD Cu seed layer.
  • Single wafers are transferred in-situ in cluster tool 70, from one chamber to another, without exposing the wafers to the atmosphere prior to the deposition of the top Cu seed layer.
  • the CVD barrier and the CVD Cu seed layers can be deposited in the same CVD chamber by using different gases and chemistries for the respective layers.
  • cluster tool controller 80 would cause a deposition process such as the following to be carried out in accordance with a recipe specified, for example in the form of a data structure or software or program code: (a) (in accordance with a first portion of the data structure or a first portion of the software or computer code) introducing wafer 74 into CVD barrier layer deposition chamber 76 and depositing on wafer 74 a CVD barrier layer (about 200-400A thick) comprising TaN x or WN X ; (b) (in accordance with a second portion of the data structure or a first portion of software or computer code) transferring wafer 74 through transfer chamber 73, without exposing wafer 74 to the atmosphere, to PVD Cu seed layer deposition chamber 77 and depositing on wafer 74 a relatively thin (about 100-500 A) "Flash" PVD Cu layer;
  • a three-step combination may include a first deposited CVD seed layer, followed by a relatively thick PVD seed layer, and finally followed by a second deposited CVD seed layer.
  • Other combinations may comprise even more steps in the deposition of the seed layer.
  • the three (or more) separately deposited seed layers may comprise the same metal or alloy or they may comprise, for example and without limitation, different materials chosen from Cu, Ag, or alloys comprising one or more of these metals.

Abstract

One embodiment of the present invention is a method for making metallic interconnects including: (a) forming a patterned insulating layer on a substrate, the patterned insulating layer including at least one opening and a field surrounding the at least one opening; (b) depositing a barrier layer over the field and inside surfaces of the at least one opening; (c) depositing a first seed layer over the barrier layer using a first deposition technique; (d) depositing a second seed layer over the first seed layer using a second deposition technique, the first and second deposition techniques being different; and (e) electroplating a metallic layer over the second seed layer, the electroplated metallic layer including a material selected from a group consisting of Cu, Ag, or alloys including one or more of these metals.

Description

Seed Layers for Interconnects and Methods and Apparatus for Their Fabrication This is a continuation-in-part of a patent application entitled "Seed Layers for Interconnects and Methods for Their Fabrication" which was filed on October 2, 1999, Ser. No. 09/410,898. Technical Field of the Invention
The present invention pertains to the field of electroplating metals or alloys for filling high aspect ratio openings, such as trenches and vias, for semiconductor metallization interconnects, thin film heads, or micromachined Microelectromechanical Systems (MEMS) devices. In particular, embodiments of the present invention provide improved seed layers for electroplating copper or silver interconnects in semiconductor devices, and methods and apparatus for fabricating such improved seed layers. The improved seed layers facilitate reliable, void-free filling of small openings with high aspect ratios for so called "Damascene" and "Dual Damascene" copper and/or silver interconnects. Background of the Invention
As is well known in the prior art, filling trenches and/or vias formed on a wafer by electroplating copper metal to form semiconductor device interconnects (often referred to as a "Damascene" or a "Dual Damascene" process) requires that a metallization layer (often referred to in the art as a seed layer or a base layer) be formed over the wafer surface. As is also well known in the prior art, the seed layer is required: (a) to provide a low-resistance electrical path (to enables uniform electroplating over the wafer surface); (b) to adhere well to the wafer surface (usually to an oxide-containing a dielectric film such as SiO , SiOχ, or SiOχNγ); and (c) to be compatible with subsequent electroplating copper thereon. As is well known, the requirement of providing a low-resistance electrical path is fulfilled by choosing the seed layer to be comprised of an adequately thick, low-resistivity material.
As is further well known, since copper has a rather poor adhesion to oxide surfaces, the requirement of adhering well to the wafer surface is typically fulfilled by disposing an intermediary barrier (or adhesion) metallic layer having a strong affinity for oxygen atoms under the seed layer. As is well known in the prior art, the barrier metallic layer is formed prior to the seed layer to provide good adhesion: (a) to the oxide surface underneath it (the barrier layer provides good adhesion to the oxide surface by sharing oxygen atoms) and (b) to the seed layer above it (the barrier metallic layer provides good adhesion to the seed layer by metal to metal bonds). The barrier layer is often also referred to as an "adhesion layer" or a "liner". In addition to providing good adhesion, the barrier layer also serves to mitigate copper out-diffusion directly into the device, or indirectly (through an insulating or a dielectric layer) into the device. As is well known in the prior art, the barrier layer is usually chosen from the refractory metals or their alloys, such as for example, Ta, TaNx, Cr, CrNx, Ti,
TiNχ5 W, WNx, and other alloys containing one or more of these materials.
As is still further well known, the requirement of being compatible with electroplating copper is fulfilled by choosing a seed layer that does not react spontaneously (i.e., by displacement) with copper electrolyte used during the electroplating. This is satisfied by requiring that the seed layer does not comprise a metal or alloy that is less noble than copper.
Typically, a seed layer comprises a copper layer that is deposited by a "dry" technique, such as by physical vapor deposition ("PVD"), including but not limited to sputtering, ion plating, or evaporation, or by chemical vapor deposition ("CVD"). However, the seed layer may also be deposited by a "wet" electroless plating process. In such cases, the copper seed layer thickness is typically in a range of about 300 A to about 2,000 A on the field (i.e., the top surface of the wafer outside trenches and via openings). In such cases, the barrier layer is typically deposited to a thickness of about 50A to about 50θA (on the field) by either a PVD or a CVD technique.
The PVD techniques include, for example and without limitation, techniques such as evaporation, ion plating, and various sputtering techniques, such as DC and/or RF plasma sputtering, bias sputtering, magnetron sputtering, or Ionized Metal Plasma (IMP) sputtering. As is well known in the art, in general, due to their anisotropic and directional ("line of sight") nature, the PVD techniques produce non- conformal deposition. For a comprehensive description of sputtering techniques and their applications, see for example an article entitled "Sputter Deposition Processes" by R. Parsons, pp. 177-208 in Thin Film Processes IL edited by J. L. Vosen and W. Kern, Academic Press (1991). However, some of the PVD techniques (such as ion plating) may produce, under certain conditions, a relatively more conformal deposition. For a comprehensive description of the ion plating technique and its applications, see for example an article entitled "The Cathodic Arc Plasma Deposition of Thin Films" by P. C. Johnson, pp. 209-285 in Thin Film Processes II. edited by J. L. Vosen and W. Kern, Academic Press (1991). The CVD techniques include, for example and without limitation, thermal CVD, Plasma Enhanced CVD ("PECVD"), Low Pressure CVD ("LPCVD"), High Pressure CVD ("HPCVD"), and Metallo Organic CVD
("MOCVD"). For a comprehensive description of CVD techniques and their applications, see for example an article entitled "Thermal Chemical Vapor Deposition" by K. F. Jensen and W. Kern, pp. 283-368 in Thin Film Processes IL edited by J. L. Vosen and W. Kern, Academic Press (1991). For example, one precursor used for CVD Cu is Cupraselect™, which precursor is sold by Schumacher, Inc. Another precursor is Cu(II) hexafluoroacetylacetonate. The latter can be reacted with hydrogen gas to obtain high purity copper. As is well known in the art, in general, due to their isotropic and non-directional nature, the CVD and the electroless techniques produce conformal deposition, with substantially uniform thickness over the entire surface, including over the field and the bottom and sidewall surfaces of the openings.
Aspect ratio ("AR") is typically defined as a ratio between a vertical dimension, D (depth), of an opening and its smallest lateral dimension, W (width, or diameter): AR = D/W. Usually, in electroplating metals or alloys to fill patterns having high aspect ratio openings (for example, in an insulator or a dielectric), the electroplating rate inside openings is slower than the rate outside openings (i.e., on the field). Further, the higher the AR of the openings, the slower the electroplating rate is inside. This results in poor or incomplete filling (voids) of high AR openings, when compared with results achieved with low AR openings. To overcome this problem in the prior art, commercial copper electrolytes contain additives that adsorb and locally inhibit (or suppress) growth outside the openings (i.e., on the field). Further, growth inhibition inside the openings is decreased from that achieved outside the openings due to slow replenishment of the additives inside the openings as compared with replenishment of the additives on the field. As a result, the deposition rate inside the openings is faster than outside, thereby facilitating void-free copper fill. Other well known reasons for voids in copper electrofill include discontinuous (or incomplete coverage of) seed layers inside the openings, and pinching-off of opening walls (for example, by overhangs of the top corners) prior to plating.
The openings may consist of vias, trenches, or patterned photoresist. As is well known, in damascene or dual damascene processes, an insulating or a dielectric layer is pattern-etched to form openings therein. Next, a barrier (or an adhesion) metallic layer and a seed layer are deposited over the insulating layer to metallize its field (the surface surrounding openings), as well as the sidewalls and bottom surfaces of the openings. Next, copper electroplating is performed over the entire metallized surface, including the top surface (the field) surrounding the openings, and inside the patterned openings. Finally, excess plated copper overlying the openings and the top surface (the field) of the insulating layer, as well as the barrier and seed layers on the field, are removed, for example, by a mechanical polishing or by a chemical mechanical polishing ("CMP") technique. The end result is copper filled openings (trenches and vias), including bottom and sidewall surfaces lined by the barrier and seed layers. In today's most advanced copper filling processes for trenches and vias, the openings have ARs as high as 5:1 (D = 1.25μm; W = 0.25μm). Future trenches and vias openings will likely require W = 0.10 - 0.18μm, or narrower, and AR = 6:1 - 15:1, or larger.
As semiconductor device dimensions continue to shrink, there is an ever increasing demand for narrower interconnect cross-sections and, thus, smaller openings and larger aspect ratios (AR) during the copper electrofill. To ensure void-free copper filling, the seed layer inside the openings must completely cover the bottom and the sidewall surfaces inside the openings without discontinuities, or else there will be voids in the copper electrofill. On the other hand, the seed layer must not be so thick on the sidewalls that it pinches-off the very narrow openings and should not overhang the top corners of the openings so that it pinches-off the very small openings.
Similarly, the barrier layer must also be continuous inside the openings. In contrast to these requirements with respect to the openings, the seed layer must be sufficiently thick on the top surface (the field) to provide a low-resistive electrical path that facilitates uniform plating across the surface of the wafer. That is, the seed layer must be sufficiently thick (for example, a Cu seed layer should preferably be at least about 1,000 A) on the field to avoid radial non-uniformity across the wafer caused by a voltage (or IR) drop between a contact at the edge of the wafer to the center of the wafer. Any voltage drop (and resulting non-uniformity therefrom) becomes more severe as the resistance of the seed layer increases due to high resistivity and/or insufficient thickness. To ensure a sufficiently low-resistance seed layer, it is now common to deposit a copper seed layer to a thickness of about 1,000 A to about 2,000A on the top surface (field) by a PVD technique. On the other hand, the typical thickness of about 300A to about 1,000A (on the field), deposited by the CVD techniques, may not be sufficient.
However, neither of these techniques satisfies all of the above-identified requirements. The non-conformal PVD techniques, while providing adequate thickness on the field, fail to provide continuous and complete step coverage inside very narrow openings with large AR. They also result in substantial overhangs at the top corners of the openings. The conformal CVD or electroless techniques, on the other hand, while providing continuous and complete step coverage of the seed layer inside very narrow openings, pinch-off the small openings when used at thicknesses required on the field for a low-resistance electrical path. As a result, typical conformal CVD or electroless seed layers are too thin on the field and too thick inside the very narrow openings.
As one can readily appreciate from the above, a need exists in the art for a method and apparatus to produce a continuous seed layer on the sidewalls and bottom of the openings, while maintaining sufficient thickness on the field to facilitate void- free copper electrochemical filling of very narrow openings having high aspect ratios. Summary of the Invention
Embodiments of the present invention advantageously satisfy the above- identified need in the art and provide a method and apparatus to produce seed layers used to produce void-free copper or silver electrochemical filling of small openings having high aspect ratios.
One embodiment of the present invention is a method for making metallic interconnects comprising: (a) forming a patterned insulating layer on a substrate, the patterned insulating layer including at least one opening and a field surrounding the at least one opening; (b) depositing a barrier layer over the field and inside surfaces of the at least one opening; (c) depositing a first seed layer over the barrier layer using a first deposition technique; (d) depositing a second seed layer over the first seed layer using a second deposition technique, the first and second deposition techniques being different; and (e) electroplating a metallic layer over the second seed layer, the electroplated metallic layer comprising a material selected from a group consisting of Cu, Ag, or alloys comprising one or more of these metals.
In another embodiment of the invention, a substantially conformal and a substantially non-conformal seed layers are deposited in a single tool, without breaking vacuum, or without exposing the wafer to the atmosphere between the deposition of the two seed layers. The single deposition tool may comprise two or more chambers, at least one chamber for the deposition of the conformal seed layer and at least another chamber for the deposition of the non-conformal seed layer.
In yet another embodiment, a single deposition tool comprises a single chamber in which both the conformal and the non-conformal seed layers are deposited utilizing either: (a) two or more distinct steps, wherein the deposition conditions (or parameters) during the first step are suitable for the deposition of a substantially conformal (or a non-conformal) seed layer and the deposition conditions during the second step are suitable for the deposition of a substantially non-conformal (or a conformal) seed layer, or (b) wherein the deposition conditions are varied continuously or gradually, thereby changing the nature of the seed layer from substantially conformal to substantially non-conformal, or vice versa, or (c) a combination of at least one distinct step and at least one gradual variation of the deposition conditions. Brief Description of the Figures FIG. 1 shows a cross-sectional view of an inventive structure formed in accordance with a preferred embodiment of the present invention wherein a first, conformal seed layer is deposited over a barrier layer, followed by a second, non- conformal seed layer deposited over the first, conformal seed layer;
FIG. 2 shows a cross-sectional view of the inventive structure of FIG. 1 after removing excess plated copper or silver overlying an opening and the field, as well as removing the seed layers and barrier layer overlying the field surrounding the opening;
FIG. 3 shows a cross-sectional view of an inventive structure formed in accordance with an alternative embodiment of the present invention wherein a first, non-conformal seed layer is deposited over a barrier layer, followed by a second, conformal seed layer deposited over the first, non-conformal seed layer;
FIG. 4 shows a cross-sectional view of the inventive structure of FIG. 3 after removing excess plated copper or silver overlying an opening and the field, as well as removing the seed layers and barrier layer overlying the field surrounding the opening; FIG. 5 shows a scanning electron microscope ("SEM") photograph of a cleaved cross-section (with a tilt angle of 30°) of a trench (the trench is -O.lOμm wide, ~1.4μm deep, and has an aspect ratio of -14:1) having seed layers formed in accordance with one embodiment of the present invention;
FIG. 6 shows an SEM photograph of the trench shown in FIG. 5 without a tilt, and with a larger enlargement; and
FIG. 7 shows a schematic (not to scale) top view of a cluster tool apparatus, and a frontal view of its controller (e.g. computer), in accordance with a preferred embodiment of the invention. Detailed Description FIG. 1 shows a cross-sectional view of an inventive structure formed in accordance with a preferred embodiment of the present invention wherein a first, conformal seed layer is deposited over a barrier layer, followed by a second, non- conformal seed layer deposited over the first, conformal seed layer. The conformal seed layer provides continuous and complete step coverage inside the openings, while the non-conformal seed layer provides a low resistance electrical path over the top surface (field) surrounding the openings to enable uniform plating across the substrate (or wafer). To enable the uniform plating, it is preferable that the thickness of the combined seed layers be at least about 1,000A on the field.
In accordance with the preferred embodiment of the inventive method of the present invention, barrier layer 18 is deposited over the entire surface of wafer 10, including over patterned insulating layer 12 (having had opening 16 patterned therein in accordance with any one of a number of methods that are well known to those of ordinary skill in the art), using a conformal Chemical Vapor Deposition ("CVD") technique. Although the term barrier layer is used, it should be understood by those of ordinary skill in the art that the term barrier layer includes examples wherein: (a) the barrier layer acts both as an adhesion layer and as a barrier layer; (b) a barrier layer separate from an adhesion layer is used; and (c) a multiplicity of layers is used, some acting as adhesion layers, some acting as barrier layers, or some acting as both. Further, although the term wafer is used, this also includes the term substrate as it is used in the art. Still further, although the present invention is described in the context of opening 16, in practice, a multiplicity of openings are patterned and filled in accordance with the present invention.
Advantageously, in accordance with the present invention, the use of a CVD technique to deposit barrier layer 18 ensures substantially complete and continuous coverage of the bottom and sidewall surfaces inside opening 16. However, it is within the scope of the present invention that barrier layer 18 may also be deposited using a Physical Vapor Deposition ("PVD") technique that provides continuous bottom and sidewall coverage. In accordance with the present invention, barrier layer 18 may comprise, for example and without limitation, a material selected from Ta, TaNx, Cr, CrNx, Ti, TiNχ; W, WNx, and other alloys containing one or more of these materials. Further, the thickness of barrier layer 18 can be in a range of about
3θA to about 500A, and more preferably in a range of about 50A to about 300A. Since barrier layer 18 occupies a certain fraction of interconnects formed in accordance with the present invention, and since barrier layer 18 has a relatively large resistivity, its thickness should be minimized. However, the thickness of barrier layer 18 should be sufficiently large to mitigate copper out-diffusion and to provide complete bottom and sidewall coverage inside opening 16. Many CVD techniques and PVD techniques are well known to those of ordinary skill in the art for forming barrier layer 18.
Next, conformal seed layer 20 is deposited over barrier layer 18. Conformal seed layer 20 can be preferably deposited by using a CVD technique, but it can also be deposited by using an electroless technique or any other substantially conformal deposition technique. Many CVD techniques and electroless techniques are well known to those of ordinary skill in the art for forming conformal seed layer 20. The thickness of conformal seed layer 20 can be in a range of about 5θA to about 500 A, and more preferably in a range of about 100 A to about 300 A. Finally, non- conformal seed layer 22 is deposited over conformal seed layer 20. Non-conformal seed layer 22 can be preferably obtained using a PVD technique. Many PVD techniques are well known to those of ordinary skill in the art for forming non- conformal seed layer 22. The thickness of non-conformal seed layer 22 can be in a range of about lOOA to about 3,000A, and more preferably in a range of about 500A to about 1 ,800A (in the field).
In accordance with the present invention, the conformal and non- conformal seed layers may comprise the same material, or they may comprise different materials. Although copper is commonly used as a seed layer, a highly conductive silver (Ag) layer can also be used. In fact, Ag has lower resistivity than that of Cu and, therefore, can be formed with a smaller thickness than that required when using Cu.
Thus, conformal seed layer 20 and non-conformal seed layer 22 may comprise, for example, a material selected from Cu, Ag, or alloys comprising one or more of these metals.
Due to the non-directional, isotropic nature of CVD deposition techniques, the thickness of the CVD layers is substantially uniform over the entire surface (i.e., conformal), including over field 14, and over bottom and sidewall surfaces inside opening 16. In reality, however, even the best conformal CVD layers are thicker over the field than inside the openings. In fact, it is quite common for CVD Cu seed layers inside openings to have a thickness of about 80% of that over the field. In addition, the thickness of a CVD barrier layer inside the openings is typically only about 50% of that over the field. Thus, even the best CVD layers exhibit some overhang at the top corners of the openings. Example 1
The following presents an example of a preferred embodiment of the inventive method for 0.18μm wide vias or trenches. In accordance with the preferred embodiment, one deposits, by a CVD technique, a barrier layer comprised of about 200A of TaNx or WNx, then one deposits, by a CVD technique, a conformal seed layer comprised of about 300 A of Cu, finally one deposits, by a PVD technique, a non- conformal seed layer comprised of about 900 A of Cu (as measured on the field). This will result in a total combined (including the barrier) thickness of about 400 A inside the openings: {Cu(PVD~5θA)/Cu(CVD~25θA)/TaNx(CVD~10θA)} and a total combined Cu seed layer and barrier layer thickness of about l,40θA on the field: {Cu(PVD~90θA)/Cu(CVD~30θA)/TaNx(CVD~20θA}. Advantageously, in accordance with the present invention, the inventive "two-step" seed layer deposition ensures a continuous seed layer having excellent step coverage, and a low-resistance electrical path on the field to ensure uniform copper plating across the wafer. It may be noted that although the combined thickness of the copper seed layers inside the openings is only about 300A, due to the very short distance to the field (typically about 1 μm), a voltage drop from the field to the inside of the openings is negligible. Thus, the thickness of the "two-step" seed layer inside the openings is adequate for copper plating therein. In fact, if necessary, the thickness of the "two-step" seed layer inside the openings can be further decreased (to a range from about 100A to about 200A) to enable void-free copper filling of even smaller openings (for example, below 0.10 - 0.13μm). In the above example, the combined thicknesses of the barrier and seed layers at the sidewalls of the openings is about 400A on each side, thus occupying about 800 A of the 1,800 A opening. This leaves enough room (-1,000 A) to facilitate electroplating inside the opening without sealing or pinching-off of the top corners.
After depositing seed layers 20 and 22 shown in FIG. 1, substrate 10 is placed in a copper electroplating bath, and electroplating is carried out in accordance with any one of a number of methods that are well known to those of ordinary skill in the art to deposit a thickness of copper sufficient to fill patterned opening 16, with some excess, and to cover field 14 surrounding opening 16. Finally, excess plated copper overlying opening 16 and overlying field 14, as well as seed layers 20 and 22 and barrier layer 18 overlying field 14, are removed using any one of a number of techniques that are well known to those of ordinary skill in the art, for example, using a mechanical polishing or a chemical mechanical polishing (CMP) technique. Other removal techniques, such as wet or dry etching techniques may also be used to remove excess plated copper overlying opening 16 and field 14, and to remove seed layers 20 and 22 and barrier metallic layer 18 overlying field 14. It should be clear to those of ordinary skill in the art that removal may also be accomplished using a combination of techniques, including those identified above.
Although the detailed description above refers to filling opening 16 by electroplating copper, it is within the scope of the present invention to electrofill opening 16 with any low resistivity material, such as a material selected from Cu, Ag, or an alloy comprising one or more of these metals. In fact, silver (Ag) has lower resistivity than that of Cu, and may be attractive for further reducing the dimensions of the interconnects.
FIG. 2 shows a cross-sectional view of the inventive structure of FIG. 1 after removing excess plated copper (or silver) 24 overlying opening 16 and field 14, and removing seed layers 20 and 22 and barrier layer 18 overlying field 14 surrounding opening 16. FIG. 2 illustrates the filling of openings (trenches and vias) with electroplated copper (or silver) 24, as well as the lining of the bottom and sidewall surfaces of opening 16 by barrier layer 18 and seed layers 20 and 22. As shown in FIG. 2, all metallic layers were removed from field 14 of insulating layer 12 which surrounds embedded electroplated copper (or silver) interconnect 24. FIG. 3 shows a cross-sectional view of an inventive structure formed in accordance with an alternative embodiment of the present invention wherein a first, non-conformal seed layer is deposited over a barrier layer, followed by a second, conformal seed layer deposited over the first, non-conformal seed layer. The non- conformal seed layer provides a low resistance electrical path over the top surface (field) surrounding the openings to enable uniform plating across the substrate (or wafer), while the conformal seed layer provides continuous and complete step coverage inside the openings.
In accordance with the alternative embodiment of the inventive method of the present invention, barrier layer 118 is deposited over the entire surface of wafer 110, including over patterned insulating layer 112 (having had opening 116 patterned therein in accordance with any one of a number of methods that are well known to those of ordinary skill in the art), using a conformal Chemical Vapor Deposition ("CVD") technique. Although the term barrier layer is used herein, it should be understood by those of ordinary skill in the art that the term barrier layer includes examples wherein: (a) the barrier layer acts both as an adhesion layer and as a barrier layer; (b) a barrier layer separate from an adhesion layer is used; and (c) a multiplicity of layers is used, some acting as adhesion layers, some acting as barrier layers, or some acting as both. Further, although the term wafer is used, this also includes the term substrate as it is used in the art. Still further, although the present invention is described in the context of opening 116, in practice, a multiplicity of openings are patterned and filled in accordance with the present invention.
Advantageously, in accordance with the present invention, the use of a CVD technique to deposit barrier layer 118 ensures complete and continuous coverage of the bottom and sidewall surfaces inside opening 116. However, it is within the scope of the present invention that barrier layer 118 may also be deposited using a
Physical Vapor Deposition ("PVD") technique that provides continuous bottom and sidewall coverage. In accordance with the present invention, barrier layer 118 may comprise, for example and without limitation, a material selected from Ta, TaNx, Cr, CrNx, Ti, TiNx, W, WNx, and other alloys containing one or more of these materials. Further, the thickness of barrier layer 118 can be in a range of about 3θA to about
500 A, and more preferably in a range of about 5θA to about 300 A. Since barrier layer 118 occupies a certain fraction of interconnects formed in accordance with the present invention, and since barrier layer 118 has a relatively large resistivity, its thickness should be minimized. However, the thickness of barrier layer 118 should be sufficiently large to mitigate copper out-diffusion and to provide complete bottom and sidewall coverage inside opening 116. Many CVD techniques and PVD techniques are well known to those of ordinary skill in the art for forming barrier layer 118.
Next, non-conformal seed layer 126 is deposited over barrier layer 118. Non-conformal seed layer 126 can be preferably obtained using a PVD technique. Many PVD techniques are well known to those of ordinary skill in the art for forming non-conformal seed layer 126. The thickness of non-conformal seed layer 126 can be in a range of about 100 A to about 3, 000 A, and more preferably in a range of about 500A to about l,80θA (on the field). Finally, conformal seed layer 128 is deposited over non-conformal seed layer 126. Conformal seed layer 128 can be preferably obtained using a CVD or electroless technique or any other substantially conformal deposition technique. Many CVD techniques and electroless techniques are well known to those of ordinary skill in the art for forming conformal seed layer 128. The thickness of conformal seed layer 128 can be in a range of about 50 A to about 500 A, and more preferably in a range of about lOOA to about 30θA. In accordance with the present invention, the conformal and non- conformal seed layers may comprise the same material, or they may comprise different materials. Although copper is commonly used as a seed layer, a highly conductive silver (Ag) layer can also be used. Non-conformal seed layer 126 and conformal seed layer 128 may comprise, for example, a material selected from Cu, Ag, or alloys comprising one or more of these metals.
After depositing seed layers 126 and 128 shown in FIG. 3, substrate 110 is placed in a copper electroplating bath, and electroplating is carried out in accordance with any one of a number of methods that are well known to those of ordinary skill in the art to deposit a thickness of copper sufficient to fill patterned opening 116, with some excess, and to cover field 114 surrounding opening 116. Finally, excess plated copper overlying opening 116 and field 114 of insulating layer 112, as well as seed layers 126 and 128 and barrier layer 118 overlying field 114, are removed using any one of a number of techniques that are well known to those of ordinary skill in the art, for example, using a mechanical polishing or a chemical mechanical polishing (CMP) technique. Other removal techniques, such as wet or dry etching techniques may also be used to remove excess plated copper overlying opening 116 and field 114, and to remove seed layers 126 and 128 and barrier layer 118 overlying field 114. It should be clear to those of ordinary skill in the art that removal may also be accomplished using a combination of techniques, including those identified above.
Although the detailed description above refers to filling opening 116 by electroplating copper, it is within the scope of this invention to electrofill opening 116 with any low resistivity material, such as a material selected from Cu, Ag, or alloys comprising one or more of these metals. In fact, silver (Ag) has lower resistivity than that of Cu, and may be attractive for further reducing the dimensions of the interconnects. FIG. 4 shows a cross-sectional view of the inventive structure of FIG. 3 after removing excess electroplated copper (or silver) 130 overlying opening 116 and field 114, and removing seed layers 126 and 128 and barrier layer 118 overlying field 114 surrounding opening 116. FIG. 4 illustrates the filling of openings (trenches and vias) with electroplated copper (or silver) 130, as well as the lining of the bottom and sidewall surfaces of opening 116 by barrier layer 118 and seed layers 126 and 128. As shown in FIG. 4, all metallic layers were removed from field 114 of insulating layer 112 which surrounds embedded electroplated copper (or silver) interconnect 130. Example 2
FIGs. 5 and 6 show scanning electron microscope ("SEM") photographs of a cross-section of a 0.10 μm wide trench having a Cu seed layer prepared in accordance with a preferred embodiment of the invention. In accordance with this embodiment, a pattern of trenches was formed in a SiO insulating layer. The trenches were about 0.10 μm wide and about 1.4 μm deep (thereby having an aspect ratio of about 14:1). Next, a barrier layer (WNx) was deposited using a CVD technique. Next, a relatively thin, conformal Cu seed layer was deposited using a CVD technique. The barrier layer and thin, conformal Cu seed layer is seen at 501 in FIG. 6. In accordance with this embodiment, the combined thickness of the barrier and the CVD Cu seed layer was about 500 A on the field, and about 400-500 A on the sidewalls and bottom of the trenches. Next, a non-conformal PVD Cu seed layer having a thickness of about 1,400 A (on the field) was deposited by sputtering. In this embodiment, the non conformal PVD Cu seed layer was applied in two steps and is seen at 510 in FIG. 6. The end result, as shown in FIGs. 5 and 6, was a combined thickness (including the barrier and the Cu seed layers) of only about 400-500A on the sidewalls and bottom of the trench (with excellent continuity and uniformity there), and about 1,900A on the field, without pinching-off of the trench. One should note that, while FIG. 5 shows the cross-section with a tilt of about 30° and an enlargement of 20,000X (thus providing also a partial view of the top surface), FIG. 6 shows the same cross-section with an enlargement of 40,000X and without a tilt. Example 3
Similar to Example 2 above, trenches -0.10 μm wide and -1.4 μm deep (thereby having an aspect ratio of -14: 1) were formed in a SiO insulating layer. Next, a barrier layer (WNx) was deposited using a CVD technique. Next, a relatively thin, conformal Cu seed layer was deposited using a CVD technique. The combined thickness of the barrier layer and the CVD Cu layer was -500 A on the field, and -400- 500 A on the sidewalls and bottom of the trenches. Next, a non-conformal PVD Cu seed layer having a thickness of -500 A (on the field) was deposited by sputtering.
The end result was a combined thickness (including the barrier layer and the Cu seed layers) of only about 400-500 A on the sidewalls and bottom of the trenches (with excellent continuity and uniformity), and about 1,000 A on the field, without pinching- off the trenches. It should be understood that the scope of the present invention is not limited to the embodiments described above with respect to FIG. 1 and FIG. 3. For example, in accordance with further embodiments of the present invention, a relatively thin ("Flash") PVD seed layer can be deposited first, followed by a conformal CVD or electroless seed layer, and finally followed by a (relatively thick) PVD seed layer to produce three separately deposited seed layers.
Adhesion of a metallo-organic CVD (MOCVD) deposited Cu seed layer to underlying barrier layer is rather poor, and may not be adequate for use in devices when chemical mechanical polishing (CMP) processing follows Cu plating. In addition, when an MOCVD Cu layer is deposited directly over a barrier layer containing a refractory metal, further problems arise. In particular, the morphology, uniformity, and electrical resistivity of the MOCVD Cu layer may not be adequate for use in devices. It is believed that these problems are due to the high affinity of the refractory metal in the barrier layer to oxygen and/or carbon atoms. Specifically, during the initial stages of MOCVD Cu deposition, the refractory metal of the barrier layer spontaneously reacts with carbon or oxygen containing species (from the organic part of the metallo-organic compound) to form an oxide, carbide, or a mixed oxide- carbide interfacial layer between itself and the depositing Cu. Such an intermediate layer adversely impairs the adhesion of the MOCVD Cu layer. Cu (as well as other noble metals) does not adhere well to oxide or carbide layers, and requires a clean metal -to-metal bond in order to adhere well to another metal. Similarly, the oxide, carbide, and/or oxide-carbide interfacial layer impairs proper nucleation of the
MOCVD Cu on the refractory metal barrier layer. This adversely affects the morphology, uniformity, and resistivity of the deposited MOCVD Cu seed layer.
In accordance with one embodiment of the present invention, at least an initial stage of CVD Cu deposition is carried out utilizing high purity, inorganic Cu compounds (precursors), such as, for example and without limitation, chlorides or fluorides, which do not contain oxygen or carbon atoms. The resulting clean metal-to- metal interface between a barrier layer containing a refractory metal and the depositing copper ensures good adhesion, morphology, uniformity, and low electrical resistivity of the CVD Cu layer. In a further embodiment, the entire CVD Cu layer can be deposited using the inorganic precursors. In a still further embodiment, only the initial stage of the CVD Cu is carried out using inorganic precursors, switching later to an MOCVD Cu deposition process, to form the rest of the CVD Cu layer.
In accordance with a still further embodiment of the present invention that solves the problems involved with the deposition of an MOCVD Cu layer on a barrier layer containing a refractory metal, a first, relatively thin, "Flash" PVD seed layer is deposited to enhance adhesion to the barrier layer and/or to improve grain morphology and uniformity of a subsequently deposited CVD seed layer.
Exposure of wafers to the atmosphere during transport from one deposition chamber to another may cause deleterious oxidation and/or contamination of the surface of barrier and/or seed layers. Such exposure should, therefore, be avoided or minimized. In accordance with one embodiment of the present invention, conformal and non-conformal seed layers are deposited in an apparatus where the conformal and non-conformal seed layer deposition steps can be carried out without breaking vacuum, or without exposing the wafer to the atmosphere between the deposition steps. In accordance with this embodiment, the apparatus may comprise two or more chambers, at least one chamber for deposition of the conformal seed layer, and at least another chamber for deposition of the non-conformal seed layer. In a preferred embodiment of the present invention, the apparatus further comprises a chamber for deposition of the barrier layer, preferably by a CVD technique. The barrier layer may be deposited in a separate chamber or it may be deposited in one of the chambers used to deposit either the conformal, or the non-conformal, seed layers.
FIG. 7 shows apparatus 7000 that is fabricated in accordance with a preferred embodiment of the invention. As shown in FIG. 7, apparatus 7000 comprises cluster tool 70 which operates in accordance with input from controller 80 in a manner that is well known to those of ordinary skill in the art. As further shown in FIG. 7, cluster tool 70 includes input loadlock 71 and output loadlock 72. As is well known to those of ordinary skill in the art, loadlocks 71 and 72 enable wafers to be inserted into and removed from cluster tool 70, respectively. Although FIG. 7 shows separate input and output loadlocks, it is also within the spirit and scope of the present invention to use a single loadlock for both input and output of wafers.
As is well known to those of ordinary skill in the art, once wafer 74 is inserted into transfer chamber 73 of cluster tool 70, it can be transferred between the various processing chambers (for example, processing chambers 75-79) without breaking vacuum, or without exposure to the atmosphere. As is shown in FIG. 7, cluster tool 70 comprises CVD barrier layer deposition chamber 76, PVD Cu seed layer deposition chamber 77, and CVD Cu seed layer deposition chamber 78. In addition, FIG. 7 shows several other processing, for example, processing chambers 75 and 79, which can be used for other processing steps that are well known to those of ordinary skill in the art, such as pre-cleaning, cooling, or as extra deposition chambers. Although FIG. 7 shows separate CVD chambers for depositing a barrier layer and Cu seed layers, it is also within the scope of the invention to deposit both types of layers in the same CVD chamber.
Controller 80 is apparatus which is well known to those of ordinary skill in the art that is used to control the operation of cluster tool 70. As such, controller 80 determines the sequence and duration of movements and stays of wafer 74: (a) to and from loadlocks 71 and 72; and (b) to and from the various processing chambers 75-79. As is also well known to those of ordinary skill in the art, controller 80 controls the specific process sequence and process parameters for operation of the various ones of processing chambers 75-79, sometimes referred to in the art as "recipes." For example, in PVD Cu seed layer deposition chamber 77, among other things, controller 80 controls the duration of the sputter deposition, the background pressure, the sputtering gas (such as Argon) pressure and flow rate, the cathodic voltage and power, and/or bias voltage applied to the wafer. Lastly, as is also well known to those of ordinary skill in the art, controller 80 performs these functions in accordance with specific recipes which are data structures that dictate the operation of controller 80 software. The data structures are typically stored on computer readable media that are input to controller 80 under the control of operation software, which operation software itself is typically stored on a computer readable medium. In accordance with a preferred embodiment of the present invention, recipes are input to controller 80 to cause it to control cluster tool 70 to process wafers in the manner described above to deposit a Cu barrier layer and Cu seed layers without breaking vacuum or exposing a wafer to the atmosphere.
In one embodiment of the present invention, the apparatus comprises a chamber in which both conformal and non-conformal seed layers are deposited utilizing: (a) two or more distinct steps, wherein the deposition variables (or conditions or parameters) during the first step are suitable for the deposition of a substantially conformal (or a non-conformal) seed layer, and the deposition conditions during the second step are suitable for the deposition of a substantially non-conformal (or a conformal) seed layer; (b) wherein at least one of the deposition variables is varied (or ramped) continuously or gradually, thereby changing the nature of the seed layer from substantially conformal to substantially non-conformal, or vice versa; or (c) a combination of at least one distinct step of depositing a substantially conformal (or a non-conformal) seed layer and at least one gradual variation (or ramping) of at least one deposition variable towards a substantially non-conformal (or a conformal) seed layer, and vice versa.
As is well known to those of ordinary skill in the art, the nature of certain deposition techniques, such as ion plating or other PVD techniques, can be made more conformal, or less conformal, by varying the deposition parameters (or variables, or conditions). For example, increasing the (partial) pressure during ion plating and other PVD techniques, tends to increase scattering of the depositing atoms (or ions), thereby making the deposition more isotropic and conformal. Similarly, biasing the substrate has a effect on the nature of the deposit. For example, in ionized metal plasma (IMP) and ion plating, increasing the (negative) bias voltage further accelerates positive ions (of the depositing metal) towards the substrate, thereby improving the filling of small openings. At the same time, the higher (negative) bias also increases the removal rate (or back-sputtering) from the top corners of the openings and the field, thereby rendering the deposition to be more conformal.
Conversely, decreasing the negative bias, or even using positive bias, can render the deposition to be less conformal. Deposition rate (or power density) can also affect the nature of the deposition.
Similarly, as is known to those of ordinary skill in the art, the nature of certain CVD techniques can be made less conformal, or more conformal, by changing the deposition variables. For example, increasing the substrate temperature tends to shift the deposition from a surface-reaction, rate-controlled deposition at low temperature, to a transport, rate-controlled deposition at higher temperature. As a result, increasing the substrate temperature tends to render the deposition to be less conformal. Conversely, decreasing the temperature, tends to render the deposition to be more conformal. Similarly, increasing the precursor and/or the reacting gas partial pressure (or flow rate) tends to shift the deposition to be a more surface-reaction, rate- controlled deposition, thereby tending to render the deposition to be more conformal. Conversely, decreasing the partial pressure and or flow rate of the precursor and/or a reacting gas, tends to render the deposition to be a more transport, rate-controlled deposition and, therefore, less conformal. The plasma variables in PECVD, such as the power density, may also have significant effects on the nature of the deposition.
In accordance with one embodiment of the present invention, cluster tool 70 comprises the following chambers: a CVD deposition chamber for depositing a barrier layer (for example, Ta, TaNx, W, or WNX); a PVD deposition chamber for depositing a PVD Cu seed layer; and a CVD deposition chamber for depositing a CVD Cu seed layer. Single wafers are transferred in-situ in cluster tool 70, from one chamber to another, without exposing the wafers to the atmosphere prior to the deposition of the top Cu seed layer. The CVD barrier and the CVD Cu seed layers can be deposited in the same CVD chamber by using different gases and chemistries for the respective layers. However, a separate CVD chamber for each layer (i.e., the barrier and the CVD Cu layers) is preferred in order to minimize cross-contamination. Using cluster tool 70, cluster tool controller 80 would cause a deposition process such as the following to be carried out in accordance with a recipe specified, for example in the form of a data structure or software or program code: (a) (in accordance with a first portion of the data structure or a first portion of the software or computer code) introducing wafer 74 into CVD barrier layer deposition chamber 76 and depositing on wafer 74 a CVD barrier layer (about 200-400A thick) comprising TaNx or WNX; (b) (in accordance with a second portion of the data structure or a first portion of software or computer code) transferring wafer 74 through transfer chamber 73, without exposing wafer 74 to the atmosphere, to PVD Cu seed layer deposition chamber 77 and depositing on wafer 74 a relatively thin (about 100-500 A) "Flash" PVD Cu layer; (c) (in accordance with a third portion of the data structure or a first portion of software or computer code) transferring wafer 74 through transfer chamber 73, without exposing wafer 74 to the atmosphere, to CVD Cu seed layer deposition chamber 78 and depositing on wafer 74 a CVD Cu layer (about 100-500A thick); and (d) (in accordance with a fourth portion of the data structure or a first portion of software or computer code) transferring wafer 74 through transfer chamber 73, without exposing it to the atmosphere, to PVD Cu seed layer deposition chamber 77 and depositing on wafer 74 a relatively thick PVD Cu layer (about 500-l,80θA thick). Other ancillary steps include introducing wafer 74 into and removing wafer 74 from cluster tool 70 through loadlocks 71 and 72, respectively.
Another embodiment of a three-step combination may include a first deposited CVD seed layer, followed by a relatively thick PVD seed layer, and finally followed by a second deposited CVD seed layer. Other combinations may comprise even more steps in the deposition of the seed layer. In this embodiment, the three (or more) separately deposited seed layers may comprise the same metal or alloy or they may comprise, for example and without limitation, different materials chosen from Cu, Ag, or alloys comprising one or more of these metals. Those skilled in the art will recognize that the foregoing description has been presented for the sake of illustration and description only. As such, it is not intended to be exhaustive or to limit the invention to the precise form disclosed.

Claims

What is claimed is:
1. A method for making metallic interconnects comprising: forming a patterned insulating layer on a substrate, the patterned insulating layer including at least one opening and a field surrounding the at least one opening; depositing a barrier layer over the field and inside surfaces of the at least one opening; depositing a first seed layer over the barrier layer using a first deposition technique; depositing a second seed layer over the first seed layer using a second deposition technique, the first and second deposition techniques being different; and electroplating a metallic layer over the second seed layer, the electroplated metallic layer comprising a material selected from a group consisting of Cu, Ag, or alloys comprising one or more of these metals.
2. The method of claim 1 wherein the electroplated metallic layer comprises Cu.
3. The method of claim 1 wherein the electroplated metallic layer comprises Ag.
4. The method of claim 2 further comprising: substantially removing electroplated copper overlying the opening and overlying the field, and removing the seed layers and the barrier layer overlying the field, wherein the removing comprises one or more of a mechanical polishing technique, a chemical mechanical polishing technique, a wet etching technique, and a dry etching technique.
5. The method of claim 1 wherein the first deposition technique comprises a conformal deposition technique and the second deposition technique comprises a non-conformal deposition technique, said second seed layer being thicker than said first seed layer over the field.
6. The method of claim 5 wherein: the conformal deposition technique comprises a chemical vapor deposition (CVD) technique or an electroless technique; and the non-conformal deposition technique comprises a physical vapor deposition (PVD) technique.
7. The method of claim 5 wherein the conformal deposition technique is a chemical vapor deposition (CVD) technique.
8. The method of claim 1 wherein the first deposition technique comprises a non-conformal deposition technique and the second deposition technique comprises a conformal deposition technique, said first seed layer being thicker than said second seed layer over the field.
9. The method of claim 8 wherein: the non-conformal deposition technique comprises a physical vapor deposition (PVD) technique; and the conformal deposition technique comprises a chemical vapor deposition (CVD or an electroless technique.
10. The method of claim 8 wherein the conformal deposition technique is a chemical vapor deposition (CVD) technique.
11. The method of claim 1 wherein the first and second seed layers comprise a material selected from a group consisting of Cu, Ag, or alloys comprising one or more of these metals.
12. The method of claim 5 wherein the first seed layer and the second seed layer comprise a material selected from a group consisting of Cu, Ag, or alloys comprising one or more of these metals.
13. The method of claim 8 wherein the first seed layer and the second seed layer comprise a material selected from a group consisting of Cu, Ag, or alloys comprising one or more of these metals.
14. The method of claim 1 wherein the first and second seed layers comprise Cu.
15. The method of claim 5 wherein the first and second seed layers comprise Cu.
16. The method of claim 8 wherein the first and second seed layers comprise Cu.
17. The method of claim 5 wherein the first seed layer has a thickness in a range of about 5θA to about 500A over the field and the second seed layer has a thickness in a range of about lOOA to about 2,000A over the field.
18. The method of claim 5 wherein the first seed layer has a thickness in a range of about 100 A to about 300 A over the field and the second seed layer has a thickness in a range of about 300 A to about 1 ,000 A over the field.
19. The method of claim 8 wherein the first seed layer has a thickness in a range of about 100 A to about 2,OOθA over the field and the second seed layer has a thickness in a range of about 50A to about 500A over the field.
20. The method of claim 8 wherein the first seed layer has a thickness in a range of about 300A to about 1,000 A over the field and the second seed layer has a thickness in a range of about 100 A to about 300 A over the field.
21. The method of claim 1 wherein the barrier layer is selected from a group consisting of Ta, TaNx, Cr, CrNx, Ti, T_Nχ, W, WNx, or alloys comprising one or more of these materials.
22. The method of claim 1 wherein the barrier layer is deposited by a chemical vapor deposition technique.
23. The method of claim 1 wherein the barrier layer is deposited by a physical vapor deposition technique.
24. The method of claim 1 wherein the barrier layer has a thickness in a range of about 3θA to about 500 A.
25. The method of claim 1 wherein the barrier layer has a thickness in a range of about 5θA to about 300A.
26. A method for making copper interconnects comprising: forming a patterned insulating layer on a substrate, the patterned insulating layer including at least one opening and a field surrounding the at least one opening; depositing a barrier layer over the patterned insulating layer including overlying the field and inside surfaces of the at least one opening, the barrier layer comprising a refractory metal or an alloy comprising a refractory metal; chemical vapor depositing a first copper seed layer over the barrier layer, the first copper seed layer substantially continuously covering inside surfaces of the at least one opening; physical vapor depositing a second copper seed layer over the first copper seed layer, said second seed layer being thicker than said first seed layer over the field; and electroplating copper over the second seed layer.
27. A method for making copper interconnects comprising: forming a patterned insulating layer over a substrate, the patterned insulating layer including at least one opening and a field surrounding the at least one opening; depositing a barrier layer over the patterned insulating layer including overlying the field and inside surfaces of the at least one opening, the barrier layer comprising a refractory metal or an alloy comprising a refractory metal; physical vapor depositing a first copper seed layer over the barrier layer; chemical vapor depositing a second copper seed layer over the first copper seed layer, said first seed layer being thicker than said second seed layer over the field; and electroplating copper over the second seed layer.
28. The method of claim 1 wherein the first deposition technique comprises a conformal deposition technique and the second deposition technique comprises a non-conformal deposition technique and further comprising depositing at least one additional seed layer over the second seed layer prior to electroplating.
29. The method of claim 1 wherein the first deposition technique comprises a conformal deposition technique and the second deposition technique comprises a non-conformal deposition technique and further comprising depositing at least one additional seed layer under the first seed layer.
30. The method of claim 28 wherein depositing at least one additional seed layer comprises using a conformal deposition technique.
31. The method of claim 29 wherein depositing at least one additional seed layer comprises using a non-conformal deposition technique.
32. The method of claim 30 wherein the first deposition technique comprises a chemical vapor deposition technique, the second deposition technique comprises a physical vapor deposition technique, and depositing at least one additional seed layer comprises using a chemical vapor deposition technique.
33. The method of claim 31 wherein depositing at least one additional seed layer comprises using a physical vapor deposition technique.
34. The method of claim 1 wherein the first deposition technique comprises a non-conformal deposition technique and the second deposition technique comprises a conformal deposition technique and further comprising depositing at least one additional seed layer over the second seed layer prior to electroplating.
35. The method of claim 34 wherein depositing at least one additional seed layer comprises using a non-conformal deposition technique.
36. The method of claim 35 wherein the first deposition technique comprises a physical vapor deposition technique, the second deposition technique comprises a chemical vapor deposition technique, and depositing at least one additional seed layer comprises using a physical vapor deposition technique.
37. A method for making metallic interconnects comprising: forming a patterned insulating layer on a substrate, the patterned insulating layer including at least one opening and a field surrounding the at least one opening; depositing a barrier layer over the field and inside surfaces of the at least one opening; depositing two or more seed layers over the barrier layer using two or more different deposition techniques; and electroplating a metallic layer over the two or more seed layers, the electroplated metallic layer comprising a material selected from a group consisting of
Cu, Ag, or alloys comprising one or more of these metals.
38. Copper filled via or trench interconnects on a substrate comprising: a patterned insulating layer formed on the substrate, the patterned insulating layer including at least one opening; a barrier layer formed over the patterned insulating layer, including inside surfaces of the at least one opening; a first seed layer deposited over the barrier layer, including inside surfaces of the at least one opening; a second seed layer deposited over the first seed layer; and an electroplated metallic layer deposited over the second deposited seed layer, said metallic layer comprising a material selected from a group consisting of Cu, Ag, or alloys comprising one or more of these metals.
39. An apparatus for depositing seed layers on a substrate, said apparatus comprising: at least one conformal deposition chamber adapted to deposit a substantially conformal seed layer on the substrate; and at least one non-conformal chamber adapted to deposit a substantially non-conformal seed layer on the substrate, wherein said seed layers comprise a material selected from a group consisting of Cu, Ag, or alloys comprising one or more of these metals.
40. The apparatus of claim 39 wherein said at least one conformal deposition chamber comprises a CVD seed chamber and said at least one non- conformal deposition chamber comprises a PVD seed chamber.
41. The apparatus of claim 40 which further comprises a transfer chamber adapted to transfer the substrate from said CVD seed chamber to said PVD seed chamber, and vice versa, without exposing the substrate to atmosphere.
42. The apparatus of claim 41 which further comprises a transfer chamber adapted to transfer the substrate from said CVD seed chamber to said PVD seed chamber, and vice versa, without breaking vacuum.
43. The apparatus of claim 40 further comprising a barrier chamber adapted to deposit a barrier layer.
44. The apparatus of claim 43 wherein said barrier layer comprises a material selected from the group consisting of Ta, TaNx, Cr, CrNx, Ti, TiNx, W, WNx, and other alloys containing one or more of these materials.
45. The apparatus of claim 43 wherein said barrier chamber comprises a CVD deposition chamber.
46. The apparatus of claim 43 wherein said barrier chamber comprises a PVD deposition chamber.
47. The apparatus of claim 40 wherein the CVD seed chamber is further adapted to deposit a CVD barrier layer.
48. The apparatus of claim 40 wherein the PVD seed chamber is further adapted to deposit a PVD barrier layer.
49. An apparatus for depositing seed layers on a substrate, said apparatus comprising a deposition chamber adapted to deposit a substantially conformal seed layer on the substrate and a substantially non-conformal seed layer on the substrate, wherein said seed layers comprise a material selected from a group consisting of Cu, Ag, or alloys comprising one or more of these metals.
50. The apparatus of claim 49 wherein the apparatus is adapted to deposit at least two seed layers in at least two distinct steps in succession, inside a deposition chamber, wherein deposition parameters used to control the deposition chamber in one of the distinct steps produce a substantially conformal seed layer and deposition parameters used to control the deposition chamber in another one of the distinct steps produce a substantially non-conformal seed layer.
51. The apparatus of claim 50 wherein the apparatus causes at least one of the deposition parameters to be varied continuously or gradually, thereby changing the nature of the depositing seed layer from a substantially conformal seed layer to a substantially non-conformal seed layer, or vice versa, inside the same deposition chamber.
52. The apparatus of claim 50 wherein the apparatus controls at least one of the deposition parameters in a manner to provide a combination of at least one distinct step of depositing a substantially conformal or a non-conformal seed layer and at least one gradual variation of the at least one deposition parameter towards a substantially non-conformal or a conformal seed layer, respectively, or vice versa, inside the same deposition chamber.
53. The apparatus of claim 39 which further comprises a controller which causes the apparatus to transfer the substrate between the conformal deposition chamber and the non-conformal deposition chamber, or vice versa, and wherein said controller also causes the conformal deposition chamber to deposit the substantially conformal seed layer on the substrate, and the non-conformal chamber to deposit the substantially non-conformal seed layer on the substrate.
54. The apparatus of claim 49 which further comprises a controller which causes at least one deposition parameter to vary in a manner to deposit a substantially conformal seed layer on the substrate and a substantially non-conformal seed layer on the substrate, inside the same deposition chamber.
55. A computer readable medium which comprises a data structure which causes a controller to cause a deposition apparatus comprising a conformal deposition chamber and a non-conformal deposition chamber to deposit a substantially conformal and a substantially non-conformal seed layers, respectively, wherein said substantially conformal and substantially non-conformal seed layers comprise a material selected from a group consisting of Cu, Ag, or alloys comprising one or more of these metals, and wherein said data structure comprises: a first data portion that causes the controller to cause the apparatus to deposit a substantially conformal seed layer; and a second data portion that causes the controller to cause the apparatus to deposit a substantially non-conformal seed layer.
56. A computer readable medium which comprises a data structure which causes a controller to cause a deposition apparatus comprising a conformal deposition chamber and a non-conformal deposition chamber to deposit a substantially conformal and a substantially non-conformal seed layers, respectively, wherein said substantially conformal and substantially non-conformal seed layers comprise a material selected from a group consisting of Cu, Ag, or alloys comprising one or more of these metals, and wherein said data structure comprises: a first data portion that causes the controller to cause the apparatus to deposit a substantially non-conformal seed layer; and a second data portion that causes the controller to cause the apparatus to deposit a substantially conformal seed layer.
57. A computer readable medium which comprises a data structure which causes a controller to cause a deposition apparatus comprising a deposition chamber adapted to deposit a substantially conformal seed layer on a substrate and a substantially non-conformal seed layer on the substrate, wherein said substantially conformal and substantially non-conformal seed layers comprise a material selected from a group consisting of Cu, Ag, or alloys comprising one or more of these metals, and wherein said data structure comprises: a first data portion that causes the controller to cause the apparatus to deposit a substantially conformal seed layer; and a second data portion that causes the controller to cause the apparatus to deposit a substantially non-conformal seed layer.
58 A computer readable medium which comprises a data structure which causes a controller to cause a deposition apparatus comprising a deposition chamber adapted to deposit a substantially conformal seed layer on a substrate and a substantially non-conformal seed layer on the substrate, wherein said substantially conformal and substantially non-conformal seed layers comprise a material selected from a group consisting of Cu, Ag, or alloys comprising one or more of these metals, and wherein said data structure comprises: a first data portion that causes the controller to cause the apparatus to deposit a substantially non-conformal seed layer; and a second data portion that causes the controller to cause the apparatus to deposit a substantially conformal seed layer.
PCT/US2000/040983 1999-10-02 2000-09-25 Seed layers for interconnects and methods and apparatus for their fabrication WO2001026145A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2001529014A JP2003511858A (en) 1999-10-02 2000-09-25 Seed layer for wiring, and method and apparatus for manufacturing the same
KR1020027004251A KR20020043604A (en) 1999-10-02 2000-09-25 Seed layers for interconnects and method and apparatus for their fabrication

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/410,898 1999-10-02
US09/410,898 US6136707A (en) 1999-10-02 1999-10-02 Seed layers for interconnects and methods for fabricating such seed layers
US09/563,733 2000-05-03
US09/563,733 US6610151B1 (en) 1999-10-02 2000-05-03 Seed layers for interconnects and methods and apparatus for their fabrication

Publications (2)

Publication Number Publication Date
WO2001026145A1 true WO2001026145A1 (en) 2001-04-12
WO2001026145A9 WO2001026145A9 (en) 2002-08-15

Family

ID=27021179

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/040983 WO2001026145A1 (en) 1999-10-02 2000-09-25 Seed layers for interconnects and methods and apparatus for their fabrication

Country Status (5)

Country Link
US (8) US6610151B1 (en)
JP (1) JP2003511858A (en)
KR (1) KR20020043604A (en)
TW (1) TW504795B (en)
WO (1) WO2001026145A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7732326B2 (en) 2004-02-25 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method

Families Citing this family (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482734B1 (en) * 1998-01-20 2002-11-19 Lg Semicon Co., Ltd. Diffusion barrier layer for semiconductor device and fabrication method thereof
JP2000138201A (en) * 1998-10-29 2000-05-16 Ulvac Seimaku Kk Method and system for dry etching of half-tone phase shifted film, half-tone phase shifted photomask and manufacture thereof, and semiconductor circuit and manufacture thereof
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US7105434B2 (en) * 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
KR100338112B1 (en) * 1999-12-22 2002-05-24 박종섭 Method of forming a copper wiring in a semiconductor device
WO2001048800A1 (en) * 1999-12-24 2001-07-05 Ebara Corporation Semiconductor wafer processing apparatus and processing method
KR100407680B1 (en) * 2000-06-20 2003-12-01 주식회사 하이닉스반도체 Method of forming a metal wiring in a semiconductor device
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6800554B2 (en) * 2000-12-18 2004-10-05 Intel Corporation Copper alloys for interconnections having improved electromigration characteristics and methods of making same
US6737740B2 (en) * 2001-02-08 2004-05-18 Micron Technology, Inc. High performance silicon contact for flip chip
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US6869515B2 (en) * 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US6842659B2 (en) * 2001-08-24 2005-01-11 Applied Materials Inc. Method and apparatus for providing intra-tool monitoring and control
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6503824B1 (en) * 2001-10-12 2003-01-07 Mosel Vitelic, Inc. Forming conductive layers on insulators by physical vapor deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
JP3727277B2 (en) * 2002-02-26 2005-12-14 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6620724B1 (en) * 2002-05-09 2003-09-16 Infineon Technologies Ag Low resistivity deep trench fill for DRAM and EDRAM applications
US6724087B1 (en) * 2002-07-31 2004-04-20 Advanced Micro Devices, Inc. Laminated conductive lines and methods of forming the same
US6790773B1 (en) * 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US20040096586A1 (en) * 2002-11-15 2004-05-20 Schulberg Michelle T. System for deposition of mesoporous materials
KR20040060562A (en) * 2002-12-30 2004-07-06 동부전자 주식회사 Oxidation prevention method of barrier metal for manufacturing a semiconductor element
US6806192B2 (en) * 2003-01-24 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of barrier-less integration with copper alloy
US6943111B2 (en) * 2003-02-10 2005-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free copper interconnect by multi-layer copper seed
JP4188125B2 (en) * 2003-03-05 2008-11-26 Tdk株式会社 Magnetic recording medium manufacturing method and manufacturing apparatus
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
EP1482069A1 (en) * 2003-05-28 2004-12-01 Interuniversitair Microelektronica Centrum Vzw Method for producing polycrystalline silicon germanium suitable for micromachining
KR100560666B1 (en) * 2003-07-07 2006-03-16 삼성전자주식회사 Metal layer deposition system for semiconductor device fabrication and method of operating the same
US6900127B2 (en) * 2003-08-27 2005-05-31 Texas Instruments Incorporated Multilayer integrated circuit copper plateable barriers
US7081647B2 (en) * 2003-09-29 2006-07-25 Matsushita Electric Industrial Co., Ltd. Microelectromechanical system and method for fabricating the same
US20050082606A1 (en) * 2003-10-20 2005-04-21 Stephan Grunow Low K dielectric integrated circuit interconnect structure
US7265038B2 (en) * 2003-11-25 2007-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a multi-layer seed layer for improved Cu ECP
KR100587658B1 (en) * 2003-12-31 2006-06-08 동부일렉트로닉스 주식회사 Termial effect minimizing method in ECP process
US20050184288A1 (en) * 2004-02-25 2005-08-25 Tien-I Bao Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
US7378744B2 (en) * 2004-05-10 2008-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7067409B2 (en) * 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7306962B2 (en) * 2004-06-17 2007-12-11 Sharp Laboratories Of America, Inc. Electroformed metallization
US7112470B2 (en) * 2004-09-15 2006-09-26 International Business Machines Corporation Chip dicing
US7704368B2 (en) 2005-01-25 2010-04-27 Taiwan Semiconductor Manufacturing Co. Ltd. Method and apparatus for electrochemical plating semiconductor wafers
CA2600104C (en) * 2005-03-09 2012-01-24 Ihi Corporation Jig
EP1909320A1 (en) * 2006-10-05 2008-04-09 ST Microelectronics Crolles 2 SAS Copper diffusion barrier
US20070080455A1 (en) * 2005-10-11 2007-04-12 International Business Machines Corporation Semiconductors and methods of making
US7863183B2 (en) * 2006-01-18 2011-01-04 International Business Machines Corporation Method for fabricating last level copper-to-C4 connection with interfacial cap structure
US7645696B1 (en) * 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
JP2008028058A (en) * 2006-07-20 2008-02-07 Tokyo Electron Ltd Method of manufacturing semiconductor device, apparatus for manufacturing semiconductor device, semiconductor device and storage medium
US7605078B2 (en) * 2006-09-29 2009-10-20 Tokyo Electron Limited Integration of a variable thickness copper seed layer in copper metallization
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
KR100763136B1 (en) 2006-12-11 2007-10-02 동부일렉트로닉스 주식회사 Wafer bonding method in system-in-package
DE102007004884A1 (en) * 2007-01-31 2008-08-14 Advanced Micro Devices, Inc., Sunnyvale A method of forming a metal layer over a patterned dielectric by electroless deposition using a selectively provided activation layer
US8058164B2 (en) * 2007-06-04 2011-11-15 Lam Research Corporation Methods of fabricating electronic devices using direct copper plating
JP2009016520A (en) * 2007-07-04 2009-01-22 Tokyo Electron Ltd Method and apparatus for manufacturing semiconductor apparatus
US7709370B2 (en) * 2007-09-20 2010-05-04 International Business Machines Corporation Spin-on antireflective coating for integration of patternable dielectric materials and interconnect structures
US8618663B2 (en) * 2007-09-20 2013-12-31 International Business Machines Corporation Patternable dielectric film structure with improved lithography and method of fabricating same
US8084862B2 (en) 2007-09-20 2011-12-27 International Business Machines Corporation Interconnect structures with patternable low-k dielectrics and method of fabricating same
US20090127097A1 (en) * 2007-11-16 2009-05-21 Kei-Wei Chen Forming Seed Layer in Nano-Trench Structure Using Net Deposition and Net Etch
US7727890B2 (en) 2007-12-10 2010-06-01 International Business Machines Corporation High aspect ratio electroplated metal feature and method
KR100924556B1 (en) 2008-01-04 2009-11-02 주식회사 하이닉스반도체 Metal wiring of semiconductor device and method of manufacturing the same
US8252690B2 (en) * 2008-02-14 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. In situ Cu seed layer formation for improving sidewall coverage
US7704886B2 (en) * 2008-02-14 2010-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step Cu seed layer formation for improving sidewall coverage
US7879720B2 (en) * 2008-09-30 2011-02-01 Samsung Electronics Co., Ltd. Methods of forming electrical interconnects using electroless plating techniques that inhibit void formation
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) * 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
KR20100133834A (en) * 2009-06-12 2010-12-22 웅진케미칼 주식회사 Fabric including low melting fiber
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US7956463B2 (en) * 2009-09-16 2011-06-07 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
JP5498751B2 (en) * 2009-10-05 2014-05-21 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
WO2011090717A1 (en) * 2009-12-28 2011-07-28 Gvd Corporation Coating methods, systems, and related articles
US9714474B2 (en) * 2010-04-06 2017-07-25 Tel Nexx, Inc. Seed layer deposition in microscale features
US8993434B2 (en) * 2010-09-21 2015-03-31 Applied Materials, Inc. Methods for forming layers on a substrate
TWI413468B (en) * 2010-12-29 2013-10-21 Unimicron Technology Corp Method for forming embedded circuit
US8399353B2 (en) * 2011-01-27 2013-03-19 Tokyo Electron Limited Methods of forming copper wiring and copper film, and film forming system
US8524599B2 (en) 2011-03-17 2013-09-03 Micron Technology, Inc. Methods of forming at least one conductive element and methods of forming a semiconductor structure
JP2012231096A (en) * 2011-04-27 2012-11-22 Elpida Memory Inc Semiconductor device and manufacturing method of the same
US8525339B2 (en) 2011-07-27 2013-09-03 International Business Machines Corporation Hybrid copper interconnect structure and method of fabricating same
US8946082B2 (en) * 2011-09-16 2015-02-03 GlobalFoundries, Inc. Methods for forming semiconductor devices
US20130140688A1 (en) * 2011-12-02 2013-06-06 Chun-Hung Chen Through Silicon Via and Method of Manufacturing the Same
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US20140046475A1 (en) * 2012-08-09 2014-02-13 Applied Materials, Inc. Method and apparatus deposition process synchronization
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US8729702B1 (en) 2012-11-20 2014-05-20 Stmicroelectronics, Inc. Copper seed layer for an interconnect structure having a doping concentration level gradient
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9768060B2 (en) * 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US10276397B2 (en) * 2015-06-30 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. CVD metal seed layer
US9754891B2 (en) 2015-09-23 2017-09-05 International Business Machines Corporation Low-temperature diffusion doping of copper interconnects independent of seed layer composition
US9735051B2 (en) 2015-12-14 2017-08-15 International Business Machines Corporation Semiconductor device interconnect structures formed by metal reflow process
CA3152886C (en) 2016-02-05 2023-01-03 Board Of Regents Of The University Of Texas System Surgical apparatus
KR102436601B1 (en) 2016-02-05 2022-08-25 보드 오브 리전츠 오브 더 유니버시티 오브 텍사스 시스템 Medical device in steerable lumen
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9941212B2 (en) 2016-08-17 2018-04-10 International Business Machines Corporation Nitridized ruthenium layer for formation of cobalt interconnects
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US9852990B1 (en) 2016-08-17 2017-12-26 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
US9716063B1 (en) 2016-08-17 2017-07-25 International Business Machines Corporation Cobalt top layer advanced metallization for interconnects
US9859215B1 (en) 2016-08-17 2018-01-02 International Business Machines Corporation Formation of advanced interconnects
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
KR20200032756A (en) 2017-08-14 2020-03-26 램 리써치 코포레이션 Metal filling process for 3D vertical NAND wordlines
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
US10937960B2 (en) * 2018-08-14 2021-03-02 Newport Fab, Llc Concurrent fabrication of and structure for capacitive terminals and ohmic terminals in a phase-change material (PCM) radio frequency (RF) switch

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6087711A (en) * 1997-02-14 2000-07-11 Micron Technology Inc. Integrated circuit metallization with superconductor BEOL wiring

Family Cites Families (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US147104A (en) * 1874-02-03 Improvement in machines for making cotton-laps
US110147A (en) * 1870-12-13 Improvement in side-saddle trees
US57527A (en) * 1866-08-28 Bronzing-machine
US241321A (en) * 1881-05-10 Charles h
US188850A (en) * 1877-03-27 Improvement in clothes-pounders
US121608A (en) * 1871-12-05 Improvement in animal-traps
US157431A (en) * 1874-12-01 Improvement in bottle-stoppers
US140196A (en) * 1873-06-24 Abbaham t
US129828A (en) * 1872-07-23 Improvement in lamps
US116427A (en) * 1871-06-27 Improvement in dentists and barbers chairs
US45485A (en) * 1864-12-20 Improved car-replacer
JPH02296324A (en) 1989-05-11 1990-12-06 Nec Corp Manufacture of semiconductor device
JP2856782B2 (en) * 1989-10-12 1999-02-10 レール・リキード・ソシエテ・アノニム・プール・レテユード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method of forming copper thin film by low temperature CVD
US5219787A (en) 1990-07-23 1993-06-15 Microelectronics And Computer Technology Corporation Trenching techniques for forming channels, vias and components in substrates
US5173442A (en) 1990-07-23 1992-12-22 Microelectronics And Computer Technology Corporation Methods of forming channels and vias in insulating layers
US5151168A (en) 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
US5612254A (en) 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5403620A (en) 1992-10-13 1995-04-04 Regents Of The University Of California Catalysis in organometallic CVD of thin metal films
CA2082771C (en) 1992-11-12 1998-02-10 Vu Quoc Ho Method for forming interconnect structures for integrated circuits
JPH06349952A (en) 1993-06-14 1994-12-22 Oki Electric Ind Co Ltd Wiring forming method
JP2616402B2 (en) 1993-10-18 1997-06-04 日本電気株式会社 Method for manufacturing semiconductor device
US5549808A (en) 1995-05-12 1996-08-27 International Business Machines Corporation Method for forming capped copper electrical interconnects
JP3979687B2 (en) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド Method for improving film stability of halogen-doped silicon oxide films
DE69608669T2 (en) * 1995-12-19 2001-03-01 Fsi International Chaska APPLICATION OF METAL FILMS WITH SPRAY PROCESSOR
US6188136B1 (en) * 1996-06-26 2001-02-13 Kabushiki Kaisha Toshiba Semiconductor device including a wiring layer having a non-doped or high resistivity polycrystal silicon portion
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US6110828A (en) * 1996-12-30 2000-08-29 Applied Materials, Inc. In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
US6139697A (en) 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
US6139905A (en) 1997-04-11 2000-10-31 Applied Materials, Inc. Integrated CVD/PVD Al planarization using ultra-thin nucleation layers
US6387805B2 (en) 1997-05-08 2002-05-14 Applied Materials, Inc. Copper alloy seed layer for copper metallization
US6605197B1 (en) 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5897752A (en) 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6042700A (en) 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US5882498A (en) 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US5897368A (en) * 1997-11-10 1999-04-27 General Electric Company Method of fabricating metallized vias with steep walls
KR100273989B1 (en) 1997-11-25 2001-01-15 윤종용 Method for forming contact of semiconductor device
WO1999027579A1 (en) 1997-11-26 1999-06-03 Applied Materials, Inc. Damage-free sculptured coating deposition
US6174811B1 (en) 1998-12-02 2001-01-16 Applied Materials, Inc. Integrated deposition process for copper metallization
US6251528B1 (en) * 1998-01-09 2001-06-26 International Business Machines Corporation Method to plate C4 to copper stud
US6169030B1 (en) * 1998-01-14 2001-01-02 Applied Materials, Inc. Metallization process and method
JP3501937B2 (en) 1998-01-30 2004-03-02 富士通株式会社 Method for manufacturing semiconductor device
US6249055B1 (en) 1998-02-03 2001-06-19 Advanced Micro Devices, Inc. Self-encapsulated copper metallization
US6281121B1 (en) * 1998-03-06 2001-08-28 Advanced Micro Devices, Inc. Damascene metal interconnects using highly directional deposition of barrier and/or seed layers including (III) filling metal
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6130156A (en) 1998-04-01 2000-10-10 Texas Instruments Incorporated Variable doping of metal plugs for enhanced reliability
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6015749A (en) * 1998-05-04 2000-01-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between copper and titanium nitride, for copper interconnect structures, via the use of an ion implantation procedure
US6372633B1 (en) * 1998-07-08 2002-04-16 Applied Materials, Inc. Method and apparatus for forming metal interconnects
US6461675B2 (en) * 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
JP3187011B2 (en) * 1998-08-31 2001-07-11 日本電気株式会社 Method for manufacturing semiconductor device
US6080285A (en) * 1998-09-14 2000-06-27 Applied Materials, Inc. Multiple step ionized metal plasma deposition process for conformal step coverage
US6251759B1 (en) * 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
US6515343B1 (en) * 1998-11-19 2003-02-04 Quicklogic Corporation Metal-to-metal antifuse with non-conductive diffusion barrier
US6187670B1 (en) 1998-12-02 2001-02-13 Advanced Micro Devices, Inc. Multi-stage method for forming optimized semiconductor seed layers
US6242349B1 (en) 1998-12-09 2001-06-05 Advanced Micro Devices, Inc. Method of forming copper/copper alloy interconnection with reduced electromigration
US6261946B1 (en) * 1999-01-05 2001-07-17 Advanced Micro Devices, Inc. Method for forming semiconductor seed layers by high bias deposition
US6258707B1 (en) 1999-01-07 2001-07-10 International Business Machines Corporation Triple damascence tungsten-copper interconnect structure
EP1149416A1 (en) 1999-01-08 2001-10-31 Applied Materials, Inc. Method of depositing a copper seed layer which promotes improved feature surface coverage
US6290825B1 (en) 1999-02-12 2001-09-18 Applied Materials, Inc. High-density plasma source for ionized metal deposition
US6440289B1 (en) 1999-04-02 2002-08-27 Advanced Micro Devices, Inc. Method for improving seed layer electroplating for semiconductor
US6037258A (en) 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6146517A (en) * 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
US6147404A (en) * 1999-05-24 2000-11-14 Advanced Micro Devices, Inc. Dual barrier and conductor deposition in a dual damascene process for semiconductors
US6258223B1 (en) 1999-07-09 2001-07-10 Applied Materials, Inc. In-situ electroless copper seed layer enhancement in an electroplating system
US6627542B1 (en) 1999-07-12 2003-09-30 Applied Materials, Inc. Continuous, non-agglomerated adhesion of a seed layer to a barrier layer
US6686280B1 (en) 1999-07-22 2004-02-03 Taiwan Semiconductor Manufacturing Company Sidewall coverage for copper damascene filling
US6410418B1 (en) * 1999-08-18 2002-06-25 Advanced Micro Devices, Inc. Recess metallization via selective insulator formation on nucleation/seed layer
US6433429B1 (en) 1999-09-01 2002-08-13 International Business Machines Corporation Copper conductive line with redundant liner and method of making
US6184138B1 (en) * 1999-09-07 2001-02-06 Chartered Semiconductor Manufacturing Ltd. Method to create a controllable and reproducible dual copper damascene structure
US6136707A (en) * 1999-10-02 2000-10-24 Cohen; Uri Seed layers for interconnects and methods for fabricating such seed layers
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6924226B2 (en) * 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6395164B1 (en) 1999-10-07 2002-05-28 International Business Machines Corporation Copper seed layer repair technique using electroless touch-up
US20030116427A1 (en) 2001-08-30 2003-06-26 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6398929B1 (en) 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US6458251B1 (en) * 1999-11-16 2002-10-01 Applied Materials, Inc. Pressure modulation method to obtain improved step coverage of seed layer
US6387800B1 (en) 1999-12-20 2002-05-14 Taiwan Semiconductor Manufacturing Company Method of forming barrier and seed layers for electrochemical deposition of copper
US6403465B1 (en) 1999-12-28 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to improve copper barrier properties
US6395642B1 (en) 1999-12-28 2002-05-28 Taiwan Semiconductor Manufacturing Company Method to improve copper process integration
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6225221B1 (en) * 2000-02-10 2001-05-01 Chartered Semiconductor Manufacturing Ltd. Method to deposit a copper seed layer for dual damascene interconnects
US6228759B1 (en) * 2000-05-02 2001-05-08 Advanced Micro Devices, Inc. Method of forming an alloy precipitate to surround interconnect to minimize electromigration
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6506668B1 (en) 2001-06-22 2003-01-14 Advanced Micro Devices, Inc. Utilization of annealing enhanced or repaired seed layer to improve copper interconnect reliability
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6515368B1 (en) 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20040140196A1 (en) 2003-01-17 2004-07-22 Applied Materials, Inc. Shaping features in sputter deposition
US6806192B2 (en) 2003-01-24 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of barrier-less integration with copper alloy
US6943111B2 (en) 2003-02-10 2005-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free copper interconnect by multi-layer copper seed
US7101790B2 (en) 2003-03-28 2006-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a robust copper interconnect by dilute metal doping
US20050045485A1 (en) 2003-09-03 2005-03-03 Taiwan Semiconductor Manufacturing Co. Ltd. Method to improve copper electrochemical deposition
US7265038B2 (en) 2003-11-25 2007-09-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a multi-layer seed layer for improved Cu ECP
US7390329B2 (en) * 2004-05-07 2008-06-24 Usgi Medical, Inc. Methods for grasping and cinching tissue anchors
US8828054B2 (en) * 2008-04-02 2014-09-09 Liavatec Corporation Method and apparatus for meniscal repair
US9929006B2 (en) 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6087711A (en) * 1997-02-14 2000-07-11 Micron Technology Inc. Integrated circuit metallization with superconductor BEOL wiring
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7732326B2 (en) 2004-02-25 2010-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
US8053359B2 (en) 2004-02-25 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method

Also Published As

Publication number Publication date
TW504795B (en) 2002-10-01
KR20020043604A (en) 2002-06-10
WO2001026145A9 (en) 2002-08-15
US20090233440A1 (en) 2009-09-17
US6903016B2 (en) 2005-06-07
US20080026569A1 (en) 2008-01-31
US7199052B2 (en) 2007-04-03
US20040087171A1 (en) 2004-05-06
US20090239372A1 (en) 2009-09-24
JP2003511858A (en) 2003-03-25
US6518668B2 (en) 2003-02-11
US20050148172A1 (en) 2005-07-07
US7550386B2 (en) 2009-06-23
US20070117379A1 (en) 2007-05-24
US7282445B2 (en) 2007-10-16
US20010005056A1 (en) 2001-06-28
US9673090B2 (en) 2017-06-06
US6610151B1 (en) 2003-08-26

Similar Documents

Publication Publication Date Title
US9673090B2 (en) Seed layers for metallic interconnects
US10096547B2 (en) Metallic interconnects products
US6924226B2 (en) Methods for making multiple seed layers for metallic interconnects
US6136707A (en) Seed layers for interconnects and methods for fabricating such seed layers
EP1021827B1 (en) Dual damascene metallization
US7470612B2 (en) Method of forming metal wiring layer of semiconductor device
KR100365643B1 (en) Method for forming damascene interconnection of semiconductor device and damascene interconnection fabricated thereby
US20060076244A1 (en) Barrier enhancement process for copper interconnects
EP0818817A2 (en) Aluminium hole filling using ionized metal adhesion layer
WO2003034481A1 (en) Selective tungsten stud as copper diffusion barrier to silicon contact

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020027004214

Country of ref document: KR

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2001 529014

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1020027004251

Country of ref document: KR

WWW Wipo information: withdrawn in national office

Ref document number: 1020027004214

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1020027004251

Country of ref document: KR

COP Corrected version of pamphlet

Free format text: PAGES 1/4-4/4, DRAWINGS, REPLACED BY NEW PAGES 1/4-4/4; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE

WWW Wipo information: withdrawn in national office

Ref document number: 1020027004251

Country of ref document: KR