WO2001045135A2 - Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates - Google Patents

Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates Download PDF

Info

Publication number
WO2001045135A2
WO2001045135A2 PCT/US2000/042751 US0042751W WO0145135A2 WO 2001045135 A2 WO2001045135 A2 WO 2001045135A2 US 0042751 W US0042751 W US 0042751W WO 0145135 A2 WO0145135 A2 WO 0145135A2
Authority
WO
WIPO (PCT)
Prior art keywords
reactor
gas distribution
ceiling
gas
plasma
Prior art date
Application number
PCT/US2000/042751
Other languages
French (fr)
Other versions
WO2001045135A3 (en
WO2001045135A9 (en
Inventor
Hamid Noorbakhsh
Michael Welch
Saimak Salimian
Paul Luscher
Hongching Shan
Kaushik Vaidya
Jim Carducci
Evans Lee
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to JP2001545338A priority Critical patent/JP4649088B2/en
Publication of WO2001045135A2 publication Critical patent/WO2001045135A2/en
Publication of WO2001045135A3 publication Critical patent/WO2001045135A3/en
Publication of WO2001045135A9 publication Critical patent/WO2001045135A9/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Definitions

  • the invention is related to a plasma reactor for processing a semiconductor wafer using polymer precursor gases such as fluoro-carbon gases, and in particular to a gas distribution plate and ceiling thereof.
  • a semiconductor wafer is immersed in a plasma inside the chamber of a plasma reactor.
  • the reactor may be thus employed to carry out any one of various processes on the wafer, such as chemical vapor deposition or reactive ion etching.
  • the upper most layer (the layer to be etched) may have a lower etch rate than the underlying layer (which must not be etched in most cases) . This presents an especially challenging problem because there would be no etch selectivity (or an inverse selectivity) of the upper layer to the lower layer.
  • This situation is characteristic of a plasma process for etching a dielectric layer overlying another layer such as a semiconductor layer (e.g., a silicon dioxide layer overlying a polysilicon layer) using a process gas containing an etchant such as fluorine or fluoride compounds.
  • a fluoride compound such as a fluoro-carbon gas or a fluoro-hydrocarbon gas which, upon ionization, tends to break up into fluorine-containing etchant species and polymer precursor species .
  • the polymer precursor species provide the requisite etch selectivity because it tends to accumulate as a hard polymer film on non-oxygen containing materials (such as the underlying polysilicon layer) but does not accumulate on oxygen-containing materials (such as the overlying silicon dioxide layer) .
  • the underlying layer is protected from the etchant by the polymer layer while the overlying layer is left exposed to the etchant, so that the process has a net etch selectivity of the overlying layer.
  • the ceiling consists of a gas distribution plate with gas distribution inlets or orifices through which the process gas must be sprayed into the reactor chamber for uniform distribution.
  • the plate must be formed of materials such as quartz which are suitable for carrying the etchant-containing process gases. Such materials do not readily lend themselves to temperature control, and therefore the center of the gas distribution plate tends to be very hot due to plasma heating while the perimeter tends to be colder.
  • the polymer accumulates as a solid film in the colder perimeter region and cannot accumulate in the hot center region. Between these two regions is a transition region where the polymer tends to accumulate as a fine powder, which tends to flake onto the wafer and create contamination.
  • the gas distribution plate is on the order of the diameter of the wafer (e.g., 9 inches or 14 inches) and its replacement is expensive due to the cost of the item as well as the non-productive time during which the reactor is disassembled for removal and replacement of the gas distribution plate.
  • periodic removal and replacement of the gas distribution plate is not a solution to the problem, as flaking of any accumulated polymer from the gas distribution plate can occur any time up to the replacement of the plate.
  • a plasma reactor embodying the invention includes a wafer support and a chamber enclosure member having an interior surface generally facing the wafer support. At least one miniature gas distribution plate for introducing a process gas into the reactor is supported on the chamber enclosure member and has an outlet surface which is a fraction of the area of the interior surface of said wafer support .
  • a coolant system maintains the chamber enclosure member at a low temperature, and the miniature gas distribution plate is at least partially thermally insulated from the chamber enclosure member so that it is maintained at a higher temperature by plasma heating.
  • FIG. 1 illustrates a conventional plasma reactor including a gas distribution plate of the prior art.
  • FIG. 2 is a cross-sectional cut-away view of a plasma reactor including a water-cooled ceiling and an array of thermally isolated mini-gas distribution plates embodying the invention.
  • FIG. 3 is a plan view of the ceiling interior surface corresponding to FIG. 2.
  • FIG. 4 is a plan view of an individual mini-gas distribution plate of the invention having angled gas inlets providing a preferred vortex pattern of gas spray.
  • FIG. 5 is a cross-sectional cut-away view corresponding to FIG. 4.
  • FIG. 6 illustrates an alternative spray pattern corresponding to FIG. 4.
  • FIG. 7 is an enlarged cut-away cross-sectional view corresponding to FIG. 2.
  • FIG. 8 is an view corresponding to FIG. 7 illustrating a method of fastening the mini-gas distribution plate on the ceiling.
  • FIG. 9 illustrates an alternative embodiment of the invention having a mini-gas distribution plate mounted on the side wall of the reactor chamber.
  • a reactor embodying the present invention has a cooled ceiling formed preferably of a good thermal conductor such as metal and an array of mini-gas distribution plates embedded therein, the gas distribution plates being thermally isolated from the cooled ceiling.
  • the ceiling is sufficiently cooled so that polymer accumulates thereon as a solid film with little or no tendency to flake off, while the mini-gas distribution plates reach a sufficiently high temperature from plasma-heating so that no polymer accumulates thereon.
  • neither the ceiling nor the array of mini-gas distribution plates harbors polymer having a tendency to flake.
  • the necessity for replacement of internal chamber parts is greatly reduced if not eliminated.
  • Each mini-gas distribution plate has plural gas injection holes connected to a common manifold within the plate.
  • the area of each of the mini-gas distribution plates facing the plasma is limited so that: (1) the area is contained within a region in which the turbulence from the injected gas in the vicinity of the inlets prevents or impedes polymer accumulation, and (2) the size or thermal mass of the mini-gas distribution plate is sufficiently low to allow rapid plasma-heating of the plate.
  • the gas injection holes in each mini-gas distribution plate are angled relative to the surface of the plate facing the chamber interior. Preferably, the gas injection holes are angled so that the gas injection streams from adjacent holes cross one another or together form a vortex pattern.
  • the mini-gas distribution plates extend slightly out from the surface of the ceiling, to enhance plasma-heating thereof and to enhance gas injection turbulence.
  • the mini-gas distribution plates are each a relatively small fraction of the area of the entire ceiling.
  • FIG. 1 illustrates a conventional plasma reactor chamber 100 having a cylindrical side wall 105 supporting a ceiling 110 consisting of a large gas distribution plate 115.
  • the gas distribution plate 115 covers a major portion of the ceiling 110.
  • the gas distribution plate has a gas manifold 120 from which plural gas inlets 125 extend downwardly to the reactor chamber interior.
  • the gas distribution plate 115 overlies a wafer support 130 on which a semiconductor wafer 135 is mounted.
  • the gas distribution plate 115 has a diameter generally corresponding to that of the wafer 135, and may be on the order of 9 inches or 14 inches in diameter.
  • Process gas is supplied to the gas distribution plate manifold by a process gas source 140 through a pump 145.
  • the pressure within the chamber is maintained at a desired vacuum level by a vacuum pump 150.
  • RF power is applied to the wafer support 130 by an RF generator 160 through an impedance match circuit 165.
  • the ceiling 110 or the gas distribution plate 115 may include a conductive material which is grounded to provide an RF return.
  • the gas source can provide a fluoro- hydrocarbon gas, in which case a polymer layer forms on a major portion of the gas distribution plate 115.
  • Heating from the plasma generally keeps the center portion of the gas distribution plate too hot to accumulate any polymer, while the peripheral edge portion of the gas distribution plate is sufficiently cool to permit a hard film of polymer to accumulate thereon.
  • An intermediate annular portion 170 of the gas distribution plate 115 is typically at an intermediate temperature at which the polymer can accumulate on the surface but cannot form a hard film. Instead, in the intermediate region 170 the polymer tends to be powdery and flakes easily, leading to contamination of the wafer 135. Therefore, the gas distribution plate 115 must be replaced frequently.
  • a plasma reactor embodying the present invention has a water-cooled ceiling 210 in which there are embedded an array of showerhead plugs or mini-gas distribution plates 220.
  • Each mini-gas distribution plate 220 is formed of a semi-metal such as silicon or a dielectric such as silicon dioxide (quartz) or sapphire, and has plural gas inlets 225 through which process gas is sprayed into the reactor chamber interior.
  • the mini-gas distribution plates 220 are thermally insulated from the water-cooled ceiling 210, so that they are readily heated by the plasma within the chamber.
  • Each gas distribution plate 220 is sufficiently small relative to the ceiling --has a sufficiently small thermal mass-- so as to be rapidly heated by the plasma upon plasma ignition.
  • the ceiling 210 may have a diameter in a range of 9 inches to 14 inches, while the gas distribution plate has an exposed diameter on the order of about 0.25-.5 inch.
  • the plasma heats each mini-gas distribution plate 220 to a sufficiently high temperature to prevent any accumulation of polymer thereon.
  • the advantage is that the gas inlets 225 of each mini-gas distribution plate 220 can be kept clear of polymer.
  • each mini-gas distribution plate 220 is sufficiently small so that the entire bottom surface 220a of the gas distribution plate 220 is enveloped within a region of gas flow turbulence of the process gas spray from the inlets 225.
  • each mini-gas distribution plate 220 has an exposed diameter on the order of about 0.25-0.5 inch. This region has sufficient gas turbulence to retard or prevent the accumulation of polymer on the surface 220a.
  • the gas turbulence around the bottom surface 220a is enhanced by introducing a crossing pattern of gas spray paths from the plural gas inlets 225 of the mini-gas distribution plate 220.
  • the embodiment of FIGS. 4 and 5 provides a vortex pattern (indicated by the arrows of FIG. 4) .
  • This is accomplished by drilling each of the gas inlets 225 at an angle A relative to the outlet surface 220a of the mini-gas distribution plate 220.
  • the angle A is in the range of about 20 degrees to 30 degrees.
  • the gas spray paths of the plural gas inlets 225 are directed at other inlets in order to enhance the gas turbulence.
  • the outlet surface 220a of the plate 220 extends slightly below the surface of the ceiling 210 by a distance d, as shown in FIG. 7.
  • the distance d is preferably about 0.02 inch to 0.03 inch or a fraction of the thickness of the gas distribution plate 220.
  • the enlarged cross-sectional view of FIG. 7 illustrates one preferred implementation in which the gas inlets 225 are angled holes passing entirely through the mini-gas distribution plate 220.
  • Process gas is supplied to the gas inlets 225 by a common manifold 230 formed in the ceiling 210, A water jacket 240 of the water-cooled ceiling 210 is also shown in the drawing of FIG. 7.
  • a thermal insulation layer 250 which may be aluminum nitride for example, is trapped between the mini-gas distribution plate 220 and the ceiling 210.
  • the water-cooled ceiling 210 is maintained at a sufficiently low temperature so that polymer accumulates on the entire ceiling as a very hard film which is virtually immune from flaking or contributing contamination to the chamber interior.
  • the thermally isolated mini-gas distribution plates 220 are heated by the plasma to a sufficiently high temperature to inhibit accumulation of polymer thereon.
  • the gas inlets 225 are kept clear of any polymer.
  • the small size of the mini-gas distribution plates 220 not only enables the plasma to heat them to the requisite temperature. It also permits the concentration of gas inlets 225 over the small surface 220a to provide sufficient gas turbulence to further inhibit the accumulation of polymer on the surface 220a or inlets 225.
  • the gas turbulence is enhanced by providing a crossed or vortex pattern of gas spray paths from each of the gas inlets 225 of the mini-gas distribution plate 220, and having the outlet surface 220a below the ceiling 210.
  • the combination of all of the foregoing features prevents any observable accumulation of polymer on any portion the mini-gas distribution plate 220.
  • mini-gas distribution plates 220 mounted on the ceiling 210 at four symmetrically spaced locations overlying the periphery of the wafer 135.
  • additional mini-gas distribution plates may be provided in other embodiments, or their placement modified from the arrangement illustrated in FIG. 5.
  • the advantage is that the ceiling and the gas distribution plate need not be periodically replaced, at least not as frequently as in the prior art, a significant advantage. Moreover, the system is more immune from contamination from polymer flaking regardless of the frequency with which the ceiling and gas distribution plates are replaced.
  • FIG. 8 illustrates one mode for mechanically holding the mini-gas distribution plate 220 in place on the ceiling 210.
  • the mini-plate 220 has an annular ear 280 extending radially from its periphery.
  • the ceiling 210 has a hole 290 in which the mini-plate 220 is nested, the ceiling 210 having upper and lower sections 210-1, 210-2 joined together by a threaded fastener 295.
  • Each section 210-1, 210-2 has an annular shelf 210-la, 210-2a which together form an annular pocket 297 for receiving and holding the annular ear 280.
  • polymer flaking from the ceiling 210 is inhibited not only by cooling the ceiling but, in addition, by providing a "waffled" surface on the ceiling.
  • the waffled surface partially illustrated in FIG. 3, consists of an array of 1 mm half-spherical "bumps" 300 spaced apart by about 4 mm.
  • the bumps 300 are arrayed in this manner across the entire interior surface of the ceiling 210. They tend to force the solid polymer film accumulated thereon to form local crystalline regions which are less susceptible to cracking than a large crystalline region.
  • mini-gas distribution plates may be mounted at other locations within the chamber, such as the chamber side wall, as illustrated in FIG. 9.
  • the side wall 105 preferably is water- cooled for the same reasons that the ceiling 210 is water cooled as explained above.
  • the mini-gas distribution plates 220 on the side wall 105 may be provided in addition to or in lieu of the gas distribution plates 220 on the ceiling 210.

Abstract

A plasma reactor embodying the invention includes a wafer support and a chamber enclosure member having an interior surface generally facing the wafer support. At least one miniature gas distribution plate (220) for introducing a process gas into the reactor is supported on the chamber enclosure member (210) and has an outlet surface which is a fraction of the area of the interior surface of said wafer support. A coolant system maintains the chamber enclosure member at a low temperature, and the miniature gas distribution plate is at least partially thermally insulated from the chamber enclosure member so that it is maintained at a higher temperature by plasma heating.

Description

PLASMA REACTOR COOLED CEILING WITH AN ARRAY OF THERMALLY ISOLATED PLASMA HEATED MINI-GAS DISTRIBUTION PLATES
Inventors: Hamid Noorbakhsh, Michael Welch, Siamak Salmian, Paul Luscher, Hongching Shan, Kaushik Vaidya, Jim Carducci, and Evans Lee
BACKGROUND OF THE INVENTION
Technical Field:
The invention is related to a plasma reactor for processing a semiconductor wafer using polymer precursor gases such as fluoro-carbon gases, and in particular to a gas distribution plate and ceiling thereof.
Background Art :
In plasma processing employed in the fabrication of semiconductor microelectronic integrated circuits, a semiconductor wafer is immersed in a plasma inside the chamber of a plasma reactor. The reactor may be thus employed to carry out any one of various processes on the wafer, such as chemical vapor deposition or reactive ion etching. In certain plasma etch processes carried out in such reactors, the upper most layer (the layer to be etched) may have a lower etch rate than the underlying layer (which must not be etched in most cases) . This presents an especially challenging problem because there would be no etch selectivity (or an inverse selectivity) of the upper layer to the lower layer. This situation is characteristic of a plasma process for etching a dielectric layer overlying another layer such as a semiconductor layer (e.g., a silicon dioxide layer overlying a polysilicon layer) using a process gas containing an etchant such as fluorine or fluoride compounds. The problem has been solved by using a fluoride compound such as a fluoro-carbon gas or a fluoro-hydrocarbon gas which, upon ionization, tends to break up into fluorine-containing etchant species and polymer precursor species . The polymer precursor species provide the requisite etch selectivity because it tends to accumulate as a hard polymer film on non-oxygen containing materials (such as the underlying polysilicon layer) but does not accumulate on oxygen-containing materials (such as the overlying silicon dioxide layer) . Thus, the underlying layer is protected from the etchant by the polymer layer while the overlying layer is left exposed to the etchant, so that the process has a net etch selectivity of the overlying layer.
The problem is that the polymer accumulates on the interior reactor surfaces, including the ceiling of the chamber. Typically, the ceiling consists of a gas distribution plate with gas distribution inlets or orifices through which the process gas must be sprayed into the reactor chamber for uniform distribution. .The plate must be formed of materials such as quartz which are suitable for carrying the etchant-containing process gases. Such materials do not readily lend themselves to temperature control, and therefore the center of the gas distribution plate tends to be very hot due to plasma heating while the perimeter tends to be colder. The polymer accumulates as a solid film in the colder perimeter region and cannot accumulate in the hot center region. Between these two regions is a transition region where the polymer tends to accumulate as a fine powder, which tends to flake onto the wafer and create contamination. This requires that the gas distribution plate be replaced periodically. The gas distribution plate is on the order of the diameter of the wafer (e.g., 9 inches or 14 inches) and its replacement is expensive due to the cost of the item as well as the non-productive time during which the reactor is disassembled for removal and replacement of the gas distribution plate. However, periodic removal and replacement of the gas distribution plate is not a solution to the problem, as flaking of any accumulated polymer from the gas distribution plate can occur any time up to the replacement of the plate.
One solution to this problem might be to cool the entire gas distribution plate so that the polymer deposited thereon is entirely of a hard consistency and will not flake. However, this would eventually block the gas inlets, stopping the inflow of the process gas. Another solution might be to heat the entire gas distribution plate sufficiently to prevent any polymer from accumulating thereon. However, this would expose the entire gas distribution plate to bombardment from the plasma and much faster wear.
Therefore, there is a need for a gas distribution plate which is not susceptible to accumulation of polymer or the flaking of accumulated polymer onto the wafer.
SUMMARY OF THE INVENTION A plasma reactor embodying the invention includes a wafer support and a chamber enclosure member having an interior surface generally facing the wafer support. At least one miniature gas distribution plate for introducing a process gas into the reactor is supported on the chamber enclosure member and has an outlet surface which is a fraction of the area of the interior surface of said wafer support . A coolant system maintains the chamber enclosure member at a low temperature, and the miniature gas distribution plate is at least partially thermally insulated from the chamber enclosure member so that it is maintained at a higher temperature by plasma heating.
BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 illustrates a conventional plasma reactor including a gas distribution plate of the prior art.
FIG. 2 is a cross-sectional cut-away view of a plasma reactor including a water-cooled ceiling and an array of thermally isolated mini-gas distribution plates embodying the invention.
FIG. 3 is a plan view of the ceiling interior surface corresponding to FIG. 2.
FIG. 4 is a plan view of an individual mini-gas distribution plate of the invention having angled gas inlets providing a preferred vortex pattern of gas spray.
FIG. 5 is a cross-sectional cut-away view corresponding to FIG. 4.
FIG. 6 illustrates an alternative spray pattern corresponding to FIG. 4.
FIG. 7 is an enlarged cut-away cross-sectional view corresponding to FIG. 2. FIG. 8 is an view corresponding to FIG. 7 illustrating a method of fastening the mini-gas distribution plate on the ceiling.
FIG. 9 illustrates an alternative embodiment of the invention having a mini-gas distribution plate mounted on the side wall of the reactor chamber.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A reactor embodying the present invention has a cooled ceiling formed preferably of a good thermal conductor such as metal and an array of mini-gas distribution plates embedded therein, the gas distribution plates being thermally isolated from the cooled ceiling. The ceiling is sufficiently cooled so that polymer accumulates thereon as a solid film with little or no tendency to flake off, while the mini-gas distribution plates reach a sufficiently high temperature from plasma-heating so that no polymer accumulates thereon. Thus, neither the ceiling nor the array of mini-gas distribution plates harbors polymer having a tendency to flake. As a result, the necessity for replacement of internal chamber parts (such as the ceiling or the mini-gas distribution plates) is greatly reduced if not eliminated.
Each mini-gas distribution plate has plural gas injection holes connected to a common manifold within the plate. The area of each of the mini-gas distribution plates facing the plasma is limited so that: (1) the area is contained within a region in which the turbulence from the injected gas in the vicinity of the inlets prevents or impedes polymer accumulation, and (2) the size or thermal mass of the mini-gas distribution plate is sufficiently low to allow rapid plasma-heating of the plate. In order to enhance the gas turbulence across the area of the plate, the gas injection holes in each mini-gas distribution plate are angled relative to the surface of the plate facing the chamber interior. Preferably, the gas injection holes are angled so that the gas injection streams from adjacent holes cross one another or together form a vortex pattern. In a preferred embodiment, the mini-gas distribution plates extend slightly out from the surface of the ceiling, to enhance plasma-heating thereof and to enhance gas injection turbulence. Preferably, the mini-gas distribution plates are each a relatively small fraction of the area of the entire ceiling.
FIG. 1 illustrates a conventional plasma reactor chamber 100 having a cylindrical side wall 105 supporting a ceiling 110 consisting of a large gas distribution plate 115. The gas distribution plate 115 covers a major portion of the ceiling 110. The gas distribution plate has a gas manifold 120 from which plural gas inlets 125 extend downwardly to the reactor chamber interior. The gas distribution plate 115 overlies a wafer support 130 on which a semiconductor wafer 135 is mounted. The gas distribution plate 115 has a diameter generally corresponding to that of the wafer 135, and may be on the order of 9 inches or 14 inches in diameter. Process gas is supplied to the gas distribution plate manifold by a process gas source 140 through a pump 145. The pressure within the chamber is maintained at a desired vacuum level by a vacuum pump 150. For capacitive coupling of RF power to the plasma within the chamber 100, RF power is applied to the wafer support 130 by an RF generator 160 through an impedance match circuit 165. The ceiling 110 or the gas distribution plate 115 may include a conductive material which is grounded to provide an RF return.
For a reactive ion etch process to be carried out on a dielectric layer, the gas source can provide a fluoro- hydrocarbon gas, in which case a polymer layer forms on a major portion of the gas distribution plate 115. Heating from the plasma generally keeps the center portion of the gas distribution plate too hot to accumulate any polymer, while the peripheral edge portion of the gas distribution plate is sufficiently cool to permit a hard film of polymer to accumulate thereon. An intermediate annular portion 170 of the gas distribution plate 115 is typically at an intermediate temperature at which the polymer can accumulate on the surface but cannot form a hard film. Instead, in the intermediate region 170 the polymer tends to be powdery and flakes easily, leading to contamination of the wafer 135. Therefore, the gas distribution plate 115 must be replaced frequently.
The foregoing problems are overcome in the present invention. Referring to FIGS. 2 and 3, a plasma reactor embodying the present invention has a water-cooled ceiling 210 in which there are embedded an array of showerhead plugs or mini-gas distribution plates 220. Each mini-gas distribution plate 220 is formed of a semi-metal such as silicon or a dielectric such as silicon dioxide (quartz) or sapphire, and has plural gas inlets 225 through which process gas is sprayed into the reactor chamber interior. Preferably, the mini-gas distribution plates 220 are thermally insulated from the water-cooled ceiling 210, so that they are readily heated by the plasma within the chamber. Each gas distribution plate 220 is sufficiently small relative to the ceiling --has a sufficiently small thermal mass-- so as to be rapidly heated by the plasma upon plasma ignition. (For example, the ceiling 210 may have a diameter in a range of 9 inches to 14 inches, while the gas distribution plate has an exposed diameter on the order of about 0.25-.5 inch. As a result, the plasma heats each mini-gas distribution plate 220 to a sufficiently high temperature to prevent any accumulation of polymer thereon. The advantage is that the gas inlets 225 of each mini-gas distribution plate 220 can be kept clear of polymer.
Preferably, the diameter of each mini-gas distribution plate 220 is sufficiently small so that the entire bottom surface 220a of the gas distribution plate 220 is enveloped within a region of gas flow turbulence of the process gas spray from the inlets 225. Thus, for example, each mini-gas distribution plate 220 has an exposed diameter on the order of about 0.25-0.5 inch. This region has sufficient gas turbulence to retard or prevent the accumulation of polymer on the surface 220a.
Referring to FIGS. 4 and 5, the gas turbulence around the bottom surface 220a is enhanced by introducing a crossing pattern of gas spray paths from the plural gas inlets 225 of the mini-gas distribution plate 220. The embodiment of FIGS. 4 and 5 provides a vortex pattern (indicated by the arrows of FIG. 4) . This is accomplished by drilling each of the gas inlets 225 at an angle A relative to the outlet surface 220a of the mini-gas distribution plate 220. Preferably, the angle A is in the range of about 20 degrees to 30 degrees. In an alternative embodiment illustrated in FIG. 6, the gas spray paths of the plural gas inlets 225 are directed at other inlets in order to enhance the gas turbulence. As a further aid in inhibiting the accumulation of polymer on the mini-gas distribution plates 220, the outlet surface 220a of the plate 220 extends slightly below the surface of the ceiling 210 by a distance d, as shown in FIG. 7. The distance d is preferably about 0.02 inch to 0.03 inch or a fraction of the thickness of the gas distribution plate 220. The enlarged cross-sectional view of FIG. 7 illustrates one preferred implementation in which the gas inlets 225 are angled holes passing entirely through the mini-gas distribution plate 220. Process gas is supplied to the gas inlets 225 by a common manifold 230 formed in the ceiling 210, A water jacket 240 of the water-cooled ceiling 210 is also shown in the drawing of FIG. 7. Preferably, a thermal insulation layer 250, which may be aluminum nitride for example, is trapped between the mini-gas distribution plate 220 and the ceiling 210.
The water-cooled ceiling 210 is maintained at a sufficiently low temperature so that polymer accumulates on the entire ceiling as a very hard film which is virtually immune from flaking or contributing contamination to the chamber interior. The thermally isolated mini-gas distribution plates 220 are heated by the plasma to a sufficiently high temperature to inhibit accumulation of polymer thereon. Thus, the gas inlets 225 are kept clear of any polymer. The small size of the mini-gas distribution plates 220 not only enables the plasma to heat them to the requisite temperature. It also permits the concentration of gas inlets 225 over the small surface 220a to provide sufficient gas turbulence to further inhibit the accumulation of polymer on the surface 220a or inlets 225. The gas turbulence is enhanced by providing a crossed or vortex pattern of gas spray paths from each of the gas inlets 225 of the mini-gas distribution plate 220, and having the outlet surface 220a below the ceiling 210. The combination of all of the foregoing features prevents any observable accumulation of polymer on any portion the mini-gas distribution plate 220.
In a preferred embodiment, there are four mini-gas distribution plates 220 mounted on the ceiling 210 at four symmetrically spaced locations overlying the periphery of the wafer 135. Of course, additional mini-gas distribution plates may be provided in other embodiments, or their placement modified from the arrangement illustrated in FIG. 5.
The advantage is that the ceiling and the gas distribution plate need not be periodically replaced, at least not as frequently as in the prior art, a significant advantage. Moreover, the system is more immune from contamination from polymer flaking regardless of the frequency with which the ceiling and gas distribution plates are replaced.
FIG. 8 illustrates one mode for mechanically holding the mini-gas distribution plate 220 in place on the ceiling 210. The mini-plate 220 has an annular ear 280 extending radially from its periphery. The ceiling 210 has a hole 290 in which the mini-plate 220 is nested, the ceiling 210 having upper and lower sections 210-1, 210-2 joined together by a threaded fastener 295. Each section 210-1, 210-2 has an annular shelf 210-la, 210-2a which together form an annular pocket 297 for receiving and holding the annular ear 280. In a preferred embodiment, polymer flaking from the ceiling 210 is inhibited not only by cooling the ceiling but, in addition, by providing a "waffled" surface on the ceiling. The waffled surface, partially illustrated in FIG. 3, consists of an array of 1 mm half-spherical "bumps" 300 spaced apart by about 4 mm. The bumps 300 are arrayed in this manner across the entire interior surface of the ceiling 210. They tend to force the solid polymer film accumulated thereon to form local crystalline regions which are less susceptible to cracking than a large crystalline region.
While the invention has been described with reference to a preferred embodiment in which the mini-gas distribution plates are mounted in the reactor chamber ceiling, in an alternative embodiment mini-gas distribution plates may be mounted at other locations within the chamber, such as the chamber side wall, as illustrated in FIG. 9. In this alternative embodiment, the side wall 105 preferably is water- cooled for the same reasons that the ceiling 210 is water cooled as explained above. The mini-gas distribution plates 220 on the side wall 105 may be provided in addition to or in lieu of the gas distribution plates 220 on the ceiling 210.
While the invention has been described in detail by specific reference to preferred embodiments, it is understood that variations and modifications may be made without departing from the true spirit and scope of the invention.

Claims

What is claimed is :
1. A plasma reactor for processing a semiconductor wafer, comprising: a plasma source power applicator; a wafer support ; a chamber enclosure member having an interior surface generally facing said wafer support; at least one miniature gas distribution plate for introducing a process gas into said reactor and supported on said chamber enclosure member and having an outlet surface which is a fraction of the area of said interior surface of said wafer support; said chamber enclosure member comprising coolant passages for maintaining said chamber enclosure member at a low temperature; and a thermally resistant element between said miniature gas distribution plate and said chamber enclosure .
2. The reactor of Claim 1 wherein said thermally resistant element has a sufficiently low heat conductance so that plasma heating can maintain said miniature gas distribution plate at a sufficiently high temperature to prevent accumulation of polymer.
3. The reactor of Claim 1 wherein said cooling passages are sufficient to maintain said chamber enclosure at a temperature to inhibit flaking of accumulated polymer therefrom.
4. The apparatus of Claim 1 wherein said gas distribution plate is of a sufficiently small size so that plasma heating thereof is capable of maintaining said gas distribution plate at a sufficiently high temperature to prevent accumulation of polymer thereon.
5. The apparatus of Claim 1 wherein said miniature gas distribution plate comprises plural gas inlet holes having respective openings at said outlet surface.
6. The apparatus of Claim 5 wherein said plural gas inlet holes extend through said miniature gas distribution plate, said chamber enclosure member comprising a process gas supply manifold in communication with said plural gas inlet holes .
7. The apparatus of Claim 5 wherein said gas inlet holes are elongate and extend angularly with respect to a perpendicular direction to said outlet surface.
8. The apparatus of Claim 7 wherein said inlet holes are angled relative to said outlet surface so as to provide respective spray patterns from said respective inlet holes which have a crossing pattern.
9. The apparatus of Claim 7 wherein said inlet holes are angled relative to said outlet surface so as to provide respective spray patterns from said respective inlet holes which have a vortex pattern.
10. The apparatus of Claim 7 wherein said inlet holes provide spray patterns which enhance gas turbulence in a gas turbulent region proximal said outlet surface.
11. The apparatus of Claim 7 wherein said outlet surface has a sufficiently small surface area so as to be contained within said gas turbulent region.
12. The apparatus of Claim 1 further a coolant source for circulating coolant within said passages.
13. The apparatus of Claim 1 further comprising an array of miniature gas distribution plates on said chamber enclosure member.
14. The apparatus of Claim 13 wherein said chamber enclosure member comprises a ceiling overlying said wafer support, said ceiling having a surface area exceeding the surface area of said wafer support, the outlet surface of each of said miniature gas distribution plates being of an area at least about one order of magnitude less than the surface area of said ceiling.
15. The apparatus of Claim 14 further comprising plural holes in the interior surface of said ceiling within which respective ones of said miniature gas distribution plates are nested.
16. The apparatus of Claim 15 wherein each of said miniature gas distribution plates protrudes from the respective hole so that the outlet surface of each of the gas distribution plates protrudes below the interior surface of said ceiling by a distance d.
17. The apparatus of Claim 16 wherein the interior surface of said ceiling is waffled to provide better adhesion thereto of polymer accumulated thereon.
18. The apparatus of Claim 16 wherein said distance d is on the order of a millimeter.
19. The apparatus of Claim 18 wherein the diameter of each of said miniature gas distribution plates is on the order of less than 1 cm, while the diameter of said ceiling is on the order of about 25 cm.
20. The apparatus of Claim 1 wherein the thermal mass of said miniature gas distribution plate is sufficiently small and the thermal insulation thereof is sufficiently great so that the plasma heating thereof maintains said miniature gas distribution plate above a polymer condensation temperature, while said cooling system is sufficient to maintain said ceiling below a polymer condensation temperature.
21. A plasma reactor comprising: a chamber enclosure including a ceiling, said enclosure defining a chamber interior; a wafer support within said chamber interior and underlying said ceiling; a plasma source power applicator; an array of plural miniature gas distribution plates on the interior side of said ceiling, each of said miniature gas distribution plates having an outlet surface facing said chamber interior with plural gas inlet holes for spraying respective streams of process gas into said chamber interior, said outlet surface having an area less than a fraction of the area of the interior of said ceiling.
22. The reactor of Claim 21 further comprising: a source of a deposition precursor-containing process gas coupled to each of said miniature gas distribution plates, said process gas being a precursor of a deposition material having a condensation temperature; wherein said miniature gas distribution plates are susceptible of being heated to a temperature above said condensation temperature by a plasma within chamber interior while permitting said ceiling to remain at a temperature below said condensation temperature.
23. The reactor of Claim 21 wherein said gas inlet holes are angled relative to said outlet surface so as to enhance gas turbulence produced by said respective streams of process gas in the vicinity of said outlet surface.
24. The reactor of Claim 23 wherein said gas inlet holes are angled so as to provide a crossing pattern of said respective streams .
25. The reactor of Claim 23 wherein said gas inlet holes are angled so as to provide a vortex pattern of said respective streams.
26. The reactor of Claim 21 further comprising a cooling system for cooling said ceiling.
27. The reactor of Claim 26 where in said cooling system is sufficient to maintain said ceiling below said condensation temperature.
28. The reactor of Claim 27 wherein cooling system comprising coolant passages in said ceiling and a coolant source for supporting coolant flow through said coolant passages .
29. The reactor of Claim 21 further comprising thermal insulation between each of said miniature gas distribution plates and said ceiling.
30. The reactor of Claim 29 wherein said thermal insulation is sufficient to permit plasma heating of said miniature gas distribution plates above said condensation temperature while said ceiling is below said condensation temperature .
31. The reactor of Claim 29 wherein said thermal insulation has a thermal resistance- on the order of that of aluminum nitride.
32. The reactor of Claim 21 wherein said gas distribution plate is of a sufficiently small size so that it is susceptible of being heated above said condensation temperature by plasma heating.
33. The reactor of Claim 21 wherein said gas distribution plate has a diameter of about 0.25 inch.
34. The reactor of Claim 21 wherein said gas distribution plate protrudes beyond an interior surface of said chamber enclosure by a fraction of the thickness of said gas distribution plate.
35. The reactor of Claim 34 wherein said fraction is on the order of about one-half.
36. The reactor of Claim 22 further comprising waffling on the interior surface of said ceiling, said waffling being sufficient to promote adhesion of said deposition material on the ceiling interior surface.
37. The reactor of Claim 36 wherein said waffling comprises plural bumps on said interior ceiling surface, said bumps having a typical heignt on the order of about 1 mm at a bump-to-bump spacing on the order of about 4 mm.
38. A method of operating a plasma reactor having a reactor chamber enclosure member, said method comprising: mounting on said reactor chamber enclosure member plural miniature gas distribution plates each with plural spray orifices at an outlet surface thereof for spraying a process gas into a chamber interior defined by said reactor chamber enclosure member; supplying a process gas containing a polymer precursor to said plural miniature gas distribution plates; igniting a plasma within said chamber interior; maintaining said ceiling at a sufficiently low temperature to promote polymer deposition on said reactor chamber enclosure member; said outlet surface of each of said miniature gas distribution plates being sufficiently thermally isolated from said reactor chamber enclosure member to permit heating thereof by said plasma to a temperature sufficiently high to inhibit accumulation of polymer thereon.
39. The method of Claim 38 further comprising thermally insulating said plural miniature gas distribution plates from said reactor chamber member.
40. The method of Claim 38 further comprising creating gas turbulence from the spraying of process gas in the vicinity of said outlet surface of each of said miniature gas distribution plates.
41. A showerhead plug for mounting on an interior side of a plasma reactor chamber enclosure member, said plug comprising: plural gas inlet holes for spraying a process gas into said reactor at an outlet surface of said plate; and said miniature gas distribution plate being of a small fraction of the size of said chamber enclosure member.
42. The plug of Claim 41 further comprising: a thermally insulating material for thermally insulating at least said outlet surface from said chamber enclosure member.
43. The plug of Claim 41 wherein said chamber enclosure member comprises a reactor chamber ceiling.
44. The plug of Claim 41 wherein said plural gas inlet holes are angled relative to said outlet surface to enhance gas turbulence in the vicinity of said outlet surface.
45. The plug of Claim 44 wherein said plural gas inlet holes provide a vortex spray pattern.
46. The plug of Claim 44 wherein said plural gas inlet holes provide a crossing spray pattern.
47. The plug of Claim 41 wherein said plug comprises a showerhead body and thermal insulation between said body said ceiling.
48. The reactor of Claim 47 wherein said thermal insulation is sufficient to permit plasma heating of said showerhead plug above a condensation temperature of a deposition precursor material contained in a process gas while said ceiling is below said condensation temperature.
49. The reactor of Claim 47 wherein said thermal insulation has a thermal resistance on the order of that of aluminum nitride.
50. The reactor of Claim 48 wherein said showerhead plug is of a sufficiently small size so that it is susceptible of being heated above said condensation temperature by plasma heating.
51. The reactor of Claim 41 wherein said gas distribution plate has a diameter of about 0.25 inch.
52. The reactor of Claim 41 wherein said showerhead plug protrudes beyond an interior surface of said chamber enclosure by a fraction of the thickness of said showerhead plug.
53. The reactor of Claim 52 wherein said showerhead plug protrudes beyond an interior surface Of*"said chamber by about 0.2 inches to 0.3 inches .
54. A method of distributing process gases within a plasma rector, comprising: mounting on an enclosure member of said reactor plural miniature gas distribution plates each with plural spray orifices capable of injecting process gases into the reactor interior; said gas distribution plates each being provided with a small thermal mass relative to the enclosure member; and controlling the temperature of the enclosure member.
55. The method of Claim 54 further comprising: at least partially thermally isolating said plate from said reactor member.
56. The method of Claim 54 further comprising at least partially thermally isolating said plate from said reactor member sufficiently to permit plasma heating of said plate to prevent accumulation of deposits thereon.
57. The method of Claim 54 wherein the step of controlling the temperature of the member comprises cooling said member sufficiently to enhance adhesion of deposited materials thereto.
58. The method of Claim 54 further comprising: texturing the interior surface of said enclosure .
59. The method of Claim 54 further comprising: sizing the miniature plates to facilitate plasma heating thereof above the temperature of said enclosure.
60. The method of Claim 54 forming said plates of at least one of the following materials : a semi-metal material such as silicon; a dielectric material such as quartz or sapphire.
PCT/US2000/042751 1999-12-14 2000-12-11 Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates WO2001045135A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001545338A JP4649088B2 (en) 1999-12-14 2000-12-11 Cooling ceiling for plasma reactor with small gas distribution plate array that is insulated and plasma heated

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/461,682 US6432259B1 (en) 1999-12-14 1999-12-14 Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
US09/461,682 1999-12-14

Publications (3)

Publication Number Publication Date
WO2001045135A2 true WO2001045135A2 (en) 2001-06-21
WO2001045135A3 WO2001045135A3 (en) 2002-03-14
WO2001045135A9 WO2001045135A9 (en) 2002-08-15

Family

ID=23833530

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/042751 WO2001045135A2 (en) 1999-12-14 2000-12-11 Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates

Country Status (3)

Country Link
US (1) US6432259B1 (en)
JP (1) JP4649088B2 (en)
WO (1) WO2001045135A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104607133A (en) * 2015-01-22 2015-05-13 宜兴市阳洋塑料助剂有限公司 Hydrolysis reaction device for amino glycerine

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020069970A1 (en) * 2000-03-07 2002-06-13 Applied Materials, Inc. Temperature controlled semiconductor processing chamber liner
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
JP4720019B2 (en) * 2001-05-18 2011-07-13 東京エレクトロン株式会社 Cooling mechanism and processing device
US6626188B2 (en) * 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6797108B2 (en) * 2001-10-05 2004-09-28 Applied Materials, Inc. Apparatus and method for evenly flowing processing gas onto a semiconductor wafer
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US7055212B2 (en) * 2002-10-24 2006-06-06 Texas Instruments Incorporated Clean gas injector system for reactor chamber
US20040261712A1 (en) * 2003-04-25 2004-12-30 Daisuke Hayashi Plasma processing apparatus
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7481312B2 (en) * 2004-12-02 2009-01-27 Hitachi Global Storage Technologies Netherlands B.V. Direct cooling pallet assembly for temperature stability for deep ion mill etch process
US7296420B2 (en) * 2004-12-02 2007-11-20 Hitachi Global Storage Technologies Amsterdam, B.V. Direct cooling pallet tray for temperature stability for deep ion mill etch process
US20060137608A1 (en) * 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
US7718030B2 (en) * 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US20070256637A1 (en) * 2006-04-28 2007-11-08 Applied Materials, Inc. Methods and apparatus for using a reinforced diffuser in substrate processing
US8444926B2 (en) * 2007-01-30 2013-05-21 Applied Materials, Inc. Processing chamber with heated chamber liner
ES2331489T3 (en) * 2007-03-05 2010-01-05 Applied Materials, Inc. COATING SYSTEM AND GAS DRIVING SYSTEM.
US20080241377A1 (en) * 2007-03-29 2008-10-02 Tokyo Electron Limited Vapor deposition system and method of operating
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
KR20090018290A (en) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 Deposition apparatus
KR101177983B1 (en) 2007-10-11 2012-08-29 발렌스 프로세스 이큅먼트, 인코포레이티드 Chemical vapor deposition reactor
US20090226614A1 (en) * 2008-03-04 2009-09-10 Tokyo Electron Limited Porous gas heating device for a vapor deposition system
US8291856B2 (en) * 2008-03-07 2012-10-23 Tokyo Electron Limited Gas heating device for a vapor deposition system
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
JP5336968B2 (en) * 2009-07-30 2013-11-06 東京エレクトロン株式会社 Electrode for plasma processing apparatus and plasma processing apparatus
US8272347B2 (en) * 2009-09-14 2012-09-25 Tokyo Electron Limited High temperature gas heating device for a vapor deposition system
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8852347B2 (en) 2010-06-11 2014-10-07 Tokyo Electron Limited Apparatus for chemical vapor deposition control
US9139910B2 (en) 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
US9597701B2 (en) * 2013-12-31 2017-03-21 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US9657397B2 (en) * 2013-12-31 2017-05-23 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
KR20160021958A (en) * 2014-08-18 2016-02-29 삼성전자주식회사 Plasma treating apparatus and substrate treating apparatus
JP6503730B2 (en) * 2014-12-22 2019-04-24 東京エレクトロン株式会社 Film deposition system
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
JP6922959B2 (en) * 2019-09-20 2021-08-18 株式会社明電舎 Oxide film forming device
KR20210041354A (en) * 2019-10-07 2021-04-15 삼성전자주식회사 Gas supply unit and substrate processing apparatus having the same
CN114623317B (en) * 2020-12-11 2023-03-31 上海微电子装备(集团)股份有限公司 Periodic structure, heat insulation device and wafer bonding device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
WO2000041212A1 (en) * 1998-12-30 2000-07-13 Lam Research Corporation Gas injection system for plasma processing

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01211921A (en) * 1988-02-19 1989-08-25 Toshiba Corp Dry etching apparatus
JPH07230956A (en) * 1994-02-18 1995-08-29 Kokusai Electric Co Ltd Plasma cvd device
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
TW343356B (en) * 1996-05-13 1998-10-21 Applied Materials Inc Deposition chamber and method for depositing low dielectric films
US6013155A (en) 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
JP3198956B2 (en) * 1996-11-28 2001-08-13 日新電機株式会社 GaN thin film vapor deposition method and thin film vapor deposition apparatus
US6071372A (en) 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
US6158384A (en) * 1997-06-05 2000-12-12 Applied Materials, Inc. Plasma reactor with multiple small internal inductive antennas
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5423936A (en) * 1992-10-19 1995-06-13 Hitachi, Ltd. Plasma etching system
WO2000041212A1 (en) * 1998-12-30 2000-07-13 Lam Research Corporation Gas injection system for plasma processing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104607133A (en) * 2015-01-22 2015-05-13 宜兴市阳洋塑料助剂有限公司 Hydrolysis reaction device for amino glycerine

Also Published As

Publication number Publication date
WO2001045135A3 (en) 2002-03-14
US6432259B1 (en) 2002-08-13
JP4649088B2 (en) 2011-03-09
WO2001045135A9 (en) 2002-08-15
JP2003517198A (en) 2003-05-20

Similar Documents

Publication Publication Date Title
US6432259B1 (en) Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
KR100726381B1 (en) Gas distribution apparatus for semiconductor processing
KR101280184B1 (en) Gas distribution member supplying process gas and rf power for plasma processing
KR101411674B1 (en) High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US6326597B1 (en) Temperature control system for process chamber
KR100728651B1 (en) Reduction of plasma edge effect on plasma enhanced cvd processes
KR100587629B1 (en) Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
JP4732646B2 (en) Gas distribution equipment for semiconductor processing
TWI414211B (en) Apparatus including showerhead electrode and heater for plasma processing
US6335293B1 (en) Systems and methods for two-sided etch of a semiconductor substrate
KR100352770B1 (en) Topology induced plasma enhancement for etched uniformity improvement
US6079356A (en) Reactor optimized for chemical vapor deposition of titanium
US6173674B1 (en) Plasma reactor with a deposition shield
US6189484B1 (en) Plasma reactor having a helicon wave high density plasma source
KR100862658B1 (en) Gas injection apparatus for semiconductor processing system
CN115799031A (en) Semiconductor processing chamber for improved precursor flow
US6033585A (en) Method and apparatus for preventing lightup of gas distribution holes
US20090127234A1 (en) Plasma processing chamber with guard ring for upper electrode assembly
KR20040005836A (en) Stepped upper electrode for plasma processing uniformity
KR20000048585A (en) Particle controlling method and plasma processing chamber
JP2003503838A (en) Plasma reaction chamber components with improved temperature uniformity
WO1992010308A1 (en) Minimization of particle generation in cvd reactors and methods
KR100602072B1 (en) Contamination controlling method and plasma processing chamber
JP2935487B2 (en) Equipment for processing substrates at liquefied gas temperature
JP2001504160A (en) Apparatus for reducing polymer deposition on substrate holders

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: A3

Designated state(s): JP

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2001 545338

Kind code of ref document: A

Format of ref document f/p: F

COP Corrected version of pamphlet

Free format text: PAGES 1/3-3/3, DRAWINGS, REPLACED BY NEW PAGES 1/3-3/3; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE