WO2001059821A8 - A process for forming a semiconductor structure - Google Patents

A process for forming a semiconductor structure

Info

Publication number
WO2001059821A8
WO2001059821A8 PCT/US2001/004388 US0104388W WO0159821A8 WO 2001059821 A8 WO2001059821 A8 WO 2001059821A8 US 0104388 W US0104388 W US 0104388W WO 0159821 A8 WO0159821 A8 WO 0159821A8
Authority
WO
WIPO (PCT)
Prior art keywords
layer
buffer layer
oxide
semiconducteur
accommodating buffer
Prior art date
Application number
PCT/US2001/004388
Other languages
French (fr)
Other versions
WO2001059821A1 (en
Inventor
Jamal Ramdani
Ravindranath Droopad
Lyndee L Hilt
Kurt William Eisenbeiser
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Priority to CA002399394A priority Critical patent/CA2399394A1/en
Priority to AU2001234993A priority patent/AU2001234993A1/en
Priority to JP2001559048A priority patent/JP2003523081A/en
Priority to EP01907188A priority patent/EP1258030A1/en
Publication of WO2001059821A1 publication Critical patent/WO2001059821A1/en
Publication of WO2001059821A8 publication Critical patent/WO2001059821A8/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/026Monolithically integrated components, e.g. waveguides, monitoring photo-detectors, drivers
    • H01S5/0261Non-optical elements, e.g. laser driver components, heaters
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/18Epitaxial-layer growth characterised by the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02433Crystal orientation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02469Group 12/16 materials
    • H01L21/02472Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • H01L21/02507Alternating layers, e.g. superlattice
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02513Microstructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02543Phosphides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02546Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02557Sulfides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8252Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using III-V technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8258Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using a combination of technologies covered by H01L21/8206, H01L21/8213, H01L21/822, H01L21/8252, H01L21/8254 or H01L21/8256
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0605Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits made of compound material, e.g. AIIIBV
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/15Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components with at least one potential-jump barrier or surface barrier specially adapted for light emission
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/16Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies with a particular crystal structure or orientation, e.g. polycrystalline, amorphous or porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L33/00Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L33/02Semiconductor devices with at least one potential-jump barrier or surface barrier specially adapted for light emission; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by the semiconductor bodies
    • H01L33/26Materials of the light emitting region
    • H01L33/30Materials of the light emitting region containing only elements of group III and group V of the periodic system
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/0256Selenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/0206Substrates, e.g. growth, shape, material, removal or bonding
    • H01S5/021Silicon based substrates

Abstract

High quality epitaxial layers of compound semiconductor materials can be grown overlying large silicon wafers by first growing an accommodating buffer layer (24) on a silicon wafer (22). The accommodating buffer layer (24) is a layer of monocrystalline oxide spaced apart from the silicon wafer by an amorphous interface layer (28) of silicon oxide. The amorphous interface layer is preferably formed by oxygen diffusion through the oxide buffer and permits the growth of a high quality monocrystalline oxide accommodating buffer layer. The process further may comprise formation of template layers (28, 30) and a semiconducteur buffer layer (32). It's especially suited for cointegration of compound semiconducteur and Si SMOS devices.
PCT/US2001/004388 2000-02-10 2001-02-08 A process for forming a semiconductor structure WO2001059821A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
CA002399394A CA2399394A1 (en) 2000-02-10 2001-02-08 A process for forming a semiconductor structure
AU2001234993A AU2001234993A1 (en) 2000-02-10 2001-02-08 A process for forming a semiconductor structure
JP2001559048A JP2003523081A (en) 2000-02-10 2001-02-08 Method for manufacturing semiconductor structure
EP01907188A EP1258030A1 (en) 2000-02-10 2001-02-08 A process for forming a semiconductor structure

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/502,023 US6392257B1 (en) 2000-02-10 2000-02-10 Semiconductor structure, semiconductor device, communicating device, integrated circuit, and process for fabricating the same
US09/502,023 2000-02-10

Publications (2)

Publication Number Publication Date
WO2001059821A1 WO2001059821A1 (en) 2001-08-16
WO2001059821A8 true WO2001059821A8 (en) 2001-11-15

Family

ID=23995993

Family Applications (7)

Application Number Title Priority Date Filing Date
PCT/US2001/004208 WO2001059835A1 (en) 2000-02-10 2001-02-08 Semiconductor devices
PCT/US2001/004207 WO2001059814A2 (en) 2000-02-10 2001-02-08 Semiconductor structure
PCT/US2001/004209 WO2001059820A1 (en) 2000-02-10 2001-02-08 Semiconductor structure
PCT/US2001/004389 WO2001059837A1 (en) 2000-02-10 2001-02-08 Integrated circuit
PCT/US2001/004386 WO2001059836A1 (en) 2000-02-10 2001-02-08 Communicating device
PCT/US2001/004388 WO2001059821A1 (en) 2000-02-10 2001-02-08 A process for forming a semiconductor structure
PCT/US2001/004422 WO2001059822A1 (en) 2000-02-10 2001-02-08 A process for forming a semiconductor structure

Family Applications Before (5)

Application Number Title Priority Date Filing Date
PCT/US2001/004208 WO2001059835A1 (en) 2000-02-10 2001-02-08 Semiconductor devices
PCT/US2001/004207 WO2001059814A2 (en) 2000-02-10 2001-02-08 Semiconductor structure
PCT/US2001/004209 WO2001059820A1 (en) 2000-02-10 2001-02-08 Semiconductor structure
PCT/US2001/004389 WO2001059837A1 (en) 2000-02-10 2001-02-08 Integrated circuit
PCT/US2001/004386 WO2001059836A1 (en) 2000-02-10 2001-02-08 Communicating device

Family Applications After (1)

Application Number Title Priority Date Filing Date
PCT/US2001/004422 WO2001059822A1 (en) 2000-02-10 2001-02-08 A process for forming a semiconductor structure

Country Status (9)

Country Link
US (9) US6392257B1 (en)
EP (5) EP1258038A1 (en)
JP (5) JP2003523078A (en)
KR (5) KR20020077678A (en)
CN (5) CN1398423A (en)
AU (7) AU2001234993A1 (en)
CA (2) CA2400513A1 (en)
TW (6) TW497152B (en)
WO (7) WO2001059835A1 (en)

Families Citing this family (141)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19942692B4 (en) * 1999-09-07 2007-04-12 Infineon Technologies Ag Optoelectronic microelectronic assembly
US6693033B2 (en) 2000-02-10 2004-02-17 Motorola, Inc. Method of removing an amorphous oxide from a monocrystalline surface
US20020030246A1 (en) * 2000-06-28 2002-03-14 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices not lattice matched to the substrate
AU2001264987A1 (en) * 2000-06-30 2002-01-14 Motorola, Inc., A Corporation Of The State Of Delware Hybrid semiconductor structure and device
AU2001276964A1 (en) * 2000-07-24 2002-02-05 Motorola, Inc. Integrated radiation emitting system and process for fabricating same
US6590236B1 (en) * 2000-07-24 2003-07-08 Motorola, Inc. Semiconductor structure for use with high-frequency signals
US6555946B1 (en) 2000-07-24 2003-04-29 Motorola, Inc. Acoustic wave device and process for forming the same
US6677655B2 (en) 2000-08-04 2004-01-13 Amberwave Systems Corporation Silicon wafer with embedded optoelectronic material for monolithic OEIC
US7273657B2 (en) * 2000-08-08 2007-09-25 Translucent Photonics, Inc. Rare earth-oxides, rare earth-nitrides, rare earth-phosphides and ternary alloys with silicon
US6638838B1 (en) 2000-10-02 2003-10-28 Motorola, Inc. Semiconductor structure including a partially annealed layer and method of forming the same
AU2001294601A1 (en) * 2000-10-19 2002-04-29 Motorola, Inc. Biochip excitation and analysis structure
US6905900B1 (en) * 2000-11-28 2005-06-14 Finisar Corporation Versatile method and system for single mode VCSELs
US7065124B2 (en) * 2000-11-28 2006-06-20 Finlsar Corporation Electron affinity engineered VCSELs
US6563118B2 (en) * 2000-12-08 2003-05-13 Motorola, Inc. Pyroelectric device on a monocrystalline semiconductor substrate and process for fabricating same
US6589335B2 (en) * 2001-02-08 2003-07-08 Amberwave Systems Corporation Relaxed InxGa1-xAs layers integrated with Si
US6673646B2 (en) 2001-02-28 2004-01-06 Motorola, Inc. Growth of compound semiconductor structures on patterned oxide films and process for fabricating same
US20020140012A1 (en) * 2001-03-30 2002-10-03 Motorola, Inc. Semiconductor structures and devices for detecting far-infrared light and methods for fabricating same
US6594409B2 (en) * 2001-04-18 2003-07-15 Apic Corporation WDM transmitter or receiver including an array waveguide grating and active optical elements
US20020163010A1 (en) * 2001-05-04 2002-11-07 Motorola, Inc. Wide bandgap semiconductor structure, semiconductor device including the structure, and methods of forming the structure and device
US20020175347A1 (en) * 2001-05-22 2002-11-28 Motorola, Inc. Hybrid semiconductor input/output structure
US20020179957A1 (en) * 2001-05-29 2002-12-05 Motorola, Inc. Structure and method for fabricating high Q varactor diodes
US20020182762A1 (en) * 2001-05-30 2002-12-05 Motorola Inc. Direct conversion/sampling at antenna
US20020181827A1 (en) * 2001-06-01 2002-12-05 Motorola, Inc. Optically-communicating integrated circuits
US7037862B2 (en) * 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
US20020195599A1 (en) * 2001-06-20 2002-12-26 Motorola, Inc. Low-defect semiconductor structure, device including the structure and method for fabricating structure and device
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US20030012965A1 (en) * 2001-07-10 2003-01-16 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices utilizing the formation of a compliant substrate comprising an oxygen-doped compound semiconductor layer
US6992321B2 (en) * 2001-07-13 2006-01-31 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices utilizing piezoelectric materials
US20030013219A1 (en) * 2001-07-13 2003-01-16 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices utilizing electro-optic structures
US20030015767A1 (en) * 2001-07-17 2003-01-23 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices with integrated control components
US20030015134A1 (en) * 2001-07-18 2003-01-23 Motorola, Inc. Semiconductor structure for edge mounting applications and process for fabrication
US6646293B2 (en) 2001-07-18 2003-11-11 Motorola, Inc. Structure for fabricating high electron mobility transistors utilizing the formation of complaint substrates
US6693298B2 (en) 2001-07-20 2004-02-17 Motorola, Inc. Structure and method for fabricating epitaxial semiconductor on insulator (SOI) structures and devices utilizing the formation of a compliant substrate for materials used to form same
US20030020144A1 (en) * 2001-07-24 2003-01-30 Motorola, Inc. Integrated communications apparatus and method
US20030020090A1 (en) * 2001-07-25 2003-01-30 Motorola, Inc. Structure including both compound semiconductor devices and silicon devices for optimal performance and function and method for fabricating the structure
US20030020071A1 (en) * 2001-07-25 2003-01-30 Motorola, Inc. Integral semiconductor apparatus for conducting a plurality of functions
US6667196B2 (en) 2001-07-25 2003-12-23 Motorola, Inc. Method for real-time monitoring and controlling perovskite oxide film growth and semiconductor structure formed using the method
US20030021538A1 (en) * 2001-07-25 2003-01-30 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices utilizing optical waveguides
US6639249B2 (en) 2001-08-06 2003-10-28 Motorola, Inc. Structure and method for fabrication for a solid-state lighting device
US6589856B2 (en) 2001-08-06 2003-07-08 Motorola, Inc. Method and apparatus for controlling anti-phase domains in semiconductor structures and devices
US20030034491A1 (en) * 2001-08-14 2003-02-20 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices for detecting an object
US6673667B2 (en) 2001-08-15 2004-01-06 Motorola, Inc. Method for manufacturing a substantially integral monolithic apparatus including a plurality of semiconductor materials
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
JP4543162B2 (en) * 2001-09-05 2010-09-15 独立行政法人産業技術総合研究所 ZnOSSe mixed crystal semiconductor
DE50106925D1 (en) * 2001-10-15 2005-09-01 Infineon Technologies Ag Laser diode assembly and arrangement for operating a laser diode
US20030071327A1 (en) * 2001-10-17 2003-04-17 Motorola, Inc. Method and apparatus utilizing monocrystalline insulator
US6737339B2 (en) * 2001-10-24 2004-05-18 Agere Systems Inc. Semiconductor device having a doped lattice matching layer and a method of manufacture therefor
WO2003038878A2 (en) * 2001-10-26 2003-05-08 Motorola Inc. Method for fabricating semiconductor structures
US6893984B2 (en) * 2002-02-20 2005-05-17 Micron Technology Inc. Evaporated LaA1O3 films for gate dielectrics
US6872252B2 (en) * 2002-03-06 2005-03-29 Agilent Technologies, Inc. Lead-based perovskite buffer for forming indium phosphide on silicon
US6815248B2 (en) * 2002-04-18 2004-11-09 Infineon Technologies Ag Material combinations for tunnel junction cap layer, tunnel junction hard mask and tunnel junction stack seed layer in MRAM processing
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
EP1359614A1 (en) * 2002-05-02 2003-11-05 Agilent Technologies, Inc. - a Delaware corporation - Semiconductor substrates and structures with an oxide layer
US6916717B2 (en) * 2002-05-03 2005-07-12 Motorola, Inc. Method for growing a monocrystalline oxide layer and for fabricating a semiconductor device on a monocrystalline substrate
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US7608927B2 (en) * 2002-08-29 2009-10-27 Micron Technology, Inc. Localized biasing for silicon on insulator structures
US6965626B2 (en) * 2002-09-03 2005-11-15 Finisar Corporation Single mode VCSEL
US6791125B2 (en) * 2002-09-30 2004-09-14 Freescale Semiconductor, Inc. Semiconductor device structures which utilize metal sulfides
JP2004158717A (en) * 2002-11-07 2004-06-03 Fujitsu Ltd Thin-film laminated body, electronic device and actuator using the same, and method for manufacturing the actuator
US6813293B2 (en) * 2002-11-21 2004-11-02 Finisar Corporation Long wavelength VCSEL with tunnel junction, and implant
US6806202B2 (en) 2002-12-03 2004-10-19 Motorola, Inc. Method of removing silicon oxide from a surface of a substrate
US6770504B2 (en) * 2003-01-06 2004-08-03 Honeywell International Inc. Methods and structure for improving wafer bow control
US6890816B2 (en) * 2003-02-07 2005-05-10 Freescale Semiconductor, Inc. Compound semiconductor structure including an epitaxial perovskite layer and method for fabricating semiconductor structures and devices
US7026690B2 (en) * 2003-02-12 2006-04-11 Micron Technology, Inc. Memory devices and electronic systems comprising integrated bipolar and FET devices
JP2004273562A (en) * 2003-03-05 2004-09-30 Seiko Epson Corp Light emitting element and its manufacturing method
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US20040222363A1 (en) * 2003-05-07 2004-11-11 Honeywell International Inc. Connectorized optical component misalignment detection system
US20040247250A1 (en) * 2003-06-03 2004-12-09 Honeywell International Inc. Integrated sleeve pluggable package
WO2004109775A2 (en) * 2003-06-03 2004-12-16 The Research Foundation Of State University Of New York Formation of highly dislocation free compound semiconductor on a lattice mismatched substrate
US8889530B2 (en) * 2003-06-03 2014-11-18 The Research Foundation Of State University Of New York Formation of highly dislocation free compound semiconductor on a lattice mismatched substrate
JP4663216B2 (en) * 2003-06-10 2011-04-06 ルネサスエレクトロニクス株式会社 Semiconductor memory device and manufacturing method thereof
US7075962B2 (en) * 2003-06-27 2006-07-11 Finisar Corporation VCSEL having thermal management
US7277461B2 (en) * 2003-06-27 2007-10-02 Finisar Corporation Dielectric VCSEL gain guide
US7149383B2 (en) * 2003-06-30 2006-12-12 Finisar Corporation Optical system with reduced back reflection
US6961489B2 (en) * 2003-06-30 2005-11-01 Finisar Corporation High speed optical system
US20060056762A1 (en) * 2003-07-02 2006-03-16 Honeywell International Inc. Lens optical coupler
US7210857B2 (en) * 2003-07-16 2007-05-01 Finisar Corporation Optical coupling system
US20050013539A1 (en) * 2003-07-17 2005-01-20 Honeywell International Inc. Optical coupling system
US6887801B2 (en) * 2003-07-18 2005-05-03 Finisar Corporation Edge bead control method and apparatus
JP4689153B2 (en) * 2003-07-18 2011-05-25 株式会社リコー Laminated substrate and semiconductor device
US7031363B2 (en) * 2003-10-29 2006-04-18 Finisar Corporation Long wavelength VCSEL device processing
US7135753B2 (en) * 2003-12-05 2006-11-14 International Rectifier Corporation Structure and method for III-nitride monolithic power IC
GB0405325D0 (en) * 2004-03-10 2004-04-21 Koninkl Philips Electronics Nv Trench-gate transistors and their manufacture
JP4874527B2 (en) * 2004-04-01 2012-02-15 トヨタ自動車株式会社 Silicon carbide semiconductor substrate and method for manufacturing the same
CN100492668C (en) * 2004-05-25 2009-05-27 中国科学院福建物质结构研究所 A series of semiconductor material
CN100485867C (en) * 2004-07-20 2009-05-06 中国科学院物理研究所 Epitaxial growth of lanthanum aluminate film material on silicon substrate and preparation method
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) * 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7309660B2 (en) * 2004-09-16 2007-12-18 International Business Machines Corporation Buffer layer for selective SiGe growth for uniform nucleation
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7217643B2 (en) * 2005-02-24 2007-05-15 Freescale Semiconductors, Inc. Semiconductor structures and methods for fabricating semiconductor structures comprising high dielectric constant stacked structures
JP4876418B2 (en) * 2005-03-29 2012-02-15 富士電機株式会社 Semiconductor device
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7575978B2 (en) 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
US7989290B2 (en) 2005-08-04 2011-08-02 Micron Technology, Inc. Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
WO2007025062A2 (en) * 2005-08-25 2007-03-01 Wakonda Technologies, Inc. Photovoltaic template
US7410910B2 (en) 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
KR101316947B1 (en) * 2005-11-01 2013-10-15 메사추세츠 인스티튜트 오브 테크놀로지 Monolithically integrated semiconductor materials and devices
US7410859B1 (en) * 2005-11-07 2008-08-12 Advanced Micro Devices, Inc. Stressed MOS device and method for its fabrication
US7700423B2 (en) * 2006-07-28 2010-04-20 Iqe Rf, Llc Process for manufacturing epitaxial wafers for integrated devices on a common compound semiconductor III-V wafer
US20080119004A1 (en) * 2006-11-17 2008-05-22 Burch Kenneth R Method of packaging a device having a keypad switch point
US7588951B2 (en) * 2006-11-17 2009-09-15 Freescale Semiconductor, Inc. Method of packaging a semiconductor device and a prefabricated connector
US7696016B2 (en) * 2006-11-17 2010-04-13 Freescale Semiconductor, Inc. Method of packaging a device having a tangible element and device thereof
US7807511B2 (en) * 2006-11-17 2010-10-05 Freescale Semiconductor, Inc. Method of packaging a device having a multi-contact elastomer connector contact area and device thereof
JP2011503847A (en) * 2007-11-02 2011-01-27 ワコンダ テクノロジーズ, インコーポレイテッド Crystalline thin film photovoltaic structure and method for forming the same
US20090261346A1 (en) * 2008-04-16 2009-10-22 Ding-Yuan Chen Integrating CMOS and Optical Devices on a Same Chip
US8236603B1 (en) 2008-09-04 2012-08-07 Solexant Corp. Polycrystalline semiconductor layers and methods for forming the same
US8093559B1 (en) * 2008-12-02 2012-01-10 Hrl Laboratories, Llc Methods and apparatus for three-color infrared sensors
WO2010088366A1 (en) * 2009-01-28 2010-08-05 Wakonda Technologies, Inc. Large-grain crystalline thin-film structures and devices and methods for forming the same
CN101840971B (en) * 2009-03-17 2012-09-05 展晶科技(深圳)有限公司 Light-emitting diode and manufacturing method thereof
US8897470B2 (en) * 2009-07-31 2014-11-25 Macronix International Co., Ltd. Method of fabricating integrated semiconductor device with MOS, NPN BJT, LDMOS, pre-amplifier and MEMS unit
KR102005736B1 (en) 2009-10-16 2019-07-31 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Manufacturing method of semiconductor device
DE102009051521B4 (en) 2009-10-31 2012-04-26 X-Fab Semiconductor Foundries Ag Production of silicon semiconductor wafers with III-V layer structures for high electron mobility transistors (HEMT) and a corresponding semiconductor layer arrangement
US9012253B2 (en) 2009-12-16 2015-04-21 Micron Technology, Inc. Gallium nitride wafer substrate for solid state lighting devices, and associated systems and methods
US8680510B2 (en) 2010-06-28 2014-03-25 International Business Machines Corporation Method of forming compound semiconductor
US8164092B2 (en) * 2010-10-18 2012-04-24 The University Of Utah Research Foundation PIN structures including intrinsic gallium arsenide, devices incorporating the same, and related methods
US20120126239A1 (en) * 2010-11-24 2012-05-24 Transphorm Inc. Layer structures for controlling stress of heteroepitaxially grown iii-nitride layers
US9312436B2 (en) 2011-05-16 2016-04-12 Kabushiki Kaisha Toshiba Nitride semiconductor device, nitride semiconductor wafer, and method for manufacturing nitride semiconductor layer
US9879357B2 (en) 2013-03-11 2018-01-30 Tivra Corporation Methods and systems for thin film deposition processes
WO2013188574A2 (en) * 2012-06-14 2013-12-19 Tivra Corporation Multilayer substrate structure and method and system of manufacturing the same
JP6107435B2 (en) * 2013-06-04 2017-04-05 三菱電機株式会社 Semiconductor device and manufacturing method thereof
KR102171268B1 (en) * 2014-09-30 2020-11-06 삼성전자 주식회사 manufacturing method of Hybrid silicon laser
US10075143B2 (en) * 2015-11-13 2018-09-11 IQE, plc Layer structures for RF filters fabricated using rare earth oxides and epitaxial aluminum nitride
CN105428384B (en) * 2015-12-28 2018-08-10 上海集成电路研发中心有限公司 A kind of image sensor and its manufacturing method
WO2018004693A1 (en) * 2016-07-01 2018-01-04 Intel Corporation Substrates for integrated circuits
US11495670B2 (en) 2016-09-22 2022-11-08 Iqe Plc Integrated epitaxial metal electrodes
US10083963B2 (en) * 2016-12-21 2018-09-25 Qualcomm Incorporated Logic circuit block layouts with dual-side processing
JP7079940B2 (en) * 2017-01-13 2022-06-03 マサチューセッツ インスティテュート オブ テクノロジー How to Form a Multilayer Structure for Pixelized Display and a Multilayer Structure for Pixelized Display
GB2565054A (en) * 2017-07-28 2019-02-06 Comptek Solutions Oy Heterostructure semiconductor device and manufacturing method
US10373936B2 (en) * 2017-08-22 2019-08-06 Facebook Technologies, Llc Pixel elements including light emitters of variable heights
FR3079534B1 (en) * 2018-03-28 2022-03-18 Soitec Silicon On Insulator METHOD FOR MANUFACTURING A MONOCRYSTALLINE LAYER OF GAAS MATERIAL AND SUBSTRATE FOR GROWTH BY EPITAXIS OF A MONOCRYSTALLINE LAYER OF GAAS MATERIAL
CN110600362B (en) * 2019-08-01 2022-05-20 中国科学院微电子研究所 Silicon-based heterogeneous integrated material, preparation method thereof and semiconductor device
EP4295409A1 (en) * 2021-03-03 2023-12-27 Atomera Incorporated Radio frequency (rf) semiconductor devices including a ground plane layer having a superlattice and associated methods
CN116364825A (en) * 2023-06-01 2023-06-30 江西兆驰半导体有限公司 Composite buffer layer, preparation method thereof, epitaxial wafer and light-emitting diode

Family Cites Families (322)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US247722A (en) * 1881-09-27 John westinghotjse
US1037272A (en) * 1907-11-16 1912-09-03 William Godson Lindsay Bottle.
US2152315A (en) * 1936-12-07 1939-03-28 Kohn Samuel Frankfurter cooker
US3046384A (en) * 1960-05-04 1962-07-24 Taylor Winfield Corp Flash welding and trimming apparatus
US3617951A (en) 1968-11-21 1971-11-02 Western Microwave Lab Inc Broadband circulator or isolator of the strip line or microstrip type
US3670213A (en) 1969-05-24 1972-06-13 Tokyo Shibaura Electric Co Semiconductor photosensitive device with a rare earth oxide compound forming a rectifying junction
US4404265A (en) 1969-10-01 1983-09-13 Rockwell International Corporation Epitaxial composite and method of making
GB1319311A (en) 1970-06-04 1973-06-06 North American Rockwell Epitaxial composite and method of making
US3766370A (en) 1971-05-14 1973-10-16 Hewlett Packard Co Elementary floating point cordic function processor and shifter
US3802967A (en) 1971-08-27 1974-04-09 Rca Corp Iii-v compound on insulating substrate and its preparation and use
US3914137A (en) 1971-10-06 1975-10-21 Motorola Inc Method of manufacturing a light coupled monolithic circuit by selective epitaxial deposition
US3758199A (en) * 1971-11-22 1973-09-11 Sperry Rand Corp Piezoelectrically actuated light deflector
US3818451A (en) * 1972-03-15 1974-06-18 Motorola Inc Light-emitting and light-receiving logic array
US4006989A (en) * 1972-10-02 1977-02-08 Raytheon Company Laser gyroscope
US3935031A (en) * 1973-05-07 1976-01-27 New England Institute, Inc. Photovoltaic cell with enhanced power output
US4084130A (en) 1974-01-18 1978-04-11 Texas Instruments Incorporated Laser for integrated optical circuits
JPS528835A (en) 1975-07-11 1977-01-24 Fujitsu Ltd Connector for fiber optics
JPS5816335B2 (en) * 1976-01-20 1983-03-30 松下電器産業株式会社 semiconductor equipment
JPS604962B2 (en) * 1976-01-20 1985-02-07 松下電器産業株式会社 optical waveguide device
US4120588A (en) 1976-07-12 1978-10-17 Erik Chaum Multiple path configuration for a laser interferometer
JPS5413455A (en) 1977-07-01 1979-01-31 Hitachi Ltd Uniformly expanding device for tube
NL7710164A (en) 1977-09-16 1979-03-20 Philips Nv METHOD OF TREATING A SINGLE CRYSTAL LINE BODY.
US4174422A (en) 1977-12-30 1979-11-13 International Business Machines Corporation Growing epitaxial films when the misfit between film and substrate is large
US4284329A (en) 1978-01-03 1981-08-18 Raytheon Company Laser gyroscope system
US4146297A (en) * 1978-01-16 1979-03-27 Bell Telephone Laboratories, Incorporated Tunable optical waveguide directional coupler filter
US4174504A (en) * 1978-01-25 1979-11-13 United Technologies Corporation Apparatus and method for cavity dumping a Q-switched laser
JPS558742A (en) 1978-06-30 1980-01-22 Matsushita Electric Works Ltd Waterproof facial beauty instrument
US4242595A (en) 1978-07-27 1980-12-30 University Of Southern California Tunnel diode load for ultra-fast low power switching circuits
US4297656A (en) 1979-03-23 1981-10-27 Harris Corporation Plural frequency oscillator employing multiple fiber-optic delay line
FR2453423A1 (en) * 1979-04-04 1980-10-31 Quantel Sa THICK OPTICAL ELEMENT WITH VARIABLE CURVATURE
JPS5696834A (en) * 1979-12-28 1981-08-05 Mitsubishi Monsanto Chem Co Compound semiconductor epitaxial wafer and manufacture thereof
US4424589A (en) * 1980-04-11 1984-01-03 Coulter Systems Corporation Flat bed scanner system and method
US4452720A (en) 1980-06-04 1984-06-05 Teijin Limited Fluorescent composition having the ability to change wavelengths of light, shaped article of said composition as a light wavelength converting element and device for converting optical energy to electrical energy using said element
US4289920A (en) 1980-06-23 1981-09-15 International Business Machines Corporation Multiple bandgap solar cell on transparent substrate
DE3168688D1 (en) 1980-11-06 1985-03-14 Toshiba Kk Method for manufacturing a semiconductor device
US4442590A (en) 1980-11-17 1984-04-17 Ball Corporation Monolithic microwave integrated circuit with integral array antenna
US4392297A (en) 1980-11-20 1983-07-12 Spire Corporation Process of making thin film high efficiency solar cells
GB2096785B (en) * 1981-04-09 1984-10-10 Standard Telephones Cables Ltd Integrated optic device
JPS57177583A (en) 1981-04-14 1982-11-01 Int Standard Electric Corp Holl effect device
JPS57176785A (en) 1981-04-22 1982-10-30 Hitachi Ltd Semiconductor laser device
GB2115996B (en) 1981-11-02 1985-03-20 Kramer Kane N Portable data processing and storage system
US4439014A (en) 1981-11-13 1984-03-27 Mcdonnell Douglas Corporation Low voltage electro-optic modulator
US4626878A (en) * 1981-12-11 1986-12-02 Sanyo Electric Co., Ltd. Semiconductor optical logical device
US4525871A (en) * 1982-02-03 1985-06-25 Massachusetts Institute Of Technology High speed optoelectronic mixer
US4482422A (en) 1982-02-26 1984-11-13 Rca Corporation Method for growing a low defect monocrystalline layer on a mask
JPS58158944A (en) 1982-03-16 1983-09-21 Futaba Corp Semiconductor device
US4484332A (en) 1982-06-02 1984-11-20 The United States Of America As Represented By The Secretary Of The Air Force Multiple double heterojunction buried laser device
US4482906A (en) 1982-06-30 1984-11-13 International Business Machines Corporation Gallium aluminum arsenide integrated circuit structure using germanium
US4594000A (en) 1983-04-04 1986-06-10 Ball Corporation Method and apparatus for optically measuring distance and velocity
US4756007A (en) 1984-03-08 1988-07-05 Codex Corporation Adaptive communication rate modem
JPS6110818A (en) 1984-06-25 1986-01-18 オムロン株式会社 Drive circuit of electrostrictive actuator
US4629821A (en) 1984-08-16 1986-12-16 Polaroid Corporation Photovoltaic cell
JPH069334B2 (en) 1984-09-03 1994-02-02 株式会社東芝 Optical / electrical integrated device
JPS61108187A (en) * 1984-11-01 1986-05-26 Seiko Epson Corp Semiconductor photoelectronic device
US4773063A (en) 1984-11-13 1988-09-20 University Of Delaware Optical wavelength division multiplexing/demultiplexing system
US4661176A (en) 1985-02-27 1987-04-28 The United States Of America As Represented By The Secretary Of The Air Force Process for improving the quality of epitaxial silicon films grown on insulating substrates utilizing oxygen ion conductor substrates
US4748485A (en) 1985-03-21 1988-05-31 Hughes Aircraft Company Opposed dual-gate hybrid structure for three-dimensional integrated circuits
JPS61255074A (en) 1985-05-08 1986-11-12 Mitsubishi Electric Corp Photoelectric conversion semiconductor device
US4846926A (en) 1985-08-26 1989-07-11 Ford Aerospace & Communications Corporation HcCdTe epitaxially grown on crystalline support
EP0214610B1 (en) 1985-09-03 1990-12-05 Daido Tokushuko Kabushiki Kaisha Epitaxial gallium arsenide semiconductor wafer and method of producing the same
JPS6263828A (en) 1985-09-06 1987-03-20 Yokogawa Electric Corp Vibration type transducer and its manufacture
US4695120A (en) 1985-09-26 1987-09-22 The United States Of America As Represented By The Secretary Of The Army Optic-coupled integrated circuits
JPS62119196A (en) 1985-11-18 1987-05-30 Univ Nagoya Method for growing compound semiconductor
US4872046A (en) 1986-01-24 1989-10-03 University Of Illinois Heterojunction semiconductor device with <001> tilt
FR2595509B1 (en) 1986-03-07 1988-05-13 Thomson Csf COMPONENT IN SEMICONDUCTOR MATERIAL EPITAXIA ON A SUBSTRATE WITH DIFFERENT MESH PARAMETER AND APPLICATION TO VARIOUS SEMICONDUCTOR COMPONENTS
JPS62216600A (en) * 1986-03-18 1987-09-24 Oki Electric Ind Co Ltd Photo-acoustic transducing device
US4804866A (en) 1986-03-24 1989-02-14 Matsushita Electric Works, Ltd. Solid state relay
US4777613A (en) 1986-04-01 1988-10-11 Motorola Inc. Floating point numeric data processor
US4901133A (en) * 1986-04-02 1990-02-13 Texas Instruments Incorporated Multilayer semi-insulating film for hermetic wafer passivation and method for making same
US4774205A (en) 1986-06-13 1988-09-27 Massachusetts Institute Of Technology Monolithic integration of silicon and gallium arsenide devices
JPS633499A (en) 1986-06-23 1988-01-08 日本電気ホームエレクトロニクス株式会社 Method of detecting attachment conditions of electronic parts
JPS6319836A (en) 1986-07-14 1988-01-27 Toshiba Corp Semiconductor wafer transfer system
US4891091A (en) 1986-07-14 1990-01-02 Gte Laboratories Incorporated Method of epitaxially growing compound semiconductor materials
US4866489A (en) 1986-07-22 1989-09-12 Matsushita Electric Industrial Co., Ltd. Semiconductor device
JPS6334994A (en) * 1986-07-29 1988-02-15 Mitsubishi Electric Corp Photoelectric integrated circuit device and manufacture thereof
US4888202A (en) 1986-07-31 1989-12-19 Nippon Telegraph And Telephone Corporation Method of manufacturing thin compound oxide film and apparatus for manufacturing thin oxide film
JP2516604B2 (en) 1986-10-17 1996-07-24 キヤノン株式会社 Method for manufacturing complementary MOS integrated circuit device
US4723321A (en) * 1986-11-07 1988-02-02 American Telephone And Telegraph Company, At&T Bell Laboratories Techniques for cross-polarization cancellation in a space diversity radio system
JPH087288B2 (en) * 1986-11-20 1996-01-29 日本電信電話株式会社 Method for manufacturing hybrid optical integrated circuit
JPH07120835B2 (en) * 1986-12-26 1995-12-20 松下電器産業株式会社 Optical integrated circuit
US4772929A (en) 1987-01-09 1988-09-20 Sprague Electric Company Hall sensor with integrated pole pieces
US4876208A (en) 1987-01-30 1989-10-24 Yellowstone Diagnostics Corporation Diffraction immunoassay apparatus and method
JPS63198365A (en) * 1987-02-13 1988-08-17 Sharp Corp Semiconductor device
US4868376A (en) 1987-05-15 1989-09-19 Smartcard International Inc. Intelligent portable interactive personal data system
US4815084A (en) * 1987-05-20 1989-03-21 Spectra Diode Laboratories, Inc. Semiconductor laser with integrated optical elements
US4801184A (en) * 1987-06-15 1989-01-31 Eastman Kodak Company Integrated optical read/write head and apparatus incorporating same
JPS6414949A (en) * 1987-07-08 1989-01-19 Nec Corp Semiconductor device and manufacture of the same
JPH0766922B2 (en) 1987-07-29 1995-07-19 株式会社村田製作所 Method for manufacturing semiconductor device
GB8718552D0 (en) 1987-08-05 1987-09-09 British Railways Board Track to train communications systems
US5081062A (en) * 1987-08-27 1992-01-14 Prahalad Vasudev Monolithic integration of silicon on insulator and gallium arsenide semiconductor technologies
FI81926C (en) * 1987-09-29 1990-12-10 Nokia Oy Ab FOERFARANDE FOER UPPBYGGNING AV GAAS-FILMER PAO SI- OCH GAAS-SUBSTRATER.
JPH0695554B2 (en) 1987-10-12 1994-11-24 工業技術院長 Method for forming single crystal magnesia spinel film
US4885376A (en) 1987-10-13 1989-12-05 Iowa State University Research Foundation, Inc. New types of organometallic reagents and catalysts for asymmetric synthesis
JPH0239A (en) 1987-10-20 1990-01-05 Konica Corp Silver halide photographic sensitive material having high contrast
US4802182A (en) * 1987-11-05 1989-01-31 Xerox Corporation Monolithic two dimensional waveguide coupled cavity laser/modulator
US4981714A (en) * 1987-12-14 1991-01-01 Sharp Kabushiki Kaisha Method of producing ferroelectric LiNb1-31 x Tax O3 0<x<1) thin film by activated evaporation
JPH01207920A (en) 1988-02-16 1989-08-21 Oki Electric Ind Co Ltd Manufacture of inp semiconductor thin film
JP2691721B2 (en) 1988-03-04 1997-12-17 富士通株式会社 Semiconductor thin film manufacturing method
US4912087A (en) 1988-04-15 1990-03-27 Ford Motor Company Rapid thermal annealing of superconducting oxide precursor films on Si and SiO2 substrates
US5130269A (en) * 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
US5063166A (en) 1988-04-29 1991-11-05 Sri International Method of forming a low dislocation density semiconductor device
JPH01289108A (en) 1988-05-17 1989-11-21 Fujitsu Ltd Heteroepitaxy
US4910164A (en) 1988-07-27 1990-03-20 Texas Instruments Incorporated Method of making planarized heterostructures using selective epitaxial growth
US5221367A (en) 1988-08-03 1993-06-22 International Business Machines, Corp. Strained defect-free epitaxial mismatched heterostructures and method of fabrication
US4889402A (en) 1988-08-31 1989-12-26 American Telephone And Telegraph Company, At&T Bell Laboratories Electro-optic polarization modulation in multi-electrode waveguides
US4963949A (en) 1988-09-30 1990-10-16 The United States Of America As Represented Of The United States Department Of Energy Substrate structures for InP-based devices
US4952420A (en) 1988-10-12 1990-08-28 Advanced Dielectric Technologies, Inc. Vapor deposition patterning method
JPH02105910A (en) * 1988-10-14 1990-04-18 Hitachi Ltd Logic integrated circuit
EP0365875B1 (en) * 1988-10-28 1995-08-09 Texas Instruments Incorporated Capped anneal
US5286985A (en) * 1988-11-04 1994-02-15 Texas Instruments Incorporated Interface circuit operable to perform level shifting between a first type of device and a second type of device
US5063081A (en) 1988-11-14 1991-11-05 I-Stat Corporation Method of manufacturing a plurality of uniform microfabricated sensing devices having an immobilized ligand receptor
US5087829A (en) * 1988-12-07 1992-02-11 Hitachi, Ltd. High speed clock distribution system
US4965649A (en) 1988-12-23 1990-10-23 Ford Aerospace Corporation Manufacture of monolithic infrared focal plane arrays
US5028563A (en) 1989-02-24 1991-07-02 Laser Photonics, Inc. Method for making low tuning rate single mode PbTe/PbEuSeTe buried heterostructure tunable diode lasers and arrays
US4999842A (en) 1989-03-01 1991-03-12 At&T Bell Laboratories Quantum well vertical cavity laser
US4990974A (en) * 1989-03-02 1991-02-05 Thunderbird Technologies, Inc. Fermi threshold field effect transistor
US5057694A (en) 1989-03-15 1991-10-15 Matsushita Electric Works, Ltd. Optoelectronic relay circuit having charging path formed by a switching transistor and a rectifying diode
US4934777A (en) 1989-03-21 1990-06-19 Pco, Inc. Cascaded recirculating transmission line without bending loss limitations
US5198269A (en) * 1989-04-24 1993-03-30 Battelle Memorial Institute Process for making sol-gel deposited ferroelectric thin films insensitive to their substrates
JPH02306680A (en) * 1989-05-22 1990-12-20 Hikari Gijutsu Kenkyu Kaihatsu Kk Optoelectronic integrated circuit device and manufacture thereof
US5067809A (en) 1989-06-09 1991-11-26 Oki Electric Industry Co., Ltd. Opto-semiconductor device and method of fabrication of the same
US5594000A (en) * 1989-06-21 1997-01-14 Astra Ab Spirofurane derivatives
FR2650704B1 (en) 1989-08-01 1994-05-06 Thomson Csf PROCESS FOR THE MANUFACTURE BY EPITAXY OF MONOCRYSTALLINE LAYERS OF MATERIALS WITH DIFFERENT MESH PARAMETERS
US5399898A (en) * 1992-07-17 1995-03-21 Lsi Logic Corporation Multi-chip semiconductor arrangements using flip chip dies
US5055445A (en) 1989-09-25 1991-10-08 Litton Systems, Inc. Method of forming oxidic high Tc superconducting materials on substantially lattice matched monocrystalline substrates utilizing liquid phase epitaxy
US4959702A (en) 1989-10-05 1990-09-25 Motorola, Inc. Si-GaP-Si heterojunction bipolar transistor (HBT) on Si substrate
US5051790A (en) 1989-12-22 1991-09-24 David Sarnoff Research Center, Inc. Optoelectronic interconnections for integrated circuits
JPH088214B2 (en) * 1990-01-19 1996-01-29 三菱電機株式会社 Semiconductor device
US5997638A (en) * 1990-03-23 1999-12-07 International Business Machines Corporation Localized lattice-mismatch-accomodation dislocation network epitaxy
US5310707A (en) 1990-03-28 1994-05-10 Superconductivity Research Laboratory International Substrate material for the preparation of oxide superconductors
FR2661040A1 (en) 1990-04-13 1991-10-18 Thomson Csf PROCESS FOR ADAPTING TWO CRYSTALLIZED SEMICONDUCTOR MATERIALS AND SEMICONDUCTOR DEVICE
US5358925A (en) 1990-04-18 1994-10-25 Board Of Trustees Of The Leland Stanford Junior University Silicon substrate having YSZ epitaxial barrier layer and an epitaxial superconducting layer
US5164359A (en) 1990-04-20 1992-11-17 Eaton Corporation Monolithic integrated circuit having compound semiconductor layer epitaxially grown on ceramic substrate
US5018816A (en) 1990-06-11 1991-05-28 Amp Incorporated Optical delay switch and variable delay system
US5188976A (en) * 1990-07-13 1993-02-23 Hitachi, Ltd. Manufacturing method of non-volatile semiconductor memory device
US5608046A (en) * 1990-07-27 1997-03-04 Isis Pharmaceuticals, Inc. Conjugated 4'-desmethyl nucleoside analog compounds
GB2250751B (en) * 1990-08-24 1995-04-12 Kawasaki Heavy Ind Ltd Process for the production of dielectric thin films
DE4027024A1 (en) * 1990-08-27 1992-03-05 Standard Elektrik Lorenz Ag FIBER GYRO
US5281834A (en) * 1990-08-31 1994-01-25 Motorola, Inc. Non-silicon and silicon bonded structure and method of manufacture
US5064781A (en) * 1990-08-31 1991-11-12 Motorola, Inc. Method of fabricating integrated silicon and non-silicon semiconductor devices
DE4029060C2 (en) 1990-09-13 1994-01-13 Forschungszentrum Juelich Gmbh Process for the production of components for electronic, electro-optical and optical components
US5060031A (en) 1990-09-18 1991-10-22 Motorola, Inc Complementary heterojunction field effect transistor with an anisotype N+ ga-channel devices
JP3028840B2 (en) * 1990-09-19 2000-04-04 株式会社日立製作所 Composite circuit of bipolar transistor and MOS transistor, and semiconductor integrated circuit device using the same
FR2670050B1 (en) * 1990-11-09 1997-03-14 Thomson Csf SEMICONDUCTOR OPTOELECTRONIC DETECTOR.
US5880452A (en) * 1990-11-15 1999-03-09 Geo Labs, Inc. Laser based PCMCIA data collection system with automatic triggering for portable applications and method of use
US5418216A (en) 1990-11-30 1995-05-23 Fork; David K. Superconducting thin films on epitaxial magnesium oxide grown on silicon
US5387811A (en) * 1991-01-25 1995-02-07 Nec Corporation Composite semiconductor device with a particular bipolar structure
US5166761A (en) * 1991-04-01 1992-11-24 Midwest Research Institute Tunnel junction multiple wavelength light-emitting diodes
KR940005454B1 (en) * 1991-04-03 1994-06-18 삼성전자 주식회사 Compound semiconductor device
US5225031A (en) 1991-04-10 1993-07-06 Martin Marietta Energy Systems, Inc. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process
SE468267B (en) * 1991-04-10 1992-11-30 Ericsson Telefon Ab L M TERMINAL FOR A FREQUENCY PART, OPTICAL COMMUNICATION SYSTEM
US5482003A (en) 1991-04-10 1996-01-09 Martin Marietta Energy Systems, Inc. Process for depositing epitaxial alkaline earth oxide onto a substrate and structures prepared with the process
US5221413A (en) 1991-04-24 1993-06-22 At&T Bell Laboratories Method for making low defect density semiconductor heterostructure and devices made thereby
US5185589A (en) * 1991-05-17 1993-02-09 Westinghouse Electric Corp. Microwave film bulk acoustic resonator and manifolded filter bank
US5194397A (en) * 1991-06-05 1993-03-16 International Business Machines Corporation Method for controlling interfacial oxide at a polycrystalline/monocrystalline silicon interface
JPH07187892A (en) * 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> Silicon and its formation
EP0584410A1 (en) * 1991-07-05 1994-03-02 Conductus, Inc. Superconducting electronic structures and methods of preparing same
JP3130575B2 (en) * 1991-07-25 2001-01-31 日本電気株式会社 Microwave and millimeter wave transceiver module
JPH0548072A (en) 1991-08-12 1993-02-26 Nippon Telegr & Teleph Corp <Ntt> Semiconductor element
US5238894A (en) * 1991-09-20 1993-08-24 Air Products And Chemcials, Inc. Hydroxyl group-containing amine-boron adducts as reduced odor catalyst compositions for the production of polyurethanes
US5283462A (en) * 1991-11-04 1994-02-01 Motorola, Inc. Integrated distributed inductive-capacitive network
US5397428A (en) * 1991-12-20 1995-03-14 The University Of North Carolina At Chapel Hill Nucleation enhancement for chemical vapor deposition of diamond
JP3250673B2 (en) * 1992-01-31 2002-01-28 キヤノン株式会社 Semiconductor element substrate and method of manufacturing the same
JP2610076B2 (en) 1992-02-28 1997-05-14 松下電器産業株式会社 Hybrid integrated circuit and manufacturing method thereof
US5270298A (en) 1992-03-05 1993-12-14 Bell Communications Research, Inc. Cubic metal oxide thin film epitaxially grown on silicon
US5155658A (en) 1992-03-05 1992-10-13 Bell Communications Research, Inc. Crystallographically aligned ferroelectric films usable in memories and method of crystallographically aligning perovskite films
JP3379106B2 (en) * 1992-04-23 2003-02-17 セイコーエプソン株式会社 Liquid jet head
US5238877A (en) * 1992-04-30 1993-08-24 The United States Of America As Represented By The Secretary Of The Navy Conformal method of fabricating an optical waveguide on a semiconductor substrate
EP0568064B1 (en) 1992-05-01 1999-07-14 Texas Instruments Incorporated Pb/Bi-containing high-dielectric constant oxides using a non-Pb/Bi-containing perovskite as a buffer layer
US5326721A (en) 1992-05-01 1994-07-05 Texas Instruments Incorporated Method of fabricating high-dielectric constant oxides on semiconductors using a GE buffer layer
US5365477A (en) * 1992-06-16 1994-11-15 The United States Of America As Represented By The Secretary Of The Navy Dynamic random access memory device
WO1994003931A1 (en) * 1992-08-07 1994-02-17 Asahi Kasei Kogyo Kabushiki Kaisha Nitride based semiconductor device and manufacture thereof
JPH08501416A (en) * 1992-09-14 1996-02-13 コンダクタス・インコーポレーテッド Improved barrier layer for oxide superconductor devices and circuits
US5514484A (en) 1992-11-05 1996-05-07 Fuji Xerox Co., Ltd. Oriented ferroelectric thin film
JPH06151872A (en) * 1992-11-09 1994-05-31 Mitsubishi Kasei Corp Fet device
DE69331538T2 (en) 1992-12-01 2002-08-29 Matsushita Electric Ind Co Ltd Process for producing an electrical thin film
US5248564A (en) 1992-12-09 1993-09-28 Bell Communications Research, Inc. C-axis perovskite thin films grown on silicon dioxide
US5347157A (en) 1992-12-17 1994-09-13 Eastman Kodak Company Multilayer structure having a (111)-oriented buffer layer
JP3047656B2 (en) * 1993-01-12 2000-05-29 株式会社村田製作所 Method for producing InSb thin film
KR100293596B1 (en) * 1993-01-27 2001-09-17 가나이 쓰도무 Clock Distribution Circuit in LSI
JP3248636B2 (en) 1993-02-03 2002-01-21 日本電信電話株式会社 Method for manufacturing composite semiconductor circuit device
US5642371A (en) * 1993-03-12 1997-06-24 Kabushiki Kaisha Toshiba Optical transmission apparatus
US5293050A (en) * 1993-03-25 1994-03-08 International Business Machines Corporation Semiconductor quantum dot light emitting/detecting devices
JP3425185B2 (en) 1993-03-26 2003-07-07 日本オプネクスト株式会社 Semiconductor element
US5315128A (en) * 1993-04-30 1994-05-24 At&T Bell Laboratories Photodetector with a resonant cavity
JPH06327862A (en) 1993-05-19 1994-11-29 Brother Ind Ltd Failure position detecting device in automatically controlled sewing machine
US5456205A (en) 1993-06-01 1995-10-10 Midwest Research Institute System for monitoring the growth of crystalline films on stationary substrates
US5480829A (en) * 1993-06-25 1996-01-02 Motorola, Inc. Method of making a III-V complementary heterostructure device with compatible non-gold ohmic contacts
US5572040A (en) * 1993-07-12 1996-11-05 Peregrine Semiconductor Corporation High-frequency wireless communication system on a single ultrathin silicon on sapphire chip
US5394489A (en) * 1993-07-27 1995-02-28 At&T Corp. Wavelength division multiplexed optical communication transmitters
US5450812A (en) 1993-07-30 1995-09-19 Martin Marietta Energy Systems, Inc. Process for growing a film epitaxially upon an oxide surface and structures formed with the process
JP3644980B2 (en) * 1993-09-06 2005-05-11 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
EP0685113B1 (en) * 1993-12-20 1999-11-03 General Electric Company Method of repairing a conductive line of a thin film imager or display device and structure produced thereby
JP3395318B2 (en) * 1994-01-07 2003-04-14 住友化学工業株式会社 Method for growing group 3-5 compound semiconductor crystal
US6469357B1 (en) 1994-03-23 2002-10-22 Agere Systems Guardian Corp. Article comprising an oxide layer on a GaAs or GaN-based semiconductor body
US5481102A (en) * 1994-03-31 1996-01-02 Hazelrigg, Jr.; George A. Micromechanical/microelectromechanical identification devices and methods of fabrication and encoding thereof
US5478653A (en) * 1994-04-04 1995-12-26 Guenzer; Charles S. Bismuth titanate as a template layer for growth of crystallographically oriented silicon
US5883564A (en) * 1994-04-18 1999-03-16 General Motors Corporation Magnetic field sensor having high mobility thin indium antimonide active layer on thin aluminum indium antimonide buffer layer
US5436181A (en) * 1994-04-18 1995-07-25 Texas Instruments Incorporated Method of self aligning an emitter contact in a heterojunction bipolar transistor
US5491461A (en) * 1994-05-09 1996-02-13 General Motors Corporation Magnetic field sensor on elemental semiconductor substrate with electric field reduction means
JP2643833B2 (en) * 1994-05-30 1997-08-20 日本電気株式会社 Semiconductor memory device and method of manufacturing the same
US5828080A (en) 1994-08-17 1998-10-27 Tdk Corporation Oxide thin film, electronic device substrate and electronic device
US5873977A (en) * 1994-09-02 1999-02-23 Sharp Kabushiki Kaisha Dry etching of layer structure oxides
US5754714A (en) * 1994-09-17 1998-05-19 Kabushiki Kaisha Toshiba Semiconductor optical waveguide device, optical control type optical switch, and wavelength conversion device
US5635741A (en) 1994-09-30 1997-06-03 Texas Instruments Incorporated Barium strontium titanate (BST) thin films by erbium donor doping
US5486406A (en) * 1994-11-07 1996-01-23 Motorola Green-emitting organometallic complexes for use in light emitting devices
US5677551A (en) * 1994-11-15 1997-10-14 Fujitsu Limited Semiconductor optical device and an optical processing system that uses such a semiconductor optical system
JPH09139480A (en) * 1995-01-27 1997-05-27 Toshiba Corp Thin film capacitor and semiconductor storage device utilizing the capacitor
US5563428A (en) * 1995-01-30 1996-10-08 Ek; Bruce A. Layered structure of a substrate, a dielectric layer and a single crystal layer
US5574744A (en) * 1995-02-03 1996-11-12 Motorola Optical coupler
US5610744A (en) * 1995-02-16 1997-03-11 Board Of Trustees Of The University Of Illinois Optical communications and interconnection networks having opto-electronic switches and direct optical routers
WO1996029725A1 (en) * 1995-03-21 1996-09-26 Northern Telecom Limited Ferroelectric dielectric for integrated circuit applications at microwave frequencies
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
JP3557011B2 (en) * 1995-03-30 2004-08-25 株式会社東芝 Semiconductor light emitting device and manufacturing method thereof
US5919522A (en) * 1995-03-31 1999-07-06 Advanced Technology Materials, Inc. Growth of BaSrTiO3 using polyamine-based precursors
EP0736915A1 (en) * 1995-04-03 1996-10-09 Seiko Epson Corporation Piezoelectric thin film, method for producing the same, and ink jet recording head using the thin film
US5606184A (en) * 1995-05-04 1997-02-25 Motorola, Inc. Heterostructure field effect device having refractory ohmic contact directly on channel layer and method for making
US6151240A (en) * 1995-06-01 2000-11-21 Sony Corporation Ferroelectric nonvolatile memory and oxide multi-layered structure
US5614739A (en) * 1995-06-02 1997-03-25 Motorola HIGFET and method
KR100189966B1 (en) 1995-06-13 1999-06-01 윤종용 Mos transistor of soi structure and method for manufacturing the same
US5753300A (en) * 1995-06-19 1998-05-19 Northwestern University Oriented niobate ferroelectric thin films for electrical and optical devices and method of making such films
KR100193219B1 (en) * 1995-07-06 1999-06-15 박원훈 Passive polarizer
JP3310881B2 (en) * 1995-08-04 2002-08-05 ティーディーケイ株式会社 Laminated thin film, substrate for electronic device, electronic device, and method of manufacturing laminated thin film
US5753934A (en) * 1995-08-04 1998-05-19 Tok Corporation Multilayer thin film, substrate for electronic device, electronic device, and preparation of multilayer oxide thin film
US5760740A (en) * 1995-08-08 1998-06-02 Lucent Technologies, Inc. Apparatus and method for electronic polarization correction
JPH0964477A (en) * 1995-08-25 1997-03-07 Toshiba Corp Semiconductor light emitting element and its manufacture
JP3137880B2 (en) * 1995-08-25 2001-02-26 ティーディーケイ株式会社 Ferroelectric thin film, electronic device, and method of manufacturing ferroelectric thin film
KR100441810B1 (en) * 1995-09-29 2004-10-20 모토로라 인코포레이티드 Electronic device to align light transmission structures
US6022963A (en) * 1995-12-15 2000-02-08 Affymetrix, Inc. Synthesis of oligonucleotide arrays using photocleavable protecting groups
US5861966A (en) * 1995-12-27 1999-01-19 Nynex Science & Technology, Inc. Broad band optical fiber telecommunications network
KR100199095B1 (en) * 1995-12-27 1999-06-15 구본준 Capacitor of semiconductor memory device and its fabrication method
US5729394A (en) * 1996-01-24 1998-03-17 Hewlett-Packard Company Multi-direction optical data port
FR2744578B1 (en) 1996-02-06 1998-04-30 Motorola Semiconducteurs HIGH FREQUENCY AMPLIFIER
TW410272B (en) * 1996-05-07 2000-11-01 Thermoscan Lnc Enhanced protective lens cover
US5729641A (en) * 1996-05-30 1998-03-17 Sdl, Inc. Optical device employing edge-coupled waveguide geometry
US5733641A (en) 1996-05-31 1998-03-31 Xerox Corporation Buffered substrate for semiconductor devices
SE518132C2 (en) * 1996-06-07 2002-08-27 Ericsson Telefon Ab L M Method and apparatus for synchronizing combined receivers and transmitters in a cellular system
US6039803A (en) * 1996-06-28 2000-03-21 Massachusetts Institute Of Technology Utilization of miscut substrates to improve relaxed graded silicon-germanium and germanium layers on silicon
US5863326A (en) * 1996-07-03 1999-01-26 Cermet, Inc. Pressurized skull crucible for crystal growth using the Czochralski technique
US5858814A (en) * 1996-07-17 1999-01-12 Lucent Technologies Inc. Hybrid chip and method therefor
US6023082A (en) * 1996-08-05 2000-02-08 Lockheed Martin Energy Research Corporation Strain-based control of crystal anisotropy for perovskite oxides on semiconductor-based material
US5830270A (en) 1996-08-05 1998-11-03 Lockheed Martin Energy Systems, Inc. CaTiO3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class
US5734672A (en) * 1996-08-06 1998-03-31 Cutting Edge Optronics, Inc. Smart laser diode array assembly and operating method using same
AU3632697A (en) * 1996-08-12 1998-03-06 Energenius, Inc. Semiconductor supercapacitor system, method for making same and articles produced therefrom
US5985404A (en) * 1996-08-28 1999-11-16 Tdk Corporation Recording medium, method of making, and information processing apparatus
US5767543A (en) * 1996-09-16 1998-06-16 Motorola, Inc. Ferroelectric semiconductor device having a layered ferroelectric structure
EP0839653A3 (en) * 1996-10-29 1999-06-30 Matsushita Electric Industrial Co., Ltd. Ink jet recording apparatus and its manufacturing method
US5725641A (en) * 1996-10-30 1998-03-10 Macleod; Cheryl A. Lightfast inks for ink-jet printing
US5719417A (en) * 1996-11-27 1998-02-17 Advanced Technology Materials, Inc. Ferroelectric integrated circuit structure
US5912068A (en) 1996-12-05 1999-06-15 The Regents Of The University Of California Epitaxial oxides on amorphous SiO2 on single crystal silicon
US5741724A (en) 1996-12-27 1998-04-21 Motorola Method of growing gallium nitride on a spinel substrate
GB2321114B (en) * 1997-01-10 2001-02-21 Lasor Ltd An optical modulator
US5864543A (en) * 1997-02-24 1999-01-26 At&T Wireless Services, Inc. Transmit/receive compensation in a time division duplex system
US5952695A (en) 1997-03-05 1999-09-14 International Business Machines Corporation Silicon-on-insulator and CMOS-on-SOI double film structures
US6022671A (en) * 1997-03-11 2000-02-08 Lightwave Microsystems Corporation Method of making optical interconnects with hybrid construction
US5872493A (en) * 1997-03-13 1999-02-16 Nokia Mobile Phones, Ltd. Bulk acoustic wave (BAW) filter having a top portion that includes a protective acoustic mirror
JPH10265948A (en) * 1997-03-25 1998-10-06 Rohm Co Ltd Substrate for semiconductor device and manufacture of the same
US5906951A (en) * 1997-04-30 1999-05-25 International Business Machines Corporation Strained Si/SiGe layers on insulator
US5857049A (en) * 1997-05-05 1999-01-05 Lucent Technologies, Inc., Precision alignment of optoelectronic devices
KR100400808B1 (en) 1997-06-24 2003-10-08 매사츄세츠 인스티튜트 오브 테크놀러지 CONTROLLING THREADING DISLOCATION DENSITIES IN Ge ON Si USING GRADED GeSi LAYERS AND PLANARIZATION
US5869845A (en) * 1997-06-26 1999-02-09 Texas Instruments Incorporated Resonant tunneling memory
JP3813740B2 (en) * 1997-07-11 2006-08-23 Tdk株式会社 Substrates for electronic devices
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
US5940691A (en) 1997-08-20 1999-08-17 Micron Technology, Inc. Methods of forming SOI insulator layers and methods of forming transistor devices
US6002375A (en) 1997-09-02 1999-12-14 Motorola, Inc. Multi-substrate radio-frequency circuit
EP1036412A1 (en) 1997-09-16 2000-09-20 Massachusetts Institute Of Technology CO-PLANAR Si AND Ge COMPOSITE SUBSTRATE AND METHOD OF PRODUCING SAME
US6204525B1 (en) * 1997-09-22 2001-03-20 Murata Manufacturing Co., Ltd. Ferroelectric thin film device and method of producing the same
US6184144B1 (en) * 1997-10-10 2001-02-06 Cornell Research Foundation, Inc. Methods for growing defect-free heteroepitaxial layers
US6233435B1 (en) * 1997-10-14 2001-05-15 Telecommunications Equipment Corporation Multi-function interactive communications system with circularly/elliptically polarized signal transmission and reception
US6181920B1 (en) * 1997-10-20 2001-01-30 Ericsson Inc. Transmitter that selectively polarizes a radio wave
JP3521711B2 (en) 1997-10-22 2004-04-19 松下電器産業株式会社 Karaoke playback device
JPH11123868A (en) 1997-10-24 1999-05-11 Mitsubishi Kagaku Polyester Film Kk White polyester medium to be recorded
JP4002643B2 (en) * 1997-11-12 2007-11-07 昭和電工株式会社 Epitaxial wafer composed of single crystal substrate and gallium nitride compound semiconductor crystal grown on it
US6197503B1 (en) * 1997-11-26 2001-03-06 Ut-Battelle, Llc Integrated circuit biochip microsystem containing lens
JP3092659B2 (en) * 1997-12-10 2000-09-25 日本電気株式会社 Thin film capacitor and method of manufacturing the same
US6020222A (en) 1997-12-16 2000-02-01 Advanced Micro Devices, Inc. Silicon oxide insulator (SOI) semiconductor having selectively linked body
US6110840A (en) * 1998-02-17 2000-08-29 Motorola, Inc. Method of passivating the surface of a Si substrate
US6011646A (en) * 1998-02-20 2000-01-04 The Regents Of The Unviersity Of California Method to adjust multilayer film stress induced deformation of optics
GB2334594A (en) * 1998-02-20 1999-08-25 Fujitsu Telecommunications Eur Arrayed waveguide grating device
JPH11274467A (en) * 1998-03-26 1999-10-08 Murata Mfg Co Ltd Photo-electronic integrated-circuit device
US6051874A (en) * 1998-04-01 2000-04-18 Citizen Watch Co., Ltd. Diode formed in a surface silicon layer on an SOI substrate
US6055179A (en) 1998-05-19 2000-04-25 Canon Kk Memory device utilizing giant magnetoresistance effect
US6064078A (en) 1998-05-22 2000-05-16 Xerox Corporation Formation of group III-V nitride films on sapphire substrates with reduced dislocation densities
DE69801648T2 (en) * 1998-05-25 2002-04-18 Alcatel Sa Optoelectronic module with at least one optoelectronic component and method for temperature stabilization
US6888175B1 (en) 1998-05-29 2005-05-03 Massachusetts Institute Of Technology Compound semiconductor structure with lattice and polarity matched heteroepitaxial layers
FI108583B (en) * 1998-06-02 2002-02-15 Nokia Corp resonator structures
US6113690A (en) 1998-06-08 2000-09-05 Motorola, Inc. Method of preparing crystalline alkaline earth metal oxides on a Si substrate
US6338756B2 (en) * 1998-06-30 2002-01-15 Seh America, Inc. In-situ post epitaxial treatment process
KR20000003975A (en) 1998-06-30 2000-01-25 김영환 Method for manufacturing bonding-type soi wafer having a field oxide
JP2000022128A (en) * 1998-07-06 2000-01-21 Murata Mfg Co Ltd Semiconductor light-emitting device and optoelectronic integrated circuit device
JP3450713B2 (en) * 1998-07-21 2003-09-29 富士通カンタムデバイス株式会社 Semiconductor device, method for manufacturing the same, and method for manufacturing microstrip line
US6103008A (en) 1998-07-30 2000-08-15 Ut-Battelle, Llc Silicon-integrated thin-film structure for electro-optic applications
US6022410A (en) * 1998-09-01 2000-02-08 Motorola, Inc. Alkaline-earth metal silicides on silicon
US6191011B1 (en) * 1998-09-28 2001-02-20 Ag Associates (Israel) Ltd. Selective hemispherical grain silicon deposition
TW399309B (en) * 1998-09-30 2000-07-21 World Wiser Electronics Inc Cavity-down package structure with thermal via
US6343171B1 (en) * 1998-10-09 2002-01-29 Fujitsu Limited Systems based on opto-electronic substrates with electrical and optical interconnections and methods for making
US6232806B1 (en) * 1998-10-21 2001-05-15 International Business Machines Corporation Multiple-mode clock distribution apparatus and method with adaptive skew compensation
US6355939B1 (en) 1998-11-03 2002-03-12 Lockheed Martin Corporation Multi-band infrared photodetector
US6173474B1 (en) 1999-01-08 2001-01-16 Fantom Technologies Inc. Construction of a vacuum cleaner head
US6180486B1 (en) 1999-02-16 2001-01-30 International Business Machines Corporation Process of fabricating planar and densely patterned silicon-on-insulator structure
JP2000278085A (en) * 1999-03-24 2000-10-06 Yamaha Corp Surface acoustic wave element
EP1039559A1 (en) * 1999-03-25 2000-09-27 Seiko Epson Corporation Method for manufacturing piezoelectric material
US6143072A (en) 1999-04-06 2000-11-07 Ut-Battelle, Llc Generic process for preparing a crystalline oxide upon a group IV semiconductor substrate
US6326667B1 (en) * 1999-09-09 2001-12-04 Kabushiki Kaisha Toshiba Semiconductor devices and methods for producing semiconductor devices
US6329277B1 (en) * 1999-10-14 2001-12-11 Advanced Micro Devices, Inc. Method of forming cobalt silicide
US6340788B1 (en) * 1999-12-02 2002-01-22 Hughes Electronics Corporation Multijunction photovoltaic cells and panels using a silicon or silicon-germanium active substrate cell for space and terrestrial applications
US6362558B1 (en) * 1999-12-24 2002-03-26 Kansai Research Institute Piezoelectric element, process for producing the same and ink jet recording head
KR100430751B1 (en) * 2000-02-23 2004-05-10 주식회사 세라콤 Method for Single Crystal Growth of Perovskite Oxides
US6445724B2 (en) * 2000-02-23 2002-09-03 Sarnoff Corporation Master oscillator vertical emission laser
US6348373B1 (en) * 2000-03-29 2002-02-19 Sharp Laboratories Of America, Inc. Method for improving electrical properties of high dielectric constant films
US6415140B1 (en) * 2000-04-28 2002-07-02 Bae Systems Aerospace Inc. Null elimination in a space diversity antenna system
US20020030246A1 (en) * 2000-06-28 2002-03-14 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices not lattice matched to the substrate
US20020008234A1 (en) * 2000-06-28 2002-01-24 Motorola, Inc. Mixed-signal semiconductor structure, device including the structure, and methods of forming the device and the structure
JP2002023123A (en) * 2000-07-11 2002-01-23 Fujitsu Ltd Optical circuit provided with optical waveguide for guiding minor light
US6661940B2 (en) * 2000-07-21 2003-12-09 Finisar Corporation Apparatus and method for rebroadcasting signals in an optical backplane bus system
US6677655B2 (en) * 2000-08-04 2004-01-13 Amberwave Systems Corporation Silicon wafer with embedded optoelectronic material for monolithic OEIC
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
KR100360413B1 (en) * 2000-12-19 2002-11-13 삼성전자 주식회사 Method of manufacturing capacitor of semiconductor memory device by two-step thermal treatment
US6524651B2 (en) * 2001-01-26 2003-02-25 Battelle Memorial Institute Oxidized film structure and method of making epitaxial metal oxide structure
US6528374B2 (en) * 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6589887B1 (en) * 2001-10-11 2003-07-08 Novellus Systems, Inc. Forming metal-derived layers by simultaneous deposition and evaporation of metal

Also Published As

Publication number Publication date
EP1258031A1 (en) 2002-11-20
KR20020077907A (en) 2002-10-14
US20020074624A1 (en) 2002-06-20
US20040149203A1 (en) 2004-08-05
EP1258027A2 (en) 2002-11-20
JP2003523081A (en) 2003-07-29
JP2003523080A (en) 2003-07-29
AU2001234993A1 (en) 2001-08-20
AU2001234973A1 (en) 2001-08-20
CN1416590A (en) 2003-05-07
CA2400513A1 (en) 2001-08-16
CN1222032C (en) 2005-10-05
CN1398429A (en) 2003-02-19
US20040150076A1 (en) 2004-08-05
US6392257B1 (en) 2002-05-21
JP2003523078A (en) 2003-07-29
WO2001059820A1 (en) 2001-08-16
AU2001236820A1 (en) 2001-08-20
JP2003523084A (en) 2003-07-29
WO2001059836A1 (en) 2001-08-16
US20020047123A1 (en) 2002-04-25
WO2001059837A1 (en) 2001-08-16
KR20020086514A (en) 2002-11-18
KR20020075403A (en) 2002-10-04
TW494450B (en) 2002-07-11
EP1258039A1 (en) 2002-11-20
WO2001059814A3 (en) 2002-04-18
US7067856B2 (en) 2006-06-27
AU2001236895A1 (en) 2001-08-20
CN1261978C (en) 2006-06-28
TWI301292B (en) 2008-09-21
TW483050B (en) 2002-04-11
AU2001234972A1 (en) 2001-08-20
AU2001238137A1 (en) 2001-08-20
EP1258030A1 (en) 2002-11-20
WO2001059820A8 (en) 2001-11-15
WO2001059814A2 (en) 2001-08-16
CN1398430A (en) 2003-02-19
JP2003523083A (en) 2003-07-29
US20040150003A1 (en) 2004-08-05
WO2001059835A1 (en) 2001-08-16
CA2399394A1 (en) 2001-08-16
EP1258038A1 (en) 2002-11-20
WO2001059821A1 (en) 2001-08-16
AU2001234999A1 (en) 2001-08-20
KR20020077678A (en) 2002-10-12
US20020047143A1 (en) 2002-04-25
CN1398423A (en) 2003-02-19
US20040149202A1 (en) 2004-08-05
KR20020091089A (en) 2002-12-05
TW487969B (en) 2002-05-21
TWI235491B (en) 2005-07-01
WO2001059822A1 (en) 2001-08-16
KR100695662B1 (en) 2007-03-19
US20040232525A1 (en) 2004-11-25
TW497152B (en) 2002-08-01
CN1416591A (en) 2003-05-07

Similar Documents

Publication Publication Date Title
WO2001059821A8 (en) A process for forming a semiconductor structure
WO2002009187A3 (en) Heterojunction tunneling diodes and process for fabricating same
WO2003012841A3 (en) Semiconductor structures and devices not lattice matched to the substrate
WO2002050345A3 (en) Semiconductor compliant substrate having a graded monocrystalline layer
WO2002027362A3 (en) Electro-optic structure and process for fabricating same
WO2003009395A3 (en) Multijunction solar cell
WO2002047127A3 (en) Pyroelectric device on a monocrystalline semiconductor substrate
WO2003009382A3 (en) Semiconductor structures with integrated control components
WO2002047173A3 (en) Quantum well infrared photodetector
WO2003009344A3 (en) Iii-v arsenide nitride semiconductor substrate
WO2003009024A3 (en) Optical waveguide trenches in composite integrated circuits
WO2003001564A3 (en) Semiconductor structure with a superlattice portion
WO2003012826A3 (en) Monitoring and controlling perovskite oxide film growth
WO2002080287A3 (en) Semiconductor structures and devices for detecting far-infrared light
WO2003007334A3 (en) Semiconductor structures and devices for detecting chemical reactant
WO2003009357A3 (en) Epitaxial semiconductor on insulator (soi) structures and devices
WO2003014812A3 (en) Semiconductor structures and polarization modulator devices
WO2002009158A3 (en) Semiconductor structure including a magnetic tunnel junction
WO2002045140A3 (en) Semiconductor structures having a compliant substrate
WO2003017373A3 (en) Piezoelectric coupled component integrated devices
WO2002009191A3 (en) Non-volatile memory element
WO2002080228A3 (en) Structure including cubic boron nitride films
WO2003007393A3 (en) Semiconductor structures comprising a piezoelectric material and corresponding processes and systems
WO2002054467A3 (en) Semiconductor structure including a monocrystalline conducting layer
WO2003001574A3 (en) Double-sided semiconductor structures utilizing a compliant substrate

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
AK Designated states

Kind code of ref document: C1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: C1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

CFP Corrected version of a pamphlet front page

Free format text: REVISED ABSTRACT RECEIVED BY THE INTERNATIONAL BUREAU AFTER COMPLETION OF THE TECHNICAL PREPARATIONS FOR INTERNATIONAL PUBLICATION

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: IN/PCT/2002/00985/MU

Country of ref document: IN

WWE Wipo information: entry into national phase

Ref document number: 2399394

Country of ref document: CA

ENP Entry into the national phase

Ref document number: 2001 559048

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 2001907188

Country of ref document: EP

Ref document number: 1020027010316

Country of ref document: KR

Ref document number: 018047629

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2001907188

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020027010316

Country of ref document: KR

REG Reference to national code

Ref legal event code: 8642

Ref country code: DE

WWW Wipo information: withdrawn in national office

Ref document number: 2001907188

Country of ref document: EP