WO2001065315A2 - Method and apparatus for mixed-mode optical proximity correction - Google Patents

Method and apparatus for mixed-mode optical proximity correction Download PDF

Info

Publication number
WO2001065315A2
WO2001065315A2 PCT/US2001/006144 US0106144W WO0165315A2 WO 2001065315 A2 WO2001065315 A2 WO 2001065315A2 US 0106144 W US0106144 W US 0106144W WO 0165315 A2 WO0165315 A2 WO 0165315A2
Authority
WO
WIPO (PCT)
Prior art keywords
optical proximity
proximity correction
model
semiconductor
based optical
Prior art date
Application number
PCT/US2001/006144
Other languages
French (fr)
Other versions
WO2001065315A3 (en
Inventor
Christophe Pierrat
You-Ping Zhang
Fang-Cheng Chang
Ho Yong Park
Yao-Ting Wang
Original Assignee
Numerical Technologies, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Numerical Technologies, Inc. filed Critical Numerical Technologies, Inc.
Priority to AU2001243289A priority Critical patent/AU2001243289A1/en
Priority to JP2001563954A priority patent/JP4947533B2/en
Priority to EP01916242.9A priority patent/EP1264213B1/en
Publication of WO2001065315A2 publication Critical patent/WO2001065315A2/en
Publication of WO2001065315A3 publication Critical patent/WO2001065315A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Definitions

  • the present invention relates to the field of electronic semiconductor design and manufacture.
  • the present invention discloses methods for performing optical proximity correction.
  • Optical lithography is a specialized printing process that puts detailed patterns onto silicon wafers.
  • Semiconductor manufacturers create a "mask” and then shine light through the mask to project a desired pattern onto a silicon wafer that is coated with a very thin layer of photosensitive material called "resist.”
  • the bright parts of the image pattern cause chemical reactions that make the resist material become soluble.
  • the resist forms a stenciled pattern across the wafer surface that accurately matches the desired pattern of the semiconductor circuit. Finally, this pattern is transferred onto the wafer surface via another chemical process.
  • phase shifting utilizes optical interference to improve depth-of-field and resolution in lithography.
  • Optical proximity correction alters the original layout mask to compensate for nonlinear distortions caused by optical diffraction and resist process effects.
  • Optical proximity correction may also correct for mask proximity effects, dry etch effects, and other undesirable effects of the optical lithography process.
  • Optical proximity correction is often performed by modeling the final manufactured output of a semiconductor design and then determining what changes should be made to the semiconductor layout design to obtain a desired result.
  • the semiconductor process modeling produces very accurate results.
  • the semiconductor process modeling is extremely computationally expensive.
  • adjusting a semiconductor layout design using model- based optical proximity correction is a very laborious task. It would be desirable to have a method of using optical proximity correction that produces good results within a short amount of time and reduce human intervention.
  • a semiconductor layout testing and correction system is disclosed.
  • the system combines both rule-based optical proximity correction and model-based optical proximity correction in order to test and correct semiconductor layouts.
  • a semiconductor layout is first processed by a rule-based optical proximity correction system and then subsequently processed by a model-based optical proximity correction system.
  • the system first processes a semiconductor layout with a rule-based optical proximity correction system and then selectively processes difficult features using a model-based optical proximity correction system.
  • the system selectively processes the various features of a semiconductor layout using a rule-based optical proximity correction system or a model-based optical proximity correction system.
  • Figure 1A illustrates an ideal mask of a geometric pattern.
  • Figure IB illustrates a mask of the ideal geometric pattern of Figure 1A.
  • Figure 1C illustrates a circuit element created in the photoresist of a silicon wafer using the mask of Figure IB.
  • Figure 2A illustrates an optical proximity corrected version of the ideal geometric pattern of Figure 1A.
  • Figure 2B illustrates an optical proximity corrected photomask of the optical proximity corrected version of the ideal geometric pattern illustrated in Figure 2 A.
  • Figure 2C illustrates a circuit element created in the photoresist of a silicon wafer using the photomask of Figure 2B.
  • Figure 3A illustrates an ideal rectangular geometric feature.
  • Figure 3B illustrates a circuit element created in the photoresist of a silicon wafer using the photomask of Figure 3A.
  • Figure 3C illustrates the rectangular geometric feature of Figure 3A after it has been processed by a rule-based optical proximity corrector that adds serifs to outside corners.
  • Figure 3D illustrates a circuit element created in the photoresist of a silicon wafer using the optical proximity corrected photomask of Figure 3C
  • Figure 4A illustrates two close geometric features that have closely parallel feature lines.
  • Figure 4B illustrates the geometric features of Figure 4 A after enlarging the gap between the two features.
  • Figure 5 conceptually illustrates the modeling of the optical lithography process.
  • Figure 6A illustrates the layout of an ideal rectangular geometric feature that has had its segments subdivided.
  • Figure 6B illustrates a circuit element created in the photoresist of a silicon wafer using the photomask of Figure 6A.
  • Figure 6C illustrates the rectangular geometric feature of Figure 6A after two segments in the upper-right corner have been adjusted using model-based optical proximity correction.
  • Figure 6D illustrates a circuit element created in the photoresist of a silicon wafer using the optical proximity adjusted photomask of Figure 6C
  • Figure 7 illustrates a flow diagram of an optical proximity correction system that uses both rule-based optical proximity correction and model-based optical proximity correction.
  • Figure 8 illustrates a flow diagram of an optical proximity correction system that applies rule-based optical proximity correction and then selectively applies model-based optical proximity correction.
  • Figure 9 illustrates a flow diagram of an optical proximity correction system that selectively applies rule-based optical proximity correction or model-based optical proximity correction to various layout features.
  • Figure 10 illustrates a conceptual diagram of an optical proximity correction system that selectively applies rule-based optical proximity correction, model-based optical proximity correction, rule-based and model-based optical proximity correction, or no correction to various layout features.
  • Figure 11 A illustrates a detailed flow diagram for one embodiment of the optical proximity correction system that selectively applies rule-based optical proximity correction, model-based optical proximity correction, rule-based and model-based optical proximity correction, or no correction to various layout features as set forth in Figure 10.
  • Figure 11B illustrates a legend for the flow diagram of Figure 11 A.
  • Figure 12 illustrates a computer system that may embody the teachings of the present invention.
  • Figures 1A through IC illustrate an example of the difficulties of using optical lithography to create small features that are smaller than the light wavelength used in the lithography processes.
  • Figure 1 A illustrates an ideal pair of geometric features to be etched into a silicon wafer. When a photomask is created, the photomask is not a perfect representation of the ideal geometric feature.
  • Figure IB illustrates a photomask of the ideal geometric features illustrated in Figure 1 A.
  • the final output silicon may appear as illustrated in Figure IC. Note that the outside corners 160 of the final output features become have become shortened and rounded. Similarly, the' inside corner
  • Figure IC only appear roughly similar to the ideal output features of Figure 1A.
  • Optical proximity correction is one of those sub-wavelength techniques.
  • Optical proximity correction is the process of altering the original mask to compensate for nonlinear distortions caused by optical diffraction and photoresist process effects.
  • Figures 2A through 2C illustrate how optical proximity correction can be used to improve the optical lithography process to create a better version of the features illustrated in Figures 1A through IC.
  • the ideal geometric feature layout of Figure 1A has been altered to compensate for optical diffraction and other effects.
  • a serif has been added to the outside corners to provide extra area that reduce diffraction effects.
  • the inside corner 210 has area removed.
  • a photomask of the optical proximity corrected version it may appear as illustrated in Figure 2B.
  • the output circuit features may appear as illustrated in Figure 2C.
  • the optical proximity corrected output circuit illustrated in Figure 2C more accurately resembles the desired geometric features of Figure 1 A that the uncorrected output circuit of Figure IC.
  • a first method of performing optical proximity correction is to create and apply a set of optical proximity correction rules.
  • Each optical proximity correction rule tests for a particular condition wherein optical proximity correction may be necessary.
  • a couple of sample optical proximity correction rules are provided:
  • a feature line from a first object is very closely parallel to another feature line of a second object then move the feature line of the wider of the two objects away from the other feature line.
  • the first rule is illustrated with reference to Figures 3A, 3B, 3C, and 3D. Referring to Figures 3A, 3B, 3C, and 3D. Referring to Figures 3A, 3B, 3C, and 3D. Referring to Figures 3A, 3B, 3C, and 3D. Referring to Figures 3A, 3B, 3C, and 3D. Referring to Figures 3A, 3B, 3C, and 3D. Referring to Figures 3A, 3B, 3C, and 3D.
  • Figure 3A a geometric feature has four corners. Due to light diffraction around the corners of the mask pattern in Figure 3A, the resultant feature in the photoresist appears as illustrated in Figure 3B. To counteract this effect, a serif has been added around the corner of the mask as illustrated in Figure 3C.
  • the end-result is an improved end-result geometric feature as illustrated in Figure 3D.
  • Figure 4 A illustrates two adjacent geometric objects 450 and 460 that have very close parallel lines separated by the space 410. When parallel features are so close, the manufactured features may appear too close as illustrate in Figure 4B. To prevent such features from being manufactured too close to each other, the space 410 between geometric objects 450 and 460 should be increased.
  • Figure 4C illustrates two features after the space 415 between the geometric features 455 and 465 has been widened. The rule selects the wider of the two objects (object 450) and moves its parallel adjacent feature line away from the other object (object 460). The final manufactured result is illustrated in Figure 4D.
  • Rule-based optical proximity correction has the advantage that it is relatively simple to apply once a set of optical proximity correction rules have been defined. No very complex calculations are required to improve the final layout. A rule engine simply attempts to apply each rule to each feature of a proposed layout. However, the rules-based optical proximity correction system is rigid in that only problems that have associated rules are handled. Furthermore, the number of rules can grow exponentially as smaller and smaller processes are used. This is especially true when the features size is much smaller than the range of the proximity effect. It is very difficult to maintain such large rule sets. Since each layout change effects the entire nearby region, it is difficult to create rules when the light wavelength exceeds the features size.
  • Model-based optical proximity correction operates using a mathematical model of the manufacturing process.
  • the mathematical model of the manufacturing process accurately determines how the output circuit pattern would appear if a given photomask layout pattern was put through that particular manufacturing process. It should be noted that many different models are created for many different manufacturing process. Each manufacturing process may have more than one different type of model.
  • the model may handle effects such as mask fabrication effects, optical effects, resist processing effects, dry or wet etching effects, or other effects of the optical lithography process.
  • Figure 5 conceptually illustrates the model-based optical proximity correction process. Referring to Figure 5, circuit designers work with electronic design tools to create a layout pattern 510. An optical lithography model 520 is then applied to the input layout pattern 510 to simulate the optical lithography process.
  • the optical lithography model 520 produces an output- modeled circuit 550.
  • One type of optical lithography model is a convolution model.
  • Current optical lithography convolution models are very accurate such that the output-modeled circuit produced by the optical lithography convolution model is almost exactly like the real circuit output from an actual optical lithography process.
  • trouble spots can be located.
  • the source areas of the pattern mask that created the trouble spots can then be adjusted.
  • reference points on the output circuit pattern are selected and specified to be located at a certain defined location within a designated threshold tolerance. Then, the related feature of the input layout pattern is adjusted until the reference point of the output circuit pattern falls within the designated threshold of the defined location.
  • One method of performing model-based optical proximity correction is to divide each feature into many sub segments and adjust each individual sub segment to obtain the desired resultant feature.
  • An example of this is provided with reference to Figures 6A, 6B, 6C, and 6D.
  • Figure 6 A illustrates an example rectangular feature that normally has four segments. However, to carefully adjust how the output circuit device will appear, each of the four segments has been sub-divided into three smaller segments.
  • Figure 6B illustrates the normal output of the rectangular feature of Figure 6A.
  • segment 610 and segment 620 may be moved out to provide more area.
  • An example of this is illustrated in Figure 6C wherein segment 610 has been moved up to create segment 615 and segment 620 has been moved right to create segment 625. Note that the endpoints of the moved segments will remain coupled to the unmoved segments using additional segments to connect the endpoints. Thus, a newly created horizontal segment will couple segment 625 and unmoved segment 630 in Figure 6C.
  • Figure 6D illustrates how the improved output wherein the upper right-hand corner 690 is less rounded.
  • Model-based optical proximity correction is a very powerful tool for ensuring that a fabricated circuit operates as desired since it can be used to verify that all the created circuit features meet the minimum requirements. However, this power comes at a cost.
  • To use model- based optical proximity correction across an entire complex design requires many hours of computation time. For many projects, having a fast tum-around time is very important. It would therefore be desirable to have methods that produces the accuracy of model-based optical proximity correction without requiring such a large amount of time for computations.
  • the present invention introduces a mixed-mode system of optical proximity correction. Specifically, the present invention introduces several methods of combining the two different methods of performing optical proximity correction such that very good results can be achieved in short amount of time.
  • a rule-based optical proximity correction system parses through a semiconductor layout to perform a first pass optical proximity correction and then a model-based optical proximity correction system is used to cure any remaining trouble spots.
  • a sample implementation is illustrated in Figure 7.
  • a first step 720 applies a set of optical proximity correction rules to the semiconductor layout.
  • the rules used in step 720 modify the layout in attempts to correct certain problems that can be located by identifying specific conditions in the layout. However, there are layout problems that are not easily identified with a rule.
  • the system applies a lithography model to the semiconductor to determine how the manufactured output of the current layout would appear.
  • the system tests the modeled output to determine if the manufactured output from the layout conforms to a designated specification. If no problem is detected, the system proceeds to step 770 to output a final semiconductor layout. If a layout problem is detected, the system proceeds to step 750 to correct the problem using the model-based optical proximity correction system.
  • a model-based OPC system determines the outcome of two different feature placements and then interpolates an idea position between the two placements. A number of different identified problems may be addressed during step 750.
  • the system then proceeds to again check the layout with manufacturing model at step 730. This process iterates until no more significant problems are detected at step 740. Once no significant problems are detected at step 740 the system outputs a final semiconductor layout at step 770.
  • the method illustrated in Figure 7 provides advantages over rule-based OPC or model- based OPC alone.
  • the system provides a better output layout than rule-based OPC alone since the model-based OPC portion handles many situations that are not handled easily using rule- based OPC.
  • the system may be faster than model-based OPC alone since many layout problems are quickly solved using rule-based OPC such that model-based OPC may not be needed in many situations.
  • the entire design is validated using model-based OPC such that the system creates layouts that are just as good as model-based OPC corrected only layouts but in less time since the rule-based OPC corrects many problems that are easily identified using rule-based OPC.
  • a rule-based proximity correction system and a proximity correction system are selectively used depending on the situation.
  • Various different embodiments of this technique have been implemented.
  • Figure 8 illustrates a first embodiment of a selective optical proximity correction system wherein the rules-based OPC is used for all features, but the model-based OPC is selectively used.
  • the system applies a set of optical proximity correction rules to the semiconductor layout.
  • the rules correct a number of problems that can easily be identified using a set of rules.
  • the rules may be created using multiple different models. For example, clear field patterns could be fitted better using one model and dark field patterns may be fitted better using another model.
  • the system enters a loop that begins to examine every feature in the layout to determine if model-based optical proximity correction should be applied to that feature.
  • the system may look for certain features where layout problems that cannot be easily corrected using a rule.
  • the features that need model based OPC are marked at step 830.
  • the system breaks down all the features identified for model-based OPC.
  • the feature break down may occur as illustrated in Figure 6A where a geometric feature has been divided into sub segments.
  • the present invention will determine if the segment should be processed by a model- based optical proximity correction system.
  • a set of defined rules can be used to make such determinations. For example, the following three rules may specify situations wherein model- based optical proximity correction may be advisable:
  • step 850 the system determines the outcome of the rule tests. If the segment fell within one of the conditions defined in a rule, then the system examines and corrects the segment using model-based optical proximity correction at step 840. The system then proceeds to the next segment at step 830.
  • step 830 After examining a feature to determine if it falls within one of conditions wherein model- based optical proximity correction is required, the system proceeds from step 830 to step 840 where it determines if this was the last feature to examine. If the examined feature was not the last feature then the system proceeds back to step 830 to examine the next feature.
  • the system may optionally pre-bias the marked features at step 850. However, it is not likely that the pre-bias is needed in this case since all the edges have been pre- corrected using rules-based OPC.
  • the system After pre-biasing (if done), the system applies a lithography model to the layout at step 860 to determine how the manufactured output of the current layout would appear.
  • the system tests the modeled output to determine if the manufactured output from the layout conforms to a designated specification. If no problem is detected, the system proceeds to step 890 to output a final semiconductor layout.
  • step 880 the system proceeds to step 880 to correct the problems using the model-based optical proximity correction system.
  • the system then proceeds to again check the layout with manufacturing model at step 860. This process iterates until no more significant problems are detected at step 870. Once no significant problems are detected at step 870 the system outputs a final semiconductor layout at step 890.
  • the system of Figure 8 allows rule-based optical proximity correction to correct many easily identified problems but then uses model-based optical proximity correction to carefully handle features in difficult situations.
  • the system of Figure 8 produces a high quality semiconductor layout without requiring the computation time of a full model-based optical proximity correction pass.
  • the system of Figure 8 will be significantly faster than a normal model-based OPC system since model-based OPC is not applied to every feature.
  • Figure 9 illustrates another embodiment of a selective optical proximity correction system.
  • features are selectively processed using rule-based OPC or model-based OPC but not both.
  • the embodiment of Figure 9 begins as a loop that examines each feature.
  • each feature is tested with a set of selective rules that determine if rule-based optical proximity correction or model-based optical proximity correction should be used on that feature.
  • the same set of rules as defined in the previous section may be used.
  • the selective rules are designed to safeguard the rule correction areas to ensure that the rule correction actually will meet the specification. Thus, such features can skip the performance check or model-based OPC.
  • the determination at step 920 marks each feature to be model-based OPC correct at step 925 or to be rale-based OPC correct at step 930. This process is repeated for all features using the decision step at step 940.
  • the system invokes rule-based optical proximity correction to correct all the features marked for rule based OPC at step 930. Those features marked for model-based OPC are not examined.
  • the system may optionally pre-bias the features marked for model-based optical proximity correction.
  • the pre-bias may be a set of rales in which the pattern is defined solely by the feature shape itself and the surrounding environment is ignored.
  • a step 970 the system tests the features marked for model-based OPC with a model of the manufacturing process. If all features are to specification at step 980, then the system outputs a final semiconductor layout at step 990. Otherwise, the features marked for model-based OPC are corrected using model-based OPC at step 985.
  • Figure 10 illustrates a conceptual diagram of yet another possible embodiment of a selective OPC system.
  • each the features may be adjusted using rule- based OPC, model-based OPC, rule and model based OPC, or no correction at all.
  • the first step is to process the layout to put it in a form where it may be tested using a set of correction type selection rales. As indicated in step 1010, this may be performed by dividing the layout into individual segments and setting evaluation points. However, other systems may be used.
  • step 1020 all of the segments are examined and divided into different sets wherein each set will have a different OPC correction system used. Some segments will be placed into a set where no correction (NC) is needed. A second set of segments will then be placed into a set wherein only rule-based correction (RC) will be applied. A third set of segments will then be placed into a set wherein only model-based correction (MC) will be applied. Finally, a fourth set of segments will be placed into a set that will use both rale and model based correction (RMC).
  • N no correction
  • RC rule-based correction
  • MC model-based correction
  • RMC model-based correction
  • the system will then process each set of segments accordingly.
  • the segments that require no correction will be placed directly into the output.
  • the segments that require rule- based correction (RC) or rale and model based correction (RMC) segments will be processed using rule-based correction at step 1030.
  • the rule-based correction only (RC) segments will then be placed into the output as set forth in step 1040.
  • FIG. 11 A illustrates a detailed flow diagram describing one implementation of the selective OPC system of Figure 10.
  • the embodiment of Figure 11A has been described with reference to an edge based system. However, the same teachings can be applied to other types of systems such as a shape based correction system.
  • Figure 11 A has been illustrated with three different line types. A legend for the different dataflow types is provided in Figure 11B.
  • the system begins with an original layout 1102. From the original layout 1102, the system builds a correctable edge database 1107 at step 1105.
  • a correctable edge database does not have to explicitly be created and maintained in all embodiments. For example, one embodiment may explicitly derive the edges from the layout database 1102 on the fly.
  • the system then proceeds to examine all the edges in the correctable edge database 1107 to determine which type of correction should be used on each edge.
  • the system extracts an edge from the correctable edge database 1107 and tests that edge at step 1115. If no correction is required the system proceeds back to step 1110 to examine another edge.
  • step 1120 If the edge needs rule-based OPC (RC) or rule and model based OPC (RMC) then the system proceeds to step 1120 to apply the rales 1125.
  • rule-based correction can be performed on an edge by edge basis. If the edge required only rale-based OPC (RC) then the system applies the correction to the layout database as specified in step 1135 before returning to step 1110 to examine another edge. If the edge requires rule and model based OPC (RMC) then the system proceeds to step 1140 to initialize the edge with the correction from the rule. That corrected edge is then used to update a model-based OPC edge database 1147 at step 1145. After updating the model-based OPC edge database 1147, the system proceeds back to step 1110 to examine another edge.
  • RC rule-based OPC
  • RMC rule and model based OPC
  • step 1150 the system may pre-bias the edge. Then, the system updates the model-based OPC edge database 1147 at step 1145 with that pre-biased edge. After updating the model-based OPC edge database 1147, the system proceeds back to step 1110 to examine another edge. After all the edges have been examined at step 1110, the system proceeds to begin the model-based correction stage.
  • the system applies the manufacturing model to the edge database.
  • step 1170 the system tests all the evaluation points for the various edges to correct with model-based correction. If, at step 1175, all the edges meet a defined specification then the system is done. Otherwise, the system proceeds to step 1180 to iteratively extract each edge from the model- based edge database 1147 and apply a model based correction at step 1185. This is performed until all the edges needing model based OPC have been adjusted. The system then again applies the manufacturing model and tests the evaluation points at steps 1160, 1170 and 1175 to determine if the all edges are now to specification. This iterative process continues until the layout conforms to the defined specification.
  • model-based OPC As set forth in the introductory section on model-based OPC, many different types of models have been created to model various semiconductor-manufacturing processes. Each model may have its own particular strenghts and weaknesses. Some models may be better than other models in certain defined circumstances.
  • the hybrid OPC system of the present invention may take advantage of these different models.
  • many embodiments use various rules to specify a particular correction system to be used.
  • These rale sets may be expanded to select a particular type of OPC model that should be used to evaluate a particular feature.
  • a particular manufacturing model is better than other manufacturing models at modeling a particular feature, then that manufacturing model may be used to test and correct that particular feature.
  • a rule set may specify that inner corner segments should be modeled with model 1 , the outer corner segments should be modeled with model 2, and the edge segments should be modeled with model 3. In this manner, the system will take advantage of the best model for each particular circum stance.
  • the hybrid OPC system of the present invention is very useful for traditional optical lithography semiconductor manufacturing. However, its application is not limited to that area.
  • the teachings of the present invention may be used for any photo-mask based manufacturing process.
  • the teachings of the present invention may be applied to both binary masks and phase-shifting masks. Information about phase-shifting masks can be found in U.S. patent 5,858,580 issued on January 12, 1999 entitled “Phase shifting circuit manufacture method and apparatus" which is hereby incorporated by reference.
  • the rules may be adjusted to support specialized layouts.
  • a set of rules may specify that rule-based OPC should be used to process the binary portion of a layout and that model-based OPC should be used to process the phase-shifted portion of a layout. In this manner, the best processing is provided to those critical sections that need it the most.
  • the software may be configured to "pre-filter" its operation.
  • a user may designate only specific areas for hybrid OPC processing.
  • the user may specify only certain cells of a layout for OPC processing.
  • the type of processing to perform may be limited on a cell by cell basis.
  • certain cells may be processed with rule only OPC, other cells may be processed with model based OPC, and other cells may be processed with the hybrid OPC system.
  • Certain features may overlap areas.
  • the present invention may define another layer in the layout and decide that all the segments overlapped by this layer should be, corrected by a specific OPC system.
  • Verification Using Model Based Correction many embodiments of the present invention use model based OPC to test and set all the features in a layout design. The testing is performed using a verification specification. In such embodiments wherein all the features are tested with a verification specification, the layout is inherently fully verified by the OPC processing. Thus, no separate verification step is necessary.
  • the model based OPC portion of such embodiments may be improved to offer additional features sometimes available in traditional verification tools.
  • the model-based OPC portion may provide an option to test a layout design at various dose settings. This may be accomplished by adjusting the model threshold (dose).
  • the model-based OPC portion may provide an option to test a layout design at various focus settings. This may be accomplished by building new models at certain defocus values in order to provide focus latitude verification.
  • the system When performing model-based OPC, the system will perform an iterative trial and error process to adjust features (such as edge placements) in order to correct defects in a layout. However, if changes are made to a layout design, then the entire OPC process must be performed again. Since the OPC process is a very long computationally expensive task, a simple design change may cause a long delay due to the OPC process.
  • the present invention introduces the idea of creating a database of changes made to a particular layout such that if a slightly changed version of the same layout is again processed, the unchanged areas can be quickly corrected using the stored database of changes.
  • the process would simply verify that an area that was corrected in a previous OPC process has not changed such that the same correction can be applied.
  • this correction database takes the form of a rule database.
  • each model-based OPC correction is stored along with the initial condition that caused the model-based OPC correction.
  • the set of rales can be applied to quickly bring a slightly modified design into a form where it is nearly fully corrected.
  • the system then just applies model-based OPC to the changed areas.
  • the hybrid OPC system of the present invention may be used in many different environments.
  • Figure 12 illustrates one example of how the hybrid OPC system may be implemented.
  • a computer system 1200 contains a processor 1210 for executing computer instructions and a memory 1220 for storing computer programs.
  • the hybrid OPC system of the present invention may be implemented as a hybrid OPC program 1225 that runs within the memory 1220.
  • the hybrid OPC program 1225 may process layout data stored within local storage device 1240.
  • the hybrid OPC program 1225 may also work within a network environment.
  • the computer system 1200 may also have a network interface 1270 that couples the computer system 1200 to a network 1275.
  • 1225 may process data located on network accessible storage systems such as storage device 1277.
  • the hybrid OPC program 1225 may be leased or sold to customers that wish to improve their semiconductor layouts.
  • the hybrid OPC program 1225 may be distributed on magnetic, optical, or other computer readable media.
  • the hybrid OPC program 1225 may be distributed electronically using any transmission medium such as the Internet, a data broadcast, or any other digital transmission medium.

Abstract

A semiconductor layout testing and correction system is disclosed. The system combines both rule-based optical proximity correction and model-based optical proximity correction in order to test and correct semiconductor layouts. In a first embodiment, a semiconductor layout is first processed by a rule-based optical proximity correction system and then subsequently processed by a model-based optical proximity correction system. In another embodiment, the system first processes a semiconductor layout with a rule-based optical proximity correction system and then selectively processes difficult features using a model-based optical proximity correction system. In yet another embodiment, the system selectively processes the various features of a semiconductor layout using a rule-based optical proximity correction system or a model-based optical proximity correction system.

Description

METHOD AND APPARATUS FOR MLXED-MODE OPTICAL PROXIMITY CORRECTION
FIELD OF THE INVENTION
The present invention relates to the field of electronic semiconductor design and manufacture. In particular the present invention discloses methods for performing optical proximity correction.
BACKGROUND OF THE INVENTION
Semiconductor manufacturers produce semiconductors using optical lithography. Optical lithography is a specialized printing process that puts detailed patterns onto silicon wafers. Semiconductor manufacturers create a "mask" and then shine light through the mask to project a desired pattern onto a silicon wafer that is coated with a very thin layer of photosensitive material called "resist." The bright parts of the image pattern cause chemical reactions that make the resist material become soluble. After development, the resist forms a stenciled pattern across the wafer surface that accurately matches the desired pattern of the semiconductor circuit. Finally, this pattern is transferred onto the wafer surface via another chemical process.
To improve semiconductor performance, semiconductor researchers and engineers keep shrinking the size of the circuits on semiconductor chips. There are two main reasons to reduce the size of semiconductor circuits: (1) smaller features allow silicon chips to contain more circuit elements and thus be more complex. Similarly, a smaller circuit size allows more copies of the same die to appear on a single silicon wafer. (2) smaller circuit devices use less power and may operate at higher frequencies (faster rates) to produce higher performance semiconductor chips.
As semiconductor circuit sizes have reduced, the limits of optical lithography are being tested. However, the move to new semiconductor processes such as X-ray lithography is viewed as difficult and expensive. To extend the use of optical lithography into feature sizes that are smaller than the light wavelength used in the optical lithography process, a set of sub-wavelength techniques have been developed. Two sub-wavelength technologies that have been developed include phase-shifting and optical proximity correction. Phase shifting utilizes optical interference to improve depth-of-field and resolution in lithography. Optical proximity correction alters the original layout mask to compensate for nonlinear distortions caused by optical diffraction and resist process effects. Optical proximity correction may also correct for mask proximity effects, dry etch effects, and other undesirable effects of the optical lithography process.
Optical proximity correction is often performed by modeling the final manufactured output of a semiconductor design and then determining what changes should be made to the semiconductor layout design to obtain a desired result. The semiconductor process modeling produces very accurate results. However, the semiconductor process modeling is extremely computationally expensive. Furthermore, adjusting a semiconductor layout design using model- based optical proximity correction is a very laborious task. It would be desirable to have a method of using optical proximity correction that produces good results within a short amount of time and reduce human intervention.
SUMMARY OF THE INVENTION
A semiconductor layout testing and correction system is disclosed. The system combines both rule-based optical proximity correction and model-based optical proximity correction in order to test and correct semiconductor layouts. In a first embodiment, a semiconductor layout is first processed by a rule-based optical proximity correction system and then subsequently processed by a model-based optical proximity correction system. In another embodiment, the system first processes a semiconductor layout with a rule-based optical proximity correction system and then selectively processes difficult features using a model-based optical proximity correction system. In yet another embodiment, the system selectively processes the various features of a semiconductor layout using a rule-based optical proximity correction system or a model-based optical proximity correction system.
Other objects, features, and advantages of present invention will be apparent from the company drawings and from the following detailed description.
BRIEF DESCRIPTION OF THE DRAWINGS
The objects, features, and advantages of the present invention will be apparent to one skilled in the art, in view of the following detailed description in which:
Figure 1A illustrates an ideal mask of a geometric pattern.
Figure IB illustrates a mask of the ideal geometric pattern of Figure 1A.
Figure 1C illustrates a circuit element created in the photoresist of a silicon wafer using the mask of Figure IB.
Figure 2A illustrates an optical proximity corrected version of the ideal geometric pattern of Figure 1A.
Figure 2B illustrates an optical proximity corrected photomask of the optical proximity corrected version of the ideal geometric pattern illustrated in Figure 2 A.
Figure 2C illustrates a circuit element created in the photoresist of a silicon wafer using the photomask of Figure 2B.
Figure 3A illustrates an ideal rectangular geometric feature.
Figure 3B illustrates a circuit element created in the photoresist of a silicon wafer using the photomask of Figure 3A.
Figure 3C illustrates the rectangular geometric feature of Figure 3A after it has been processed by a rule-based optical proximity corrector that adds serifs to outside corners.
Figure 3D illustrates a circuit element created in the photoresist of a silicon wafer using the optical proximity corrected photomask of Figure 3C
Figure 4A illustrates two close geometric features that have closely parallel feature lines.
Figure 4B illustrates the geometric features of Figure 4 A after enlarging the gap between the two features.
Figure 5 conceptually illustrates the modeling of the optical lithography process. Figure 6A illustrates the layout of an ideal rectangular geometric feature that has had its segments subdivided.
Figure 6B illustrates a circuit element created in the photoresist of a silicon wafer using the photomask of Figure 6A.
Figure 6C illustrates the rectangular geometric feature of Figure 6A after two segments in the upper-right corner have been adjusted using model-based optical proximity correction.
Figure 6D illustrates a circuit element created in the photoresist of a silicon wafer using the optical proximity adjusted photomask of Figure 6C
Figure 7 illustrates a flow diagram of an optical proximity correction system that uses both rule-based optical proximity correction and model-based optical proximity correction.
Figure 8 illustrates a flow diagram of an optical proximity correction system that applies rule-based optical proximity correction and then selectively applies model-based optical proximity correction.
Figure 9 illustrates a flow diagram of an optical proximity correction system that selectively applies rule-based optical proximity correction or model-based optical proximity correction to various layout features.
Figure 10 illustrates a conceptual diagram of an optical proximity correction system that selectively applies rule-based optical proximity correction, model-based optical proximity correction, rule-based and model-based optical proximity correction, or no correction to various layout features.
Figure 11 A illustrates a detailed flow diagram for one embodiment of the optical proximity correction system that selectively applies rule-based optical proximity correction, model-based optical proximity correction, rule-based and model-based optical proximity correction, or no correction to various layout features as set forth in Figure 10.
Figure 11B illustrates a legend for the flow diagram of Figure 11 A.
Figure 12 illustrates a computer system that may embody the teachings of the present invention. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
A method and apparatus for mixed-mode optical proximity correction is disclosed. In the following description, for purposes of explanation, specific nomenclature is set forth to provide a thorough understanding of the present invention. However, it will be apparent to one skilled in the art that these specific details are not required in order to practice the present invention. For example, the present invention has been described with reference to optical lithography. However, the same techniques can easily be applied to other types of semiconductor processes such as X-ray lithography, Extreme UV lithography, electron beam manufacturing, and focused ion beam manufacturing.
Optical Proximity Correction
Semiconductor manufacturers are reaching the limits of optical lithography using visible light wavelengths. Figures 1A through IC illustrate an example of the difficulties of using optical lithography to create small features that are smaller than the light wavelength used in the lithography processes. Figure 1 A illustrates an ideal pair of geometric features to be etched into a silicon wafer. When a photomask is created, the photomask is not a perfect representation of the ideal geometric feature. For example, Figure IB illustrates a photomask of the ideal geometric features illustrated in Figure 1 A.
When the photomask of Figure IB is used in the optical lithography process, the final output silicon may appear as illustrated in Figure IC. Note that the outside corners 160 of the final output features become have become shortened and rounded. Similarly, the' inside corner
150 has become rounded and occupies more space than desired. Thus, the output features of
Figure IC only appear roughly similar to the ideal output features of Figure 1A.
To extend the use of optical lithography to create geometric features that are smaller than the light wavelength used in the optical lithography process, a set of sub-wavelength techniques have been developed. Optical proximity correction is one of those sub-wavelength techniques.
Optical proximity correction is the process of altering the original mask to compensate for nonlinear distortions caused by optical diffraction and photoresist process effects.
Figures 2A through 2C illustrate how optical proximity correction can be used to improve the optical lithography process to create a better version of the features illustrated in Figures 1A through IC. Referring to Figure 2A, the ideal geometric feature layout of Figure 1A has been altered to compensate for optical diffraction and other effects. As illustrated in Figure 2A, a serif has been added to the outside corners to provide extra area that reduce diffraction effects. Similarly, the inside corner 210 has area removed. When a photomask of the optical proximity corrected version is created, it may appear as illustrated in Figure 2B. When the optical proximity corrected photomask of Figure 2B is used within the optical lithography process to create a silicon semiconductor, the output circuit features may appear as illustrated in Figure 2C. As can be seen from the drawings, the optical proximity corrected output circuit illustrated in Figure 2C more accurately resembles the desired geometric features of Figure 1 A that the uncorrected output circuit of Figure IC.
There are two main methods of performing optical proximity correction: rule-based optical proximity correction and model-based optical proximity correction. Each method has its own advantages and disadvantages.
Rule-Based Optical Proximity Correction
A first method of performing optical proximity correction is to create and apply a set of optical proximity correction rules. Each optical proximity correction rule tests for a particular condition wherein optical proximity correction may be necessary. To illustrate how rule-based optical proximity correction operates, a couple of sample optical proximity correction rules are provided:
If an outside corner is detected then add a serif to provide extra area around the corner.
If a feature line from a first object is very closely parallel to another feature line of a second object then move the feature line of the wider of the two objects away from the other feature line.
These are only two example rule's; many other different rules exist or may be subsequently created. Rules are often generated offline by way of simulation for very typical and general patterns. It is possible that different features are mistakenly characterized as the same such that an inaccurate correction is performed.
The first rule is illustrated with reference to Figures 3A, 3B, 3C, and 3D. Referring to
Figure 3A, a geometric feature has four corners. Due to light diffraction around the corners of the mask pattern in Figure 3A, the resultant feature in the photoresist appears as illustrated in Figure 3B. To counteract this effect, a serif has been added around the corner of the mask as illustrated in Figure 3C. The end-result is an improved end-result geometric feature as illustrated in Figure 3D.
The second rule ensures that adjacent parallel feature lines are not too close. Figure 4 A illustrates two adjacent geometric objects 450 and 460 that have very close parallel lines separated by the space 410. When parallel features are so close, the manufactured features may appear too close as illustrate in Figure 4B. To prevent such features from being manufactured too close to each other, the space 410 between geometric objects 450 and 460 should be increased. Figure 4C illustrates two features after the space 415 between the geometric features 455 and 465 has been widened. The rule selects the wider of the two objects (object 450) and moves its parallel adjacent feature line away from the other object (object 460). The final manufactured result is illustrated in Figure 4D.
Rule-based optical proximity correction has the advantage that it is relatively simple to apply once a set of optical proximity correction rules have been defined. No very complex calculations are required to improve the final layout. A rule engine simply attempts to apply each rule to each feature of a proposed layout. However, the rules-based optical proximity correction system is rigid in that only problems that have associated rules are handled. Furthermore, the number of rules can grow exponentially as smaller and smaller processes are used. This is especially true when the features size is much smaller than the range of the proximity effect. It is very difficult to maintain such large rule sets. Since each layout change effects the entire nearby region, it is difficult to create rules when the light wavelength exceeds the features size.
Model-Based Optical Proximity Correction
Model-based optical proximity correction operates using a mathematical model of the manufacturing process. The mathematical model of the manufacturing process accurately determines how the output circuit pattern would appear if a given photomask layout pattern was put through that particular manufacturing process. It should be noted that many different models are created for many different manufacturing process. Each manufacturing process may have more than one different type of model. For an optical lithography processes, the model may handle effects such as mask fabrication effects, optical effects, resist processing effects, dry or wet etching effects, or other effects of the optical lithography process. Figure 5 conceptually illustrates the model-based optical proximity correction process. Referring to Figure 5, circuit designers work with electronic design tools to create a layout pattern 510. An optical lithography model 520 is then applied to the input layout pattern 510 to simulate the optical lithography process. The optical lithography model 520 produces an output- modeled circuit 550. One type of optical lithography model is a convolution model. Current optical lithography convolution models are very accurate such that the output-modeled circuit produced by the optical lithography convolution model is almost exactly like the real circuit output from an actual optical lithography process.
By examining the output modeled circuit pattern from an optical lithography convolution model, trouble spots can be located. The source areas of the pattern mask that created the trouble spots can then be adjusted. Typically, reference points on the output circuit pattern are selected and specified to be located at a certain defined location within a designated threshold tolerance. Then, the related feature of the input layout pattern is adjusted until the reference point of the output circuit pattern falls within the designated threshold of the defined location.
One method of performing model-based optical proximity correction is to divide each feature into many sub segments and adjust each individual sub segment to obtain the desired resultant feature. An example of this is provided with reference to Figures 6A, 6B, 6C, and 6D. Figure 6 A illustrates an example rectangular feature that normally has four segments. However, to carefully adjust how the output circuit device will appear, each of the four segments has been sub-divided into three smaller segments. Figure 6B illustrates the normal output of the rectangular feature of Figure 6A.
To correct the shortening and rounding of the upper-right corner, segment 610 and segment 620 may be moved out to provide more area. An example of this is illustrated in Figure 6C wherein segment 610 has been moved up to create segment 615 and segment 620 has been moved right to create segment 625. Note that the endpoints of the moved segments will remain coupled to the unmoved segments using additional segments to connect the endpoints. Thus, a newly created horizontal segment will couple segment 625 and unmoved segment 630 in Figure 6C. Figure 6D illustrates how the improved output wherein the upper right-hand corner 690 is less rounded. Through simulation, it may be found that by moving segments 610 and 620 out by a certain amount, light intensity at the evaluation points gets gradually closer and eventually meets a target threshold. Since the evaluation point is chosen such that it well represent the behavior when printed, we can conclude that the printing will meet the specified target with the specified edge movement. Note that this process completes a verification process for this particular segment.
Model-based optical proximity correction is a very powerful tool for ensuring that a fabricated circuit operates as desired since it can be used to verify that all the created circuit features meet the minimum requirements. However, this power comes at a cost. To use model- based optical proximity correction across an entire complex design requires many hours of computation time. For many projects, having a fast tum-around time is very important. It would therefore be desirable to have methods that produces the accuracy of model-based optical proximity correction without requiring such a large amount of time for computations.
Mixed Mode Optical Proximity Correction
To perform optical proximity correction in a time-efficient manner that produces functional results, the present invention introduces a mixed-mode system of optical proximity correction. Specifically, the present invention introduces several methods of combining the two different methods of performing optical proximity correction such that very good results can be achieved in short amount of time.
Rule Based OPC then Model Based OPC
In a first embodiment, a rule-based optical proximity correction system parses through a semiconductor layout to perform a first pass optical proximity correction and then a model-based optical proximity correction system is used to cure any remaining trouble spots. A sample implementation is illustrated in Figure 7.
Referring to Figure 7, a first step 720 applies a set of optical proximity correction rules to the semiconductor layout. The rules used in step 720 modify the layout in attempts to correct certain problems that can be located by identifying specific conditions in the layout. However, there are layout problems that are not easily identified with a rule.
Next, at step 730, the system applies a lithography model to the semiconductor to determine how the manufactured output of the current layout would appear. At step 740, the system tests the modeled output to determine if the manufactured output from the layout conforms to a designated specification. If no problem is detected, the system proceeds to step 770 to output a final semiconductor layout. If a layout problem is detected, the system proceeds to step 750 to correct the problem using the model-based optical proximity correction system. In one embodiment, a model-based OPC system determines the outcome of two different feature placements and then interpolates an idea position between the two placements. A number of different identified problems may be addressed during step 750. The system then proceeds to again check the layout with manufacturing model at step 730. This process iterates until no more significant problems are detected at step 740. Once no significant problems are detected at step 740 the system outputs a final semiconductor layout at step 770.
The method illustrated in Figure 7 provides advantages over rule-based OPC or model- based OPC alone. The system provides a better output layout than rule-based OPC alone since the model-based OPC portion handles many situations that are not handled easily using rule- based OPC. Furthermore, the system may be faster than model-based OPC alone since many layout problems are quickly solved using rule-based OPC such that model-based OPC may not be needed in many situations. Furthermore, it should be noted that the entire design is validated using model-based OPC such that the system creates layouts that are just as good as model-based OPC corrected only layouts but in less time since the rule-based OPC corrects many problems that are easily identified using rule-based OPC.
Rule-Based OPC and Selective Model-Based OPC
In other embodiments of the present invention, a rule-based proximity correction system and a proximity correction system are selectively used depending on the situation. Various different embodiments of this technique have been implemented.
Figure 8 illustrates a first embodiment of a selective optical proximity correction system wherein the rules-based OPC is used for all features, but the model-based OPC is selectively used. Referring to step 820 the system applies a set of optical proximity correction rules to the semiconductor layout. The rules correct a number of problems that can easily be identified using a set of rules. The rules may be created using multiple different models. For example, clear field patterns could be fitted better using one model and dark field patterns may be fitted better using another model.
At step 830, the system enters a loop that begins to examine every feature in the layout to determine if model-based optical proximity correction should be applied to that feature. The system may look for certain features where layout problems that cannot be easily corrected using a rule. The features that need model based OPC are marked at step 830.
At step 830, the system breaks down all the features identified for model-based OPC. The feature break down may occur as illustrated in Figure 6A where a geometric feature has been divided into sub segments. By determining if a particular segment falls within a certain situation, the present invention will determine if the segment should be processed by a model- based optical proximity correction system. A set of defined rules can be used to make such determinations. For example, the following three rules may specify situations wherein model- based optical proximity correction may be advisable:
1. If a segment forms portion of an outside corner then process the segment with a model-based optical proximity correction system.
2. If a segment forms an edge of a narrow feature then process the segment with a model-based optical proximity correction system.
3. If a segment forms an edge that is closely parallel to a neighboring feature then process the segment with a model-based optical proximity correction system.
These are only examples of decision rules that may be used to determine if model-based optical proximity correction should be used.
Referring back to Figure 8, at step 850 the system determines the outcome of the rule tests. If the segment fell within one of the conditions defined in a rule, then the system examines and corrects the segment using model-based optical proximity correction at step 840. The system then proceeds to the next segment at step 830.
After examining a feature to determine if it falls within one of conditions wherein model- based optical proximity correction is required, the system proceeds from step 830 to step 840 where it determines if this was the last feature to examine. If the examined feature was not the last feature then the system proceeds back to step 830 to examine the next feature. Once all the features have been tested, the system may optionally pre-bias the marked features at step 850. However, it is not likely that the pre-bias is needed in this case since all the edges have been pre- corrected using rules-based OPC.
After pre-biasing (if done), the system applies a lithography model to the layout at step 860 to determine how the manufactured output of the current layout would appear. At step 870, the system tests the modeled output to determine if the manufactured output from the layout conforms to a designated specification. If no problem is detected, the system proceeds to step 890 to output a final semiconductor layout.
If one or more layout problems are detected, the system proceeds to step 880 to correct the problems using the model-based optical proximity correction system. The system then proceeds to again check the layout with manufacturing model at step 860. This process iterates until no more significant problems are detected at step 870. Once no significant problems are detected at step 870 the system outputs a final semiconductor layout at step 890.
The system of Figure 8 allows rule-based optical proximity correction to correct many easily identified problems but then uses model-based optical proximity correction to carefully handle features in difficult situations. Thus, the system of Figure 8 produces a high quality semiconductor layout without requiring the computation time of a full model-based optical proximity correction pass. The system of Figure 8 will be significantly faster than a normal model-based OPC system since model-based OPC is not applied to every feature.
Selective Rule-Based OPC or Model-Based OPC
Figure 9 illustrates another embodiment of a selective optical proximity correction system. In the system of Figure 9, features are selectively processed using rule-based OPC or model-based OPC but not both.
The embodiment of Figure 9 begins as a loop that examines each feature. First, at step 910 each feature is tested with a set of selective rules that determine if rule-based optical proximity correction or model-based optical proximity correction should be used on that feature. The same set of rules as defined in the previous section may be used. Note that the selective rules are designed to safeguard the rule correction areas to ensure that the rule correction actually will meet the specification. Thus, such features can skip the performance check or model-based OPC. The determination at step 920 marks each feature to be model-based OPC correct at step 925 or to be rale-based OPC correct at step 930. This process is repeated for all features using the decision step at step 940.
At step 950 the system invokes rule-based optical proximity correction to correct all the features marked for rule based OPC at step 930. Those features marked for model-based OPC are not examined. At step 960, the system may optionally pre-bias the features marked for model-based optical proximity correction. The pre-bias may be a set of rales in which the pattern is defined solely by the feature shape itself and the surrounding environment is ignored.
A step 970, the system tests the features marked for model-based OPC with a model of the manufacturing process. If all features are to specification at step 980, then the system outputs a final semiconductor layout at step 990. Otherwise, the features marked for model-based OPC are corrected using model-based OPC at step 985.
Selective Rule-Based OPC. Model-Based OPC, Rule and Model OPC or No Correction
Figure 10 illustrates a conceptual diagram of yet another possible embodiment of a selective OPC system. In the system of Figure 10, each the features may be adjusted using rule- based OPC, model-based OPC, rule and model based OPC, or no correction at all.
Referring to the conceptual diagram of Figure 10, the first step is to process the layout to put it in a form where it may be tested using a set of correction type selection rales. As indicated in step 1010, this may be performed by dividing the layout into individual segments and setting evaluation points. However, other systems may be used.
Next, at step 1020, all of the segments are examined and divided into different sets wherein each set will have a different OPC correction system used. Some segments will be placed into a set where no correction (NC) is needed. A second set of segments will then be placed into a set wherein only rule-based correction (RC) will be applied. A third set of segments will then be placed into a set wherein only model-based correction (MC) will be applied. Finally, a fourth set of segments will be placed into a set that will use both rale and model based correction (RMC).
The system will then process each set of segments accordingly. The segments that require no correction will be placed directly into the output. The segments that require rule- based correction (RC) or rale and model based correction (RMC) segments will be processed using rule-based correction at step 1030. The rule-based correction only (RC) segments will then be placed into the output as set forth in step 1040.
The model-based correction only (MC) segments may be pre-biased at step 1050. Finally, the model-based correction only (MC) segments and the rule and model based correction (RMC) segments are processed using model-based OPC at step 1060. Figure 11 A illustrates a detailed flow diagram describing one implementation of the selective OPC system of Figure 10. The embodiment of Figure 11A has been described with reference to an edge based system. However, the same teachings can be applied to other types of systems such as a shape based correction system. Figure 11 A has been illustrated with three different line types. A legend for the different dataflow types is provided in Figure 11B.
Referring to Figure 11A, the system begins with an original layout 1102. From the original layout 1102, the system builds a correctable edge database 1107 at step 1105. Note that a correctable edge database does not have to explicitly be created and maintained in all embodiments. For example, one embodiment may explicitly derive the edges from the layout database 1102 on the fly. The system then proceeds to examine all the edges in the correctable edge database 1107 to determine which type of correction should be used on each edge. At step 1110, the system extracts an edge from the correctable edge database 1107 and tests that edge at step 1115. If no correction is required the system proceeds back to step 1110 to examine another edge.
If the edge needs rule-based OPC (RC) or rule and model based OPC (RMC) then the system proceeds to step 1120 to apply the rales 1125. Note that rule-based correction can be performed on an edge by edge basis. If the edge required only rale-based OPC (RC) then the system applies the correction to the layout database as specified in step 1135 before returning to step 1110 to examine another edge. If the edge requires rule and model based OPC (RMC) then the system proceeds to step 1140 to initialize the edge with the correction from the rule. That corrected edge is then used to update a model-based OPC edge database 1147 at step 1145. After updating the model-based OPC edge database 1147, the system proceeds back to step 1110 to examine another edge.
Referring back to step 1110, if the edge requires model-based OPC only (MC), then the system proceeds to step 1150 where the system may pre-bias the edge. Then, the system updates the model-based OPC edge database 1147 at step 1145 with that pre-biased edge. After updating the model-based OPC edge database 1147, the system proceeds back to step 1110 to examine another edge. After all the edges have been examined at step 1110, the system proceeds to begin the model-based correction stage.
At step 1160, the system applies the manufacturing model to the edge database. At step
1170, the system tests all the evaluation points for the various edges to correct with model-based correction. If, at step 1175, all the edges meet a defined specification then the system is done. Otherwise, the system proceeds to step 1180 to iteratively extract each edge from the model- based edge database 1147 and apply a model based correction at step 1185. This is performed until all the edges needing model based OPC have been adjusted. The system then again applies the manufacturing model and tests the evaluation points at steps 1160, 1170 and 1175 to determine if the all edges are now to specification. This iterative process continues until the layout conforms to the defined specification.
Using Multiple Different Models
As set forth in the introductory section on model-based OPC, many different types of models have been created to model various semiconductor-manufacturing processes. Each model may have its own particular strenghts and weaknesses. Some models may be better than other models in certain defined circumstances.
The hybrid OPC system of the present invention may take advantage of these different models. As set forth in the previous sections, many embodiments use various rules to specify a particular correction system to be used. These rale sets may be expanded to select a particular type of OPC model that should be used to evaluate a particular feature. Thus, if a particular manufacturing model is better than other manufacturing models at modeling a particular feature, then that manufacturing model may be used to test and correct that particular feature. For example, a rule set may specify that inner corner segments should be modeled with model 1 , the outer corner segments should be modeled with model 2, and the edge segments should be modeled with model 3. In this manner, the system will take advantage of the best model for each particular circum stance.
Applications Of Hybrid OPC
The hybrid OPC system of the present invention is very useful for traditional optical lithography semiconductor manufacturing. However, its application is not limited to that area. The teachings of the present invention may be used for any photo-mask based manufacturing process. For example, the teachings of the present invention may be applied to both binary masks and phase-shifting masks. Information about phase-shifting masks can be found in U.S. patent 5,858,580 issued on January 12, 1999 entitled "Phase shifting circuit manufacture method and apparatus" which is hereby incorporated by reference.
In one embodiment, the rules may be adjusted to support specialized layouts. For example, a set of rules may specify that rule-based OPC should be used to process the binary portion of a layout and that model-based OPC should be used to process the phase-shifted portion of a layout. In this manner, the best processing is provided to those critical sections that need it the most.
Pre-Filtering To limit the hybrid OPC system of the present invention, the software may be configured to "pre-filter" its operation. For example, a user may designate only specific areas for hybrid OPC processing. In one embodiment, the user may specify only certain cells of a layout for OPC processing. Furthermore, the type of processing to perform may be limited on a cell by cell basis. For example, certain cells may be processed with rule only OPC, other cells may be processed with model based OPC, and other cells may be processed with the hybrid OPC system. Certain features may overlap areas. For an area-based correction, the present invention may define another layer in the layout and decide that all the segments overlapped by this layer should be, corrected by a specific OPC system.
Verification Using Model Based Correction As set forth in the previous sections, many embodiments of the present invention use model based OPC to test and set all the features in a layout design. The testing is performed using a verification specification. In such embodiments wherein all the features are tested with a verification specification, the layout is inherently fully verified by the OPC processing. Thus, no separate verification step is necessary.
To enhance the verification capabilities, the model based OPC portion of such embodiments may be improved to offer additional features sometimes available in traditional verification tools. For example, the model-based OPC portion may provide an option to test a layout design at various dose settings. This may be accomplished by adjusting the model threshold (dose). Similarly, the model-based OPC portion may provide an option to test a layout design at various focus settings. This may be accomplished by building new models at certain defocus values in order to provide focus latitude verification.
Learning Based on Model Based Correction
When performing model-based OPC, the system will perform an iterative trial and error process to adjust features (such as edge placements) in order to correct defects in a layout. However, if changes are made to a layout design, then the entire OPC process must be performed again. Since the OPC process is a very long computationally expensive task, a simple design change may cause a long delay due to the OPC process.
To prevent such delays, the present invention introduces the idea of creating a database of changes made to a particular layout such that if a slightly changed version of the same layout is again processed, the unchanged areas can be quickly corrected using the stored database of changes. The process would simply verify that an area that was corrected in a previous OPC process has not changed such that the same correction can be applied.
In one embodiment, this correction database takes the form of a rule database.
Specifically, each model-based OPC correction is stored along with the initial condition that caused the model-based OPC correction. In this manner, the set of rales can be applied to quickly bring a slightly modified design into a form where it is nearly fully corrected. The system then just applies model-based OPC to the changed areas.
An Example Embodiment
The hybrid OPC system of the present invention may be used in many different environments. Figure 12 illustrates one example of how the hybrid OPC system may be implemented. Referring to Figure 12, a computer system 1200 contains a processor 1210 for executing computer instructions and a memory 1220 for storing computer programs. The hybrid OPC system of the present invention may be implemented as a hybrid OPC program 1225 that runs within the memory 1220. The hybrid OPC program 1225 may process layout data stored within local storage device 1240.
The hybrid OPC program 1225 may also work within a network environment. Referring to Figure 12, the computer system 1200 may also have a network interface 1270 that couples the computer system 1200 to a network 1275. In such an embodiment, the hybrid OPC program
1225 may process data located on network accessible storage systems such as storage device 1277.
The hybrid OPC program 1225 may be leased or sold to customers that wish to improve their semiconductor layouts. The hybrid OPC program 1225 may be distributed on magnetic, optical, or other computer readable media. Alternatively, the hybrid OPC program 1225 may be distributed electronically using any transmission medium such as the Internet, a data broadcast, or any other digital transmission medium. The foregoing has described a method and apparatus for mixed-mode optical proximity correction of semiconductor circuit layouts. It is contemplated that changes and modifications may be made by one of ordinary skill in the art, to the materials and arrangements of elements of the present invention without departing from the scope of the invention.

Claims

We claim:
L A method of preparing a semiconductor mask, said method comprising: accepting a semiconductor design; processing said semiconductor design with a set of optical proximity correction rules to produce a rale corrected semiconductor design; modeling said rale corrected semiconductor design with an optical model to produce a modeled semiconductor mask; and adjusting said corrected semiconductor design to correct said modeled semiconductor mask.
2. The method as claimed in claim 1 wherein said step of modeling said rule corrected semiconductor design comprises verifying said semiconductor design against specifications.
3. The method as claimed in claim 2 wherein a user may select a dose setting for said verifying.
4. The method as claimed in claim 2 wherein a user may select a focus setting for said verifying.
5. A method of preparing a semiconductor mask, said method comprising: accepting a semiconductor design; processing said semiconductor design with a set of optical proximity correction rules to produce a rule corrected semiconductor design; examining said rule-corrected semiconductor design to identify a set of features to process with model-based optical proximity correction; and processing said set of features with model-based optical proximity correction; outputting a final corrected semiconductor design.
6. The method as claimed in claim 5 wherein processing said set of features with model-based optical proximity correction comprises verifying said semiconductor design against specifications. .
7. The method as claimed in claim 6 wherein a user may select a dose setting for said verifying.
8. The method as claimed in claim 6 wherein a user may select a focus setting for said verifying.
9. The method as claimed in claim 5 wherein examining rale-corrected semiconductor design to identify a set of features to process with model-based optical proximity correction comprises applying a set of rules to identify difficult features.
10. The method as claimed in claim 5 wherein examining rule-corrected semiconductor design further comprises selecting a particular model-based optical proximity correction system for each feature.
11. The method as claimed in claim 5 wherein a feature comprises a segment.
12. The method as claimed in claim 5 wherein a feature comprises a shape.
13. A method of preparing a semiconductor mask, said method comprising: accepting a semiconductor design; processing each feature to determine if a raled-based optical proximity correction system or a model-based optical proximity correction system should be used for a particular feature; selectively correcting each feature with said raled-based optical proximity correction system or said model-based optical proximity correction system; and outputting a final corrected semiconductor design.
14 The method as claimed in claim 13 further comprising: selecting a particular model-based optical proximity correction system from a set of model-based optical proximity correction system for each feature to be corrected with a model-based optical proximity correction system;
15. The method as claimed in claim 13 wherein said features to be corrected with said model-based optical proximity system are pre-biased before correcting with said model-based optical proximity system.
16. The method as claimed in claim 13 wherein selectively correcting a features with a model-based optical proximity correction comprises verifying said semiconductor design against specifications. .
17. The method as claimed in claim 16 wherein a user may select a dose setting for said verifying.
18. The method as claimed in claim 16 wherein a user may select a focus setting for said verifying.
19. The method as claimed in claim 13 wherein correcting a feature with said model-based optical proximity correction system further comprises: processing said feature to determine a selected model-based optical proximity correction system from a set of model-based optical proximity correction systems; and processing said feature with said selected model-based optical proximity correction system.
21. The method as claimed in claim 13 wherein a feature comprises a segment.
22. The method as claimed in claim 13 wherein a feature comprises a shape.
23. A method of preparing a semiconductor mask, said method comprising: accepting a semiconductor design; processing each feature to determine if a ruled-based optical proximity correction system, if a model-based optical proximity correction system, if both rule-based and model- based optical proximity correction systems, or if no correction system should be used for a particular feature; selectively correcting each feature with a selected correction system determined in said step of processing; and outputting a final corrected semiconductor design.
24 The method as claimed in claim 23 further comprising: selecting a particular model-based optical proximity correction system from a set of model-based optical proximity correction system for each feature to be corrected with a model-based optical proximity correction system;
25. The method as claimed in claim 23 wherein said features to be corrected with said model-based optical proximity system are pre-biased before correcting with said model-based optical proximity system.
26. The method as claimed in claim 23 wherein selectively correcting a features with a model-based optical proximity correction comprises verifying said semiconductor design against specifications.
27. The method as claimed in claim 13 wherein a feature comprises a segment.
28. The method as claimed in claim 13 wherein a feature comprises a shape.
29. A method of preparing a semiconductor mask, said method comprising: accepting a semiconductor design; processing said semiconductor design with model-based optical proximity correction system; storing a set of corrections made using said model-based optical proximity correction system; modifying said semiconductor layout to create a modified layout; and processing said modified layout using said stored set of corrections.
30. The method as claimed in claim 29 wherein said corrections are stored as a set of rales.
31. A semiconductor device, said semiconductor device created from a processed layout generated by: processing an input layout design with a set of optical proximity correction rules to produce a rale corrected semiconductor design; modeling said rale corrected semiconductor layout design with an optical model to produce a modeled semiconductor mask; and adjusting said corrected semiconductor layout design to correct said modeled semiconductor mask.
32. A semiconductor device, said semiconductor device created from a processed layout generated by: processing an initial semiconductor layout with a set of optical proximity correction rales to produce a rule corrected semiconductor layout; examining said rule-corrected semiconductor layout to identify a set of features to process with model-based optical proximity correction; and processing said set of features with model-based optical proximity correction; outputting a final corrected semiconductor layout design.
33. A semiconductor device, said semiconductor device created from a processed layout generated by: processing each feature in an input layout to determine if a ruled-based optical proximity correction system or a model-based optical proximity correction system should be used for a particular feature; selectively correcting each feature with said raled-based optical proximity correction system or said model-based optical proximity correction system; and outputting a final corrected semiconductor design.
34. A computer readable medium, said computer readable medium containing computer instructions for: processing an input layout design with a set of optical proximity correction rules to produce a rule corrected semiconductor design; modeling said rale corrected semiconductor layout design with an optical model to produce a modeled semiconductor mask; and adjusting said corrected semiconductor layout design to correct said modeled semiconductor mask.
35. A computer readable medium, said computer readable medium containing computer instructions for: processing an initial semiconductor layout with a set of optical proximity correction rales to produce a rule corrected semiconductor layout; examining said rule-corrected semiconductor layout to identify a set of features to process with model-based optical proximity correction; and processing said set of features with model-based optical proximity correction; outputting a final corrected semiconductor layout design.
36. A computer readable medium, said computer readable medium containing computer instructions for: processing each feature in an input layout to determine if a raled-based optical proximity correction system or a model-based optical proximity correction system should be used for a particular feature; selectively correcting each feature with said raled-based optical proximity correction system or said model-based optical proximity correction system; and outputting a final corrected semiconductor design.
37. An electromagnetic waveform, said electromagnetic waveform carrying computer instructions for: processing an input layout design with a set of optical proximity correction rales to produce a rule corrected semiconductor design; modeling said rale corrected semiconductor layout design with an optical model to produce a modeled semiconductor mask; and adjusting said corrected semiconductor layout design to correct said modeled semiconductor mask.
38. An electromagnetic waveform, said electromagnetic waveform carrying computer instructions for: processing an initial semiconductor layout with a set of optical proximity correction rules to produce a rule corrected semiconductor layout; examining said rule-corrected semiconductor layout to identify a set of features to process with model-based optical proximity correction; and processing said set of features with model-based optical proximity correction; outputting a final corrected semiconductor layout design.
39. An electromagnetic waveform, said electromagnetic waveform carrying computer instructions for: processing each feature in an input layout to determine if a ruled-based optical proximity correction system or a model-based optical proximity correction system should be used for a particular feature; selectively correcting each feature with said ruled-based optical proximity correction system or said model-based optical proximity correction system; and outputting a final corrected semiconductor design.
40. An computer apparatus, said computer apparatus comprising: a processor for processing computer instructions; and a memory, said memory containing a set of computer instructions for processing an input layout design with a set of optical proximity correction rules to produce a rale corrected semiconductor design, modeling said rule corrected semiconductor layout design with an optical model to produce a modeled semiconductor mask, and adjusting said corrected semiconductor layout design to correct said modeled semiconductor mask.
41. An computer apparatus, said computer apparatus comprising: a processor for processing computer instructions; and a memory, said memory containing a set of computer instructions for processing an initial semiconductor layout with a set of optical proximity correction rales to produce a rale corrected semiconductor layout, examining said rule-corrected semiconductor layout to identify a set of features to process with model-based optical proximity correction, and processing said set of features with model-based optical proximity correction, outputting a final corrected semiconductor layout design.
42. An computer apparatus, said computer apparatus comprising: a processor for processing computer instructions; and a memory, said memory containing a set of computer instructions for processing each feature in an input layout to determine if a raled-based optical proximity correction system or a model-based optical proximity correction system should be used for a particular feature, selectively correcting each feature with said ruled-based optical proximity correction system or said model-based optical proximity correction system, and outputting a final corrected semiconductor design.
PCT/US2001/006144 2000-02-28 2001-02-26 Method and apparatus for mixed-mode optical proximity correction WO2001065315A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
AU2001243289A AU2001243289A1 (en) 2000-02-28 2001-02-26 Method and apparatus for mixed-mode optical proximity correction
JP2001563954A JP4947533B2 (en) 2000-02-28 2001-02-26 Method and apparatus for mixed-mode optical proximity effect correction
EP01916242.9A EP1264213B1 (en) 2000-02-28 2001-02-26 Method and apparatus for mixed-mode optical proximity correction

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/514,551 2000-02-28
US09/514,551 US6584609B1 (en) 2000-02-28 2000-02-28 Method and apparatus for mixed-mode optical proximity correction

Publications (2)

Publication Number Publication Date
WO2001065315A2 true WO2001065315A2 (en) 2001-09-07
WO2001065315A3 WO2001065315A3 (en) 2002-04-04

Family

ID=24047688

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/006144 WO2001065315A2 (en) 2000-02-28 2001-02-26 Method and apparatus for mixed-mode optical proximity correction

Country Status (5)

Country Link
US (2) US6584609B1 (en)
EP (1) EP1264213B1 (en)
JP (1) JP4947533B2 (en)
AU (1) AU2001243289A1 (en)
WO (1) WO2001065315A2 (en)

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6516459B1 (en) 2000-07-10 2003-02-04 Mentor Graphics Corporation Integrated circuit design correction using fragment correspondence
US6748578B2 (en) 1999-04-30 2004-06-08 Nicolas B. Cobb Streamlined IC mask layout optical and process correction through correction reuse
US6817003B2 (en) 2001-06-14 2004-11-09 Lippincott George P Short edge management in rule based OPC
US6857109B2 (en) 2002-10-18 2005-02-15 George P. Lippincott Short edge smoothing for enhanced scatter bar placement
US6928634B2 (en) 2003-01-02 2005-08-09 Yuri Granik Matrix optical process correction
US6964031B2 (en) 2001-09-29 2005-11-08 Kabushiki Kaisha Toshiba Mask pattern generating method and manufacturing method of semiconductor apparatus
US6973633B2 (en) 2002-07-24 2005-12-06 George Lippincott Caching of lithography and etch simulation results
US7013439B2 (en) 2002-01-31 2006-03-14 Juan Andres Torres Robles Contrast based resolution enhancing technology
US7028284B2 (en) 2000-07-10 2006-04-11 Nicolas Bailey Cobb Convergence technique for model-based optical and process correction
US7073162B2 (en) 2003-10-31 2006-07-04 Mentor Graphics Corporation Site control for OPC
WO2007020439A1 (en) * 2005-08-16 2007-02-22 Pulsic Limited Pattern matching and pattern replacement
US7234130B2 (en) 2004-02-25 2007-06-19 James Word Long range corrections in integrated circuit layout designs
US7240305B2 (en) 2004-06-02 2007-07-03 Lippincott George P OPC conflict identification and edge priority system
US7293249B2 (en) 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
US7353145B2 (en) 2001-12-04 2008-04-01 Kabushiki Kaisha Toshiba Method for correcting a mask pattern, a computer program product, a method for producing a photomask, and method for manufacturing a semiconductor device
US7412676B2 (en) 2000-06-13 2008-08-12 Nicolas B Cobb Integrated OPC verification tool
US7434199B2 (en) 2005-09-27 2008-10-07 Nicolas Bailey Cobb Dense OPC
US7459248B2 (en) 2005-02-24 2008-12-02 James Word Performing OPC on structures with virtual edges
US7493587B2 (en) 2005-03-02 2009-02-17 James Word Chromeless phase shifting mask for integrated circuits using interior region
US7506285B2 (en) 2006-02-17 2009-03-17 Mohamed Al-Imam Multi-dimensional analysis for predicting RET model accuracy
US7536660B2 (en) 2004-02-24 2009-05-19 Konstantinos Adam OPC simulation model using SOCS decomposition of edge fragments
US7539954B2 (en) 2004-02-24 2009-05-26 Konstantinos Adam OPC simulation model using SOCS decomposition of edge fragments
US7638244B2 (en) 2004-02-16 2009-12-29 Kabushiki Kaisha Toshiba Method of correcting mask data, method of manufacturing a mask and method of manufacturing a semiconductor device
US7716614B2 (en) 2002-06-24 2010-05-11 Kauth Thomas H Hierarchical feature extraction for electrical interaction calculations
US7739650B2 (en) 2007-02-09 2010-06-15 Juan Andres Torres Robles Pre-bias optical proximity correction
US7805699B2 (en) 2007-10-11 2010-09-28 Mentor Graphics Corporation Shape-based photolithographic model calibration
US7861207B2 (en) 2004-02-25 2010-12-28 Mentor Graphics Corporation Fragmentation point and simulation site adjustment for resolution enhancement techniques
US7945871B2 (en) 2000-06-13 2011-05-17 Cobb Nicolas B Integrated OPC verification tool
US9977856B2 (en) 2004-05-07 2018-05-22 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands

Families Citing this family (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6467076B1 (en) * 1999-04-30 2002-10-15 Nicolas Bailey Cobb Method and apparatus for submicron IC design
US6440612B1 (en) * 1999-09-01 2002-08-27 Micron Technology, Inc. Field correction of overlay error
US20020156639A1 (en) * 2001-02-27 2002-10-24 Akira Ishikawa Business method for a digital photolithography system
US7392168B2 (en) * 2001-03-13 2008-06-24 Yuri Granik Method of compensating for etch effects in photolithographic processing
JP3615182B2 (en) * 2001-11-26 2005-01-26 株式会社東芝 Optical proximity effect correction method and optical proximity effect correction system
US6763514B2 (en) * 2001-12-12 2004-07-13 Numerical Technologies, Inc. Method and apparatus for controlling rippling during optical proximity correction
US7085698B2 (en) * 2001-12-18 2006-08-01 Synopsys, Inc. Method for providing flexible and dynamic reporting capability using simulation tools
JP4138318B2 (en) * 2002-01-08 2008-08-27 株式会社ルネサステクノロジ Lithography process margin evaluation apparatus, lithography process margin evaluation method, and lithography process margin evaluation program
US6778275B2 (en) * 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
JP2003322945A (en) * 2002-05-01 2003-11-14 Mitsubishi Electric Corp Correcting device of layout pattern data
DE10233205B4 (en) * 2002-07-17 2006-06-08 Infineon Technologies Ag Method for correcting local loading effects when etching photomasks
SG120106A1 (en) * 2002-07-26 2006-03-28 Asml Masktools Bv Automatic optical proximity correction (OPC) rule generation
US7172838B2 (en) * 2002-09-27 2007-02-06 Wilhelm Maurer Chromeless phase mask layout generation
US6854104B2 (en) * 2002-11-27 2005-02-08 Lsi Logic Corporation First approximation for OPC significant speed-up
US7082596B2 (en) * 2002-11-27 2006-07-25 Synopsys, Inc. Simulation-based selection of evaluation points for model-based optical proximity correction
US7318214B1 (en) 2003-06-19 2008-01-08 Invarium, Inc. System and method for reducing patterning variability in integrated circuit manufacturing through mask layout corrections
US20050234684A1 (en) * 2004-04-19 2005-10-20 Mentor Graphics Corp. Design for manufacturability
US20050015740A1 (en) * 2003-07-18 2005-01-20 Mentor Graphics Corp. Design for manufacturability
US7043712B2 (en) * 2003-09-09 2006-05-09 International Business Machines Corporation Method for adaptive segment refinement in optical proximity correction
US6973636B2 (en) * 2003-10-17 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of defining forbidden pitches for a lithography exposure tool
JP4357287B2 (en) 2003-12-18 2009-11-04 株式会社東芝 Correction guide generation method, pattern creation method, mask manufacturing method, semiconductor device manufacturing method, and program
US7251806B2 (en) * 2004-04-09 2007-07-31 Synopsys, Inc. Model-based two-dimensional interpretation filtering
US7065738B1 (en) * 2004-05-04 2006-06-20 Advanced Micro Devices, Inc. Method of verifying an optical proximity correction (OPC) model
US7266800B2 (en) * 2004-06-04 2007-09-04 Invarium, Inc. Method and system for designing manufacturable patterns that account for the pattern- and position-dependent nature of patterning processes
US7275225B2 (en) * 2004-06-04 2007-09-25 Invarium, Inc. Correcting design data for manufacture
US7463367B2 (en) * 2004-07-13 2008-12-09 Micron Technology, Inc. Estimating overlay error and optical aberrations
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
US7627837B2 (en) * 2004-10-15 2009-12-01 Takumi Technology Corp. Model-based pattern characterization to generate rules for rule-model-based hybrid optical proximity correction
US7721246B2 (en) * 2005-02-24 2010-05-18 Synopsys, Inc. Method and apparatus for quickly determining the effect of placing an assist feature at a location in a layout
JP2006235327A (en) * 2005-02-25 2006-09-07 Toshiba Corp Method for generating mask pattern data/mask inspection data, and method for manufacturing/inspecting photomask
US7743359B2 (en) * 2005-05-02 2010-06-22 Cadence Design Systems, Inc. Apparatus and method for photomask design
JP4828870B2 (en) * 2005-06-09 2011-11-30 株式会社東芝 Method and program for creating evaluation pattern
US20070083846A1 (en) * 2005-07-28 2007-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Optimized modules' proximity correction
US7265361B2 (en) * 2005-09-28 2007-09-04 Applied Materials, Inc. Beam blanker driver system and method
US7962868B2 (en) 2005-10-28 2011-06-14 Freescale Semiconductor, Inc. Method for forming a semiconductor device using optical proximity correction for the optical lithography
KR100673014B1 (en) * 2005-10-28 2007-01-24 삼성전자주식회사 Method of fabricating photomask
US7584450B2 (en) * 2006-02-17 2009-09-01 Synopsys, Inc. Method and apparatus for using a database to quickly identify and correct a manufacturing problem area in a layout
US8370773B2 (en) * 2006-08-16 2013-02-05 Freescale Semiconductor, Inc. Method and apparatus for designing an integrated circuit using inverse lithography technology
KR100763538B1 (en) * 2006-08-29 2007-10-05 삼성전자주식회사 Method of forming mask pattern and method of forming fine pattern using the same in a semiconductor device fabricating
US20080077907A1 (en) * 2006-09-21 2008-03-27 Kulkami Anand P Neural network-based system and methods for performing optical proximity correction
JP4956365B2 (en) * 2006-10-20 2012-06-20 株式会社東芝 Design layout creation method, semiconductor device manufacturing method, and computer-readable medium
US8230379B2 (en) * 2006-10-20 2012-07-24 Kabushiki Kaisha Toshiba Layout generating method for semiconductor integrated circuits
US7617475B2 (en) * 2006-11-13 2009-11-10 United Microelectronics Corp. Method of manufacturing photomask and method of repairing optical proximity correction
WO2008078213A1 (en) * 2006-12-21 2008-07-03 Nxp B.V. A method and system for identifying weak points in an integrated circuit design
US20080178140A1 (en) * 2007-01-18 2008-07-24 United Microelectronics Corp. Method for correcting photomask pattern
KR100828026B1 (en) * 2007-04-05 2008-05-08 삼성전자주식회사 Method of correcting a layout of a design pattern for an integrated circuit and apparatus for performing the same
US7886243B1 (en) * 2007-12-27 2011-02-08 Cadence Design Systems, Inc. System and method for using rules-based analysis to enhance models-based analysis
US7765021B2 (en) * 2008-01-16 2010-07-27 International Business Machines Corporation Method to check model accuracy during wafer patterning simulation
US20090258302A1 (en) * 2008-04-10 2009-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Sub-resolution assist feature of a photomask
US20090265148A1 (en) * 2008-04-16 2009-10-22 Synopsys, Inc. Modeling a sector-polarized-illumination source in an optical lithography system
US8042069B2 (en) * 2008-08-07 2011-10-18 United Microelectronics Corp. Method for selectively amending layout patterns
US8176446B2 (en) 2008-09-11 2012-05-08 International Business Machines Corporation Method for compensating for variations in structures of an integrated circuit
US7900169B2 (en) * 2009-01-06 2011-03-01 International Business Machines Corporation OPC model calibration process
US8103984B1 (en) * 2009-02-23 2012-01-24 Cadence Design Systems, Inc. System and method for compressed design phase contour data
US9507250B2 (en) * 2009-12-17 2016-11-29 International Business Machines Corporation Optical proximity correction for improved electrical characteristics
JP5477132B2 (en) * 2010-04-09 2014-04-23 富士通セミコンダクター株式会社 Mask pattern manufacturing apparatus, mask pattern manufacturing method, and mask manufacturing method
CN102478761B (en) * 2010-11-25 2014-01-22 无锡华润上华半导体有限公司 Photomask manufacturing method and system
US8464194B1 (en) * 2011-12-16 2013-06-11 International Business Machines Corporation Machine learning approach to correct lithographic hot-spots
CN103309149B (en) * 2013-06-08 2016-03-23 上海华力微电子有限公司 Optical proximity correction method
JP6537593B2 (en) 2014-08-19 2019-07-03 インテル・コーポレーション Cross-scan proximity correction using an electron beam universal cutter
CN106716597B (en) * 2014-08-19 2021-02-23 英特尔公司 Filleting correction for Electron Beam (EBEAM) direct write systems
JP6508504B1 (en) * 2017-06-19 2019-05-08 大日本印刷株式会社 Shape correction apparatus and shape correction method for figure pattern

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11A (en) * 1836-08-10
US67074A (en) * 1867-07-23 Improvement in quartz-mills
US3A (en) * 1836-08-11 Thomas blanchard
US10A (en) * 1836-08-10 Gtttlslto andi
US2324169A (en) * 1940-08-05 1943-07-13 Simms Motor Units Ltd Distributor ignition for internal combustion engines
US2344436A (en) * 1940-08-06 1944-03-14 Wilbur G Laird Floating roof storage apparatus for volatile liquids
US3210560A (en) * 1961-04-17 1965-10-05 Westinghouse Electric Corp Semiconductor device
US4231811A (en) 1979-09-13 1980-11-04 Intel Corporation Variable thickness self-aligned photoresist process
EP0043863B1 (en) 1980-07-10 1984-05-16 International Business Machines Corporation Process for compensating the proximity effect in electron beam projection devices
US4456371A (en) 1982-06-30 1984-06-26 International Business Machines Corporation Optical projection printing threshold leveling arrangement
US4812962A (en) 1987-04-09 1989-03-14 Harris Corp. Area feature sorting mechanism for neighborhood-based proximity correction in lithography processing of integrated circuit patterns
US4895780A (en) 1987-05-13 1990-01-23 General Electric Company Adjustable windage method and mask for correction of proximity effect in submicron photolithography
US4902899A (en) 1987-06-01 1990-02-20 International Business Machines Corporation Lithographic process having improved image quality
US5182718A (en) 1989-04-04 1993-01-26 Matsushita Electric Industrial Co., Ltd. Method and apparatus for writing a pattern on a semiconductor sample based on a resist pattern corrected for proximity effects resulting from direct exposure of the sample by a charged-particle beam or light
JP2830330B2 (en) 1989-04-04 1998-12-02 松下電器産業株式会社 Proximity effect correction method
JP2881892B2 (en) 1990-01-16 1999-04-12 富士通株式会社 Projection exposure mask
US5051598A (en) 1990-09-12 1991-09-24 International Business Machines Corporation Method for correcting proximity effects in electron beam lithography
IL97022A0 (en) 1991-01-24 1992-03-29 Ibm Israel Partitioning method for e-beam lithography
US5208124A (en) 1991-03-19 1993-05-04 Hewlett-Packard Company Method of making a mask for proximity effect correction in projection lithography
US5242770A (en) 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
JP3495734B2 (en) 1992-04-06 2004-02-09 アスムル マスクツールズ ビー.ブイ. Lithography pattern forming method in semiconductor device manufacturing process
US5256505A (en) 1992-08-21 1993-10-26 Microunity Systems Engineering Lithographical mask for controlling the dimensions of resist patterns
US6007310A (en) 1993-11-23 1999-12-28 Sarcos, Lc Volumetric pump with sterility seal
US5424154A (en) 1993-12-10 1995-06-13 Intel Corporation Lithographic emhancement method and apparatus for randomly spaced structures
US5447810A (en) 1994-02-09 1995-09-05 Microunity Systems Engineering, Inc. Masks for improved lithographic patterning for off-axis illumination lithography
US5636002A (en) 1994-04-29 1997-06-03 Lucent Technologies Inc. Auxiliary mask features for enhancing the resolution of photolithography
GB2291219B (en) 1994-07-05 1998-07-01 Nec Corp Photo-mask fabrication and use
US5573890A (en) 1994-07-18 1996-11-12 Advanced Micro Devices, Inc. Method of optical lithography using phase shift masking
JPH08297692A (en) 1994-09-16 1996-11-12 Mitsubishi Electric Corp Device and method for correcting optical proximity, and pattern forming method
JP3273456B2 (en) 1995-02-24 2002-04-08 アルプス電気株式会社 Motor driven slide type variable resistor
US5682323A (en) 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
JP3409493B2 (en) 1995-03-13 2003-05-26 ソニー株式会社 Mask pattern correction method and correction device
US5553273A (en) 1995-04-17 1996-09-03 International Business Machines Corporation Vertex minimization in a smart optical proximity correction system
US5663893A (en) 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
US5657235A (en) * 1995-05-03 1997-08-12 International Business Machines Corporation Continuous scale optical proximity correction by mask maker dose modulation
US5663017A (en) 1995-06-07 1997-09-02 Lsi Logic Corporation Optical corrective techniques with reticle formation and reticle stitching to provide design flexibility
JP3331822B2 (en) 1995-07-17 2002-10-07 ソニー株式会社 Mask pattern correction method, mask using the same, exposure method, and semiconductor device
JP2917879B2 (en) 1995-10-31 1999-07-12 日本電気株式会社 Photomask and manufacturing method thereof
US6185727B1 (en) * 1995-12-12 2001-02-06 International Business Machines Corporation Design verification for asymmetric phase shift mask layouts
JP3934719B2 (en) * 1995-12-22 2007-06-20 株式会社東芝 Optical proximity correction method
JP3469422B2 (en) 1996-02-23 2003-11-25 株式会社東芝 Charged beam writing method and writing apparatus
US5972541A (en) 1996-02-27 1999-10-26 Lsi Logic Corporation Reticle and method of design to correct pattern for depth of focus problems
US5723233A (en) 1996-02-27 1998-03-03 Lsi Logic Corporation Optical proximity correction method and apparatus
US6269472B1 (en) * 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US5705301A (en) 1996-02-27 1998-01-06 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US5862058A (en) 1996-05-16 1999-01-19 International Business Machines Corporation Optical proximity correction method and system
US5707765A (en) 1996-05-28 1998-01-13 Microunity Systems Engineering, Inc. Photolithography mask using serifs and method thereof
US5740068A (en) 1996-05-30 1998-04-14 International Business Machines Corporation Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction
US5885734A (en) 1996-08-15 1999-03-23 Micron Technology, Inc. Process for modifying a hierarchical mask layout
US5994002A (en) 1996-09-06 1999-11-30 Matsushita Electric Industrial Co., Ltd. Photo mask and pattern forming method
JP3518275B2 (en) 1996-09-06 2004-04-12 松下電器産業株式会社 Photomask and pattern forming method
JP3551660B2 (en) 1996-10-29 2004-08-11 ソニー株式会社 Exposure pattern correction method, exposure pattern correction apparatus, and exposure method
US5847959A (en) 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
US5821014A (en) 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
US5900340A (en) 1997-03-03 1999-05-04 Motorola, Inc. One dimensional lithographic proximity correction using DRC shape functions
US5920487A (en) 1997-03-03 1999-07-06 Motorola Inc. Two dimensional lithographic proximity correction using DRC shape functions
JPH10282635A (en) 1997-04-09 1998-10-23 Sony Corp Method for correcting pattern data, electron beam writing method, photomask and its manufacture, exposure method, semiconductor device and its production and pattern data correcting device
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
JP3713359B2 (en) * 1997-05-19 2005-11-09 マスプロ電工株式会社 Level measuring instrument
US6282696B1 (en) 1997-08-15 2001-08-28 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6370679B1 (en) 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6243855B1 (en) * 1997-09-30 2001-06-05 Kabushiki Kaisha Toshiba Mask data design method
US5958635A (en) 1997-10-20 1999-09-28 Motorola, Inc. Lithographic proximity correction through subset feature modification
JP3101594B2 (en) 1997-11-06 2000-10-23 キヤノン株式会社 Exposure method and exposure apparatus
US6114071A (en) 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
US6081658A (en) 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
EP0980542A4 (en) 1998-03-17 2006-03-01 Asml Masktools Bv Method of patterning sub-0.25 lambda line features with high transmission, "attenuated" phase shift masks
JP2000020564A (en) 1998-06-29 2000-01-21 Mitsubishi Electric Corp Device for correcting layout pattern data, method for correcting layout pattern data, manufacture of semiconductor device using the method, and recording medium having recorded manufacturing program of semiconductor device thereon
JP3241010B2 (en) 1998-11-18 2001-12-25 日本電気株式会社 Optical proximity correction method for semiconductor manufacturing process
JP2000162758A (en) * 1998-11-30 2000-06-16 Matsushita Electric Ind Co Ltd Method for correcting optical proximity effect
US6249904B1 (en) * 1999-04-30 2001-06-19 Nicolas Bailey Cobb Method and apparatus for submicron IC design using edge fragment tagging to correct edge placement distortion
US6301697B1 (en) 1999-04-30 2001-10-09 Nicolas B. Cobb Streamlined IC mask layout optical and process correction through correction reuse
US6467076B1 (en) * 1999-04-30 2002-10-15 Nicolas Bailey Cobb Method and apparatus for submicron IC design

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
CHUANG H ET AL: "Practical applications of 2-D optical proximity corrections for enhanced performance of 0.25 mu m random logic devices" INTERNATIONAL ELECTRON DEVICES MEETING 1997. IEDM TECHNICAL DIGEST (CAT. NO.97CH36103), INTERNATIONAL ELECTRON DEVICES MEETING. IEDM TECHNICAL DIGEST, WASHINGTON, DC, USA, 7-10 DEC. 1997, pages 483-486, XP000855842 1997, New York, NY, USA, IEEE, USA ISBN: 0-7803-4100-7 *
OHNUMA H ET AL: "LITHOGRAPHY COMPUTER AIDED DESIGN TECHNOLOGY FOR EMBEDDED MEMORY INLOGIC" JAPANESE JOURNAL OF APPLIED PHYSICS, PUBLICATION OFFICE JAPANESE JOURNAL OF APPLIED PHYSICS. TOKYO, JP, vol. 37, no. 12B, December 1998 (1998-12), pages 6686-6688, XP000880238 ISSN: 0021-4922 *
See also references of EP1264213A2 *
YEN A ET AL: "Optical proximity correction for 0.3 mu m i-line lithography" MICRO- AND NANO- ENGINEERING 95. MNE. INTERNATIONAL CONFERENCE ON MICRO- AND NANOFABRICATION, AIX EN PROVENCE, FRANCE, 25-29 SEPT. 1995, vol. 30, no. 1-4, pages 141-144, XP004003050 Microelectronic Engineering, Jan. 1996, Elsevier, Netherlands ISSN: 0167-9317 *

Cited By (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6748578B2 (en) 1999-04-30 2004-06-08 Nicolas B. Cobb Streamlined IC mask layout optical and process correction through correction reuse
US7155699B2 (en) 1999-04-30 2006-12-26 Cobb Nicolas B Streamlined IC mask layout optical and process correction through correction reuse
US7945871B2 (en) 2000-06-13 2011-05-17 Cobb Nicolas B Integrated OPC verification tool
US7412676B2 (en) 2000-06-13 2008-08-12 Nicolas B Cobb Integrated OPC verification tool
US6516459B1 (en) 2000-07-10 2003-02-04 Mentor Graphics Corporation Integrated circuit design correction using fragment correspondence
US7367009B2 (en) 2000-07-10 2008-04-29 Mentor Graphics Corporation Convergence technique for model-based optical and process correction
US7028284B2 (en) 2000-07-10 2006-04-11 Nicolas Bailey Cobb Convergence technique for model-based optical and process correction
US7181721B2 (en) 2001-06-14 2007-02-20 Lippincott George P Short edge management in rule based OPC
US6817003B2 (en) 2001-06-14 2004-11-09 Lippincott George P Short edge management in rule based OPC
US6964031B2 (en) 2001-09-29 2005-11-08 Kabushiki Kaisha Toshiba Mask pattern generating method and manufacturing method of semiconductor apparatus
US7353145B2 (en) 2001-12-04 2008-04-01 Kabushiki Kaisha Toshiba Method for correcting a mask pattern, a computer program product, a method for producing a photomask, and method for manufacturing a semiconductor device
US8108806B2 (en) 2002-01-31 2012-01-31 Mentor Graphics Corporation Contrast-based resolution enhancement for photolithographic processing
US7013439B2 (en) 2002-01-31 2006-03-14 Juan Andres Torres Robles Contrast based resolution enhancing technology
US7293249B2 (en) 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
US8510690B2 (en) 2002-06-24 2013-08-13 Mentor Graphics Corporation Hierarchical feature extraction for electrical interaction calculations
US8863051B2 (en) 2002-06-24 2014-10-14 Mentor Graphics Corporation Hierarchical feature extraction for electrical interaction calculations
US7716614B2 (en) 2002-06-24 2010-05-11 Kauth Thomas H Hierarchical feature extraction for electrical interaction calculations
US6973633B2 (en) 2002-07-24 2005-12-06 George Lippincott Caching of lithography and etch simulation results
US7281234B2 (en) 2002-10-18 2007-10-09 George P Lippincott Short edge smoothing for enhanced scatter bar placement
US6857109B2 (en) 2002-10-18 2005-02-15 George P. Lippincott Short edge smoothing for enhanced scatter bar placement
US7237221B2 (en) 2003-01-02 2007-06-26 Yuri Granik Matrix optical process correction
US6928634B2 (en) 2003-01-02 2005-08-09 Yuri Granik Matrix optical process correction
US7073162B2 (en) 2003-10-31 2006-07-04 Mentor Graphics Corporation Site control for OPC
US7638244B2 (en) 2004-02-16 2009-12-29 Kabushiki Kaisha Toshiba Method of correcting mask data, method of manufacturing a mask and method of manufacturing a semiconductor device
US7539954B2 (en) 2004-02-24 2009-05-26 Konstantinos Adam OPC simulation model using SOCS decomposition of edge fragments
US7536660B2 (en) 2004-02-24 2009-05-19 Konstantinos Adam OPC simulation model using SOCS decomposition of edge fragments
US9361422B2 (en) 2004-02-25 2016-06-07 Mentor Graphics Corporation Fragmentation point and simulation site adjustment for resolution enhancement techniques
US8566753B2 (en) 2004-02-25 2013-10-22 Mentor Graphics Corporation Fragmentation point and simulation site adjustment for resolution enhancement techniques
US10354044B2 (en) 2004-02-25 2019-07-16 Mentor Graphics Corporation Fragmentation point and simulation site adjustment for resolution enhancement techniques
US7234130B2 (en) 2004-02-25 2007-06-19 James Word Long range corrections in integrated circuit layout designs
US9703922B2 (en) 2004-02-25 2017-07-11 Mentor Graphics Corporation Fragmentation point and simulation site adjustment for resolution enhancement techniques
US7861207B2 (en) 2004-02-25 2010-12-28 Mentor Graphics Corporation Fragmentation point and simulation site adjustment for resolution enhancement techniques
US9977856B2 (en) 2004-05-07 2018-05-22 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands
US7865863B2 (en) 2004-06-02 2011-01-04 Mentor Graphics Corporation OPC conflict identification and edge priority system
US8806390B2 (en) 2004-06-02 2014-08-12 Mentor Graphics Corporation OPC conflict identification and edge priority system
US7240305B2 (en) 2004-06-02 2007-07-03 Lippincott George P OPC conflict identification and edge priority system
US7459248B2 (en) 2005-02-24 2008-12-02 James Word Performing OPC on structures with virtual edges
US7493587B2 (en) 2005-03-02 2009-02-17 James Word Chromeless phase shifting mask for integrated circuits using interior region
US8490036B2 (en) 2005-08-16 2013-07-16 Pulsic Limited System and technique of pattern matching and pattern replacement
US7657852B2 (en) 2005-08-16 2010-02-02 Pulsic Limited System and technique of pattern matching and pattern replacement
WO2007020439A1 (en) * 2005-08-16 2007-02-22 Pulsic Limited Pattern matching and pattern replacement
US7434199B2 (en) 2005-09-27 2008-10-07 Nicolas Bailey Cobb Dense OPC
US7506285B2 (en) 2006-02-17 2009-03-17 Mohamed Al-Imam Multi-dimensional analysis for predicting RET model accuracy
US7739650B2 (en) 2007-02-09 2010-06-15 Juan Andres Torres Robles Pre-bias optical proximity correction
US7805699B2 (en) 2007-10-11 2010-09-28 Mentor Graphics Corporation Shape-based photolithographic model calibration

Also Published As

Publication number Publication date
US20030097647A1 (en) 2003-05-22
EP1264213B1 (en) 2015-09-23
EP1264213A2 (en) 2002-12-11
US6584609B1 (en) 2003-06-24
US6988259B2 (en) 2006-01-17
JP2003525470A (en) 2003-08-26
JP4947533B2 (en) 2012-06-06
AU2001243289A1 (en) 2001-09-12
WO2001065315A3 (en) 2002-04-04

Similar Documents

Publication Publication Date Title
US6584609B1 (en) Method and apparatus for mixed-mode optical proximity correction
US7765515B2 (en) Pattern match based optical proximity correction and verification of integrated circuit layout
US6033814A (en) Method for multiple process parameter matching
KR100673014B1 (en) Method of fabricating photomask
US6078738A (en) Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
US5682323A (en) System and method for performing optical proximity correction on macrocell libraries
US7712069B2 (en) Method for interlayer and yield based optical proximity correction
US7010775B2 (en) Method for creating mask pattern for circuit fabrication and method for verifying mask pattern for circuit fabrication
US6560766B2 (en) Method and apparatus for analyzing a layout using an instance-based representation
JP5078543B2 (en) Local coloring for hierarchical OPC
US7926002B2 (en) Selective optical proximity layout design data correction
US6792592B2 (en) Considering mask writer properties during the optical proximity correction process
US7451068B2 (en) Method and apparatus for generating an OPC segmentation based on modeled intensity gradients
JP2010049268A (en) Convergence technique for model-based optical proximity correction
US11714349B2 (en) Mask optimization process
KR20170011554A (en) MPC(Mask Process Correction) verification method, and method for fabricating mask comprising the MPC verification method
US20070111112A1 (en) Systems and methods for fabricating photo masks
JP4727288B2 (en) Method and program for optimizing a design formed on a substrate
US7082596B2 (en) Simulation-based selection of evaluation points for model-based optical proximity correction
JP5395340B2 (en) Process model creation method, process model creation program, and pattern correction method
KR100688893B1 (en) A method for forming a mask pattern of a semiconductor device
US7251806B2 (en) Model-based two-dimensional interpretation filtering
US20090210838A1 (en) Interpolation distance for layout desing data correction model
JP2004163472A (en) Method for designing photomask, photomask, and semiconductor device
US6492078B1 (en) Correcting method of exposure pattern, exposure method, exposure system, photomask and semiconductor device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: A3

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

WWE Wipo information: entry into national phase

Ref document number: 2001916242

Country of ref document: EP

ENP Entry into the national phase in:

Ref country code: JP

Ref document number: 2001 563954

Kind code of ref document: A

Format of ref document f/p: F

WWP Wipo information: published in national office

Ref document number: 2001916242

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642