WO2001065315A3 - Method and apparatus for mixed-mode optical proximity correction - Google Patents

Method and apparatus for mixed-mode optical proximity correction Download PDF

Info

Publication number
WO2001065315A3
WO2001065315A3 PCT/US2001/006144 US0106144W WO0165315A3 WO 2001065315 A3 WO2001065315 A3 WO 2001065315A3 US 0106144 W US0106144 W US 0106144W WO 0165315 A3 WO0165315 A3 WO 0165315A3
Authority
WO
WIPO (PCT)
Prior art keywords
optical proximity
proximity correction
based optical
correction system
rule
Prior art date
Application number
PCT/US2001/006144
Other languages
French (fr)
Other versions
WO2001065315A2 (en
Inventor
Christophe Pierrat
You-Ping Zhang
Fang-Cheng Chang
Ho Yong Park
Yao-Ting Wang
Original Assignee
Numerical Tech Inc
Christophe Pierrat
Zhang You Ping
Chang Fang Cheng
Ho Yong Park
Wang Yao Ting
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Numerical Tech Inc, Christophe Pierrat, Zhang You Ping, Chang Fang Cheng, Ho Yong Park, Wang Yao Ting filed Critical Numerical Tech Inc
Priority to AU2001243289A priority Critical patent/AU2001243289A1/en
Priority to EP01916242.9A priority patent/EP1264213B1/en
Priority to JP2001563954A priority patent/JP4947533B2/en
Publication of WO2001065315A2 publication Critical patent/WO2001065315A2/en
Publication of WO2001065315A3 publication Critical patent/WO2001065315A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Abstract

A semiconductor layout testing and correction system is disclosed. The system combines both rule-based optical proximity correction and model-based optical proximity correction in order to test and correct semiconductor layouts. In a first embodiment, a semiconductor layout is first processed by a rule-based optical proximity correction system and then subsequently processed by a model-based optical proximity correction system. In another embodiment, the system first processes a semiconductor layout with a rule-based optical proximity correction system and then selectively processes difficult features using a model-based optical proximity correction system. In yet another embodiment, the system selectively processes the various features of a semiconductor layout using a rule-based optical proximity correction system or a model-based optical proximity correction system.
PCT/US2001/006144 2000-02-28 2001-02-26 Method and apparatus for mixed-mode optical proximity correction WO2001065315A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
AU2001243289A AU2001243289A1 (en) 2000-02-28 2001-02-26 Method and apparatus for mixed-mode optical proximity correction
EP01916242.9A EP1264213B1 (en) 2000-02-28 2001-02-26 Method and apparatus for mixed-mode optical proximity correction
JP2001563954A JP4947533B2 (en) 2000-02-28 2001-02-26 Method and apparatus for mixed-mode optical proximity effect correction

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/514,551 2000-02-28
US09/514,551 US6584609B1 (en) 2000-02-28 2000-02-28 Method and apparatus for mixed-mode optical proximity correction

Publications (2)

Publication Number Publication Date
WO2001065315A2 WO2001065315A2 (en) 2001-09-07
WO2001065315A3 true WO2001065315A3 (en) 2002-04-04

Family

ID=24047688

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/006144 WO2001065315A2 (en) 2000-02-28 2001-02-26 Method and apparatus for mixed-mode optical proximity correction

Country Status (5)

Country Link
US (2) US6584609B1 (en)
EP (1) EP1264213B1 (en)
JP (1) JP4947533B2 (en)
AU (1) AU2001243289A1 (en)
WO (1) WO2001065315A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9361424B2 (en) 2004-05-07 2016-06-07 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6301697B1 (en) 1999-04-30 2001-10-09 Nicolas B. Cobb Streamlined IC mask layout optical and process correction through correction reuse
US6467076B1 (en) * 1999-04-30 2002-10-15 Nicolas Bailey Cobb Method and apparatus for submicron IC design
US6440612B1 (en) 1999-09-01 2002-08-27 Micron Technology, Inc. Field correction of overlay error
US7412676B2 (en) 2000-06-13 2008-08-12 Nicolas B Cobb Integrated OPC verification tool
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
US6516459B1 (en) 2000-07-10 2003-02-04 Mentor Graphics Corporation Integrated circuit design correction using fragment correspondence
US6430737B1 (en) 2000-07-10 2002-08-06 Mentor Graphics Corp. Convergence technique for model-based optical and process correction
US20020156639A1 (en) * 2001-02-27 2002-10-24 Akira Ishikawa Business method for a digital photolithography system
US7392168B2 (en) * 2001-03-13 2008-06-24 Yuri Granik Method of compensating for etch effects in photolithographic processing
US6574784B1 (en) 2001-06-14 2003-06-03 George P. Lippincott Short edge management in rule based OPC
TW569295B (en) * 2001-09-29 2004-01-01 Toshiba Corp Producing method for mask pattern and manufacturing method for semiconductor device
JP3615182B2 (en) * 2001-11-26 2005-01-26 株式会社東芝 Optical proximity effect correction method and optical proximity effect correction system
JP3592666B2 (en) 2001-12-04 2004-11-24 株式会社東芝 Exposure mask pattern correction method, program, mask pattern formation method, and semiconductor device manufacturing method
US6763514B2 (en) * 2001-12-12 2004-07-13 Numerical Technologies, Inc. Method and apparatus for controlling rippling during optical proximity correction
US7085698B2 (en) * 2001-12-18 2006-08-01 Synopsys, Inc. Method for providing flexible and dynamic reporting capability using simulation tools
JP4138318B2 (en) * 2002-01-08 2008-08-27 株式会社ルネサステクノロジ Lithography process margin evaluation apparatus, lithography process margin evaluation method, and lithography process margin evaluation program
US7013439B2 (en) 2002-01-31 2006-03-14 Juan Andres Torres Robles Contrast based resolution enhancing technology
US7293249B2 (en) 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
US6778275B2 (en) * 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
JP2003322945A (en) * 2002-05-01 2003-11-14 Mitsubishi Electric Corp Correcting device of layout pattern data
US6931613B2 (en) 2002-06-24 2005-08-16 Thomas H. Kauth Hierarchical feature extraction for electrical interaction calculations
DE10233205B4 (en) * 2002-07-17 2006-06-08 Infineon Technologies Ag Method for correcting local loading effects when etching photomasks
US6973633B2 (en) 2002-07-24 2005-12-06 George Lippincott Caching of lithography and etch simulation results
KR100583697B1 (en) * 2002-07-26 2006-05-25 에이에스엠엘 마스크툴즈 비.브이. Automatic Optical Proximity CorrectionOPC Rule Generation
US7172838B2 (en) * 2002-09-27 2007-02-06 Wilhelm Maurer Chromeless phase mask layout generation
US6857109B2 (en) 2002-10-18 2005-02-15 George P. Lippincott Short edge smoothing for enhanced scatter bar placement
US6854104B2 (en) * 2002-11-27 2005-02-08 Lsi Logic Corporation First approximation for OPC significant speed-up
US7082596B2 (en) * 2002-11-27 2006-07-25 Synopsys, Inc. Simulation-based selection of evaluation points for model-based optical proximity correction
US6928634B2 (en) 2003-01-02 2005-08-09 Yuri Granik Matrix optical process correction
US7318214B1 (en) 2003-06-19 2008-01-08 Invarium, Inc. System and method for reducing patterning variability in integrated circuit manufacturing through mask layout corrections
US20050015740A1 (en) * 2003-07-18 2005-01-20 Mentor Graphics Corp. Design for manufacturability
US20050234684A1 (en) * 2004-04-19 2005-10-20 Mentor Graphics Corp. Design for manufacturability
US7043712B2 (en) * 2003-09-09 2006-05-09 International Business Machines Corporation Method for adaptive segment refinement in optical proximity correction
US6973636B2 (en) * 2003-10-17 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of defining forbidden pitches for a lithography exposure tool
US7073162B2 (en) 2003-10-31 2006-07-04 Mentor Graphics Corporation Site control for OPC
JP4357287B2 (en) * 2003-12-18 2009-11-04 株式会社東芝 Correction guide generation method, pattern creation method, mask manufacturing method, semiconductor device manufacturing method, and program
JP2005227666A (en) 2004-02-16 2005-08-25 Toshiba Corp Method for correcting mask data, and method for manufacturing semiconductor device
US7536660B2 (en) 2004-02-24 2009-05-19 Konstantinos Adam OPC simulation model using SOCS decomposition of edge fragments
US7539954B2 (en) 2004-02-24 2009-05-26 Konstantinos Adam OPC simulation model using SOCS decomposition of edge fragments
US7861207B2 (en) 2004-02-25 2010-12-28 Mentor Graphics Corporation Fragmentation point and simulation site adjustment for resolution enhancement techniques
US7234130B2 (en) 2004-02-25 2007-06-19 James Word Long range corrections in integrated circuit layout designs
US7251806B2 (en) * 2004-04-09 2007-07-31 Synopsys, Inc. Model-based two-dimensional interpretation filtering
US7065738B1 (en) * 2004-05-04 2006-06-20 Advanced Micro Devices, Inc. Method of verifying an optical proximity correction (OPC) model
US7240305B2 (en) 2004-06-02 2007-07-03 Lippincott George P OPC conflict identification and edge priority system
US7266800B2 (en) * 2004-06-04 2007-09-04 Invarium, Inc. Method and system for designing manufacturable patterns that account for the pattern- and position-dependent nature of patterning processes
US7275225B2 (en) * 2004-06-04 2007-09-25 Invarium, Inc. Correcting design data for manufacture
US7463367B2 (en) * 2004-07-13 2008-12-09 Micron Technology, Inc. Estimating overlay error and optical aberrations
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
US7627837B2 (en) * 2004-10-15 2009-12-01 Takumi Technology Corp. Model-based pattern characterization to generate rules for rule-model-based hybrid optical proximity correction
US7459248B2 (en) 2005-02-24 2008-12-02 James Word Performing OPC on structures with virtual edges
US7721246B2 (en) * 2005-02-24 2010-05-18 Synopsys, Inc. Method and apparatus for quickly determining the effect of placing an assist feature at a location in a layout
JP2006235327A (en) * 2005-02-25 2006-09-07 Toshiba Corp Method for generating mask pattern data/mask inspection data, and method for manufacturing/inspecting photomask
US7493587B2 (en) 2005-03-02 2009-02-17 James Word Chromeless phase shifting mask for integrated circuits using interior region
US7743359B2 (en) * 2005-05-02 2010-06-22 Cadence Design Systems, Inc. Apparatus and method for photomask design
JP4828870B2 (en) * 2005-06-09 2011-11-30 株式会社東芝 Method and program for creating evaluation pattern
US20070083846A1 (en) * 2005-07-28 2007-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Optimized modules' proximity correction
US7657852B2 (en) 2005-08-16 2010-02-02 Pulsic Limited System and technique of pattern matching and pattern replacement
US7434199B2 (en) 2005-09-27 2008-10-07 Nicolas Bailey Cobb Dense OPC
US7265361B2 (en) * 2005-09-28 2007-09-04 Applied Materials, Inc. Beam blanker driver system and method
KR100673014B1 (en) * 2005-10-28 2007-01-24 삼성전자주식회사 Method of fabricating photomask
WO2007048442A1 (en) 2005-10-28 2007-05-03 Freescale Semiconductor, Inc. Method for forming a semiconductor device using optical proximity correction for the optical lithography
US7584450B2 (en) * 2006-02-17 2009-09-01 Synopsys, Inc. Method and apparatus for using a database to quickly identify and correct a manufacturing problem area in a layout
US7506285B2 (en) 2006-02-17 2009-03-17 Mohamed Al-Imam Multi-dimensional analysis for predicting RET model accuracy
WO2008020265A1 (en) * 2006-08-16 2008-02-21 Koninklijke Philips Electronics N.V. Method and apparatus for designing an integrated circuit
KR100763538B1 (en) * 2006-08-29 2007-10-05 삼성전자주식회사 Method of forming mask pattern and method of forming fine pattern using the same in a semiconductor device fabricating
US20080077907A1 (en) * 2006-09-21 2008-03-27 Kulkami Anand P Neural network-based system and methods for performing optical proximity correction
US8230379B2 (en) * 2006-10-20 2012-07-24 Kabushiki Kaisha Toshiba Layout generating method for semiconductor integrated circuits
JP4956365B2 (en) * 2006-10-20 2012-06-20 株式会社東芝 Design layout creation method, semiconductor device manufacturing method, and computer-readable medium
US7617475B2 (en) 2006-11-13 2009-11-10 United Microelectronics Corp. Method of manufacturing photomask and method of repairing optical proximity correction
WO2008078213A1 (en) * 2006-12-21 2008-07-03 Nxp B.V. A method and system for identifying weak points in an integrated circuit design
US20080178140A1 (en) * 2007-01-18 2008-07-24 United Microelectronics Corp. Method for correcting photomask pattern
US7739650B2 (en) 2007-02-09 2010-06-15 Juan Andres Torres Robles Pre-bias optical proximity correction
KR100828026B1 (en) * 2007-04-05 2008-05-08 삼성전자주식회사 Method of correcting a layout of a design pattern for an integrated circuit and apparatus for performing the same
US7805699B2 (en) 2007-10-11 2010-09-28 Mentor Graphics Corporation Shape-based photolithographic model calibration
US7886243B1 (en) * 2007-12-27 2011-02-08 Cadence Design Systems, Inc. System and method for using rules-based analysis to enhance models-based analysis
US7765021B2 (en) * 2008-01-16 2010-07-27 International Business Machines Corporation Method to check model accuracy during wafer patterning simulation
US20090258302A1 (en) * 2008-04-10 2009-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Sub-resolution assist feature of a photomask
US20090265148A1 (en) * 2008-04-16 2009-10-22 Synopsys, Inc. Modeling a sector-polarized-illumination source in an optical lithography system
US8042069B2 (en) * 2008-08-07 2011-10-18 United Microelectronics Corp. Method for selectively amending layout patterns
US8176446B2 (en) 2008-09-11 2012-05-08 International Business Machines Corporation Method for compensating for variations in structures of an integrated circuit
US7900169B2 (en) * 2009-01-06 2011-03-01 International Business Machines Corporation OPC model calibration process
US8103984B1 (en) * 2009-02-23 2012-01-24 Cadence Design Systems, Inc. System and method for compressed design phase contour data
US9507250B2 (en) * 2009-12-17 2016-11-29 International Business Machines Corporation Optical proximity correction for improved electrical characteristics
JP5477132B2 (en) * 2010-04-09 2014-04-23 富士通セミコンダクター株式会社 Mask pattern manufacturing apparatus, mask pattern manufacturing method, and mask manufacturing method
CN102478761B (en) * 2010-11-25 2014-01-22 无锡华润上华半导体有限公司 Photomask manufacturing method and system
US8464194B1 (en) * 2011-12-16 2013-06-11 International Business Machines Corporation Machine learning approach to correct lithographic hot-spots
CN103309149B (en) * 2013-06-08 2016-03-23 上海华力微电子有限公司 Optical proximity correction method
WO2016028334A1 (en) 2014-08-19 2016-02-25 Intel Corporation Cross scan proximity correction with ebeam universal cutter
CN106716597B (en) * 2014-08-19 2021-02-23 英特尔公司 Filleting correction for Electron Beam (EBEAM) direct write systems
WO2018235669A1 (en) * 2017-06-19 2018-12-27 大日本印刷株式会社 Shape correction device and shape correction method for graphic patterns

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3A (en) * 1836-08-11 Thomas blanchard
US11A (en) * 1836-08-10
US10A (en) * 1836-08-10 Gtttlslto andi
US67074A (en) * 1867-07-23 Improvement in quartz-mills
US2324169A (en) * 1940-08-05 1943-07-13 Simms Motor Units Ltd Distributor ignition for internal combustion engines
US2344436A (en) * 1940-08-06 1944-03-14 Wilbur G Laird Floating roof storage apparatus for volatile liquids
US3210560A (en) * 1961-04-17 1965-10-05 Westinghouse Electric Corp Semiconductor device
US4231811A (en) 1979-09-13 1980-11-04 Intel Corporation Variable thickness self-aligned photoresist process
DE3067832D1 (en) 1980-07-10 1984-06-20 Ibm Process for compensating the proximity effect in electron beam projection devices
US4456371A (en) 1982-06-30 1984-06-26 International Business Machines Corporation Optical projection printing threshold leveling arrangement
US4812962A (en) 1987-04-09 1989-03-14 Harris Corp. Area feature sorting mechanism for neighborhood-based proximity correction in lithography processing of integrated circuit patterns
US4895780A (en) 1987-05-13 1990-01-23 General Electric Company Adjustable windage method and mask for correction of proximity effect in submicron photolithography
US4902899A (en) 1987-06-01 1990-02-20 International Business Machines Corporation Lithographic process having improved image quality
US5182718A (en) 1989-04-04 1993-01-26 Matsushita Electric Industrial Co., Ltd. Method and apparatus for writing a pattern on a semiconductor sample based on a resist pattern corrected for proximity effects resulting from direct exposure of the sample by a charged-particle beam or light
JP2830330B2 (en) 1989-04-04 1998-12-02 松下電器産業株式会社 Proximity effect correction method
JP2881892B2 (en) 1990-01-16 1999-04-12 富士通株式会社 Projection exposure mask
US5051598A (en) 1990-09-12 1991-09-24 International Business Machines Corporation Method for correcting proximity effects in electron beam lithography
IL97022A0 (en) 1991-01-24 1992-03-29 Ibm Israel Partitioning method for e-beam lithography
US5208124A (en) 1991-03-19 1993-05-04 Hewlett-Packard Company Method of making a mask for proximity effect correction in projection lithography
US5242770A (en) 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
DE69319901T2 (en) 1992-04-06 1999-03-25 Microunity Systems Eng METHOD FOR PRODUCING A LITHOGRAPHIC PATTERN IN A METHOD FOR PRODUCING SEMICONDUCTOR DEVICES
US5256505A (en) 1992-08-21 1993-10-26 Microunity Systems Engineering Lithographical mask for controlling the dimensions of resist patterns
US6007310A (en) 1993-11-23 1999-12-28 Sarcos, Lc Volumetric pump with sterility seal
US5424154A (en) 1993-12-10 1995-06-13 Intel Corporation Lithographic emhancement method and apparatus for randomly spaced structures
US5447810A (en) 1994-02-09 1995-09-05 Microunity Systems Engineering, Inc. Masks for improved lithographic patterning for off-axis illumination lithography
US5636002A (en) 1994-04-29 1997-06-03 Lucent Technologies Inc. Auxiliary mask features for enhancing the resolution of photolithography
GB2291219B (en) 1994-07-05 1998-07-01 Nec Corp Photo-mask fabrication and use
US5573890A (en) 1994-07-18 1996-11-12 Advanced Micro Devices, Inc. Method of optical lithography using phase shift masking
JPH08297692A (en) 1994-09-16 1996-11-12 Mitsubishi Electric Corp Device and method for correcting optical proximity, and pattern forming method
JP3273456B2 (en) 1995-02-24 2002-04-08 アルプス電気株式会社 Motor driven slide type variable resistor
US5682323A (en) 1995-03-06 1997-10-28 Lsi Logic Corporation System and method for performing optical proximity correction on macrocell libraries
JP3409493B2 (en) 1995-03-13 2003-05-26 ソニー株式会社 Mask pattern correction method and correction device
US5553273A (en) 1995-04-17 1996-09-03 International Business Machines Corporation Vertex minimization in a smart optical proximity correction system
US5657235A (en) * 1995-05-03 1997-08-12 International Business Machines Corporation Continuous scale optical proximity correction by mask maker dose modulation
US5663893A (en) 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
US5663017A (en) 1995-06-07 1997-09-02 Lsi Logic Corporation Optical corrective techniques with reticle formation and reticle stitching to provide design flexibility
JP3331822B2 (en) 1995-07-17 2002-10-07 ソニー株式会社 Mask pattern correction method, mask using the same, exposure method, and semiconductor device
JP2917879B2 (en) 1995-10-31 1999-07-12 日本電気株式会社 Photomask and manufacturing method thereof
US6185727B1 (en) * 1995-12-12 2001-02-06 International Business Machines Corporation Design verification for asymmetric phase shift mask layouts
JP3934719B2 (en) * 1995-12-22 2007-06-20 株式会社東芝 Optical proximity correction method
JP3469422B2 (en) 1996-02-23 2003-11-25 株式会社東芝 Charged beam writing method and writing apparatus
US5705301A (en) 1996-02-27 1998-01-06 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US5972541A (en) 1996-02-27 1999-10-26 Lsi Logic Corporation Reticle and method of design to correct pattern for depth of focus problems
US5723233A (en) 1996-02-27 1998-03-03 Lsi Logic Corporation Optical proximity correction method and apparatus
US6269472B1 (en) * 1996-02-27 2001-07-31 Lsi Logic Corporation Optical proximity correction method and apparatus
US5862058A (en) 1996-05-16 1999-01-19 International Business Machines Corporation Optical proximity correction method and system
US5707765A (en) 1996-05-28 1998-01-13 Microunity Systems Engineering, Inc. Photolithography mask using serifs and method thereof
US5740068A (en) 1996-05-30 1998-04-14 International Business Machines Corporation Fidelity enhancement of lithographic and reactive-ion-etched images by optical proximity correction
US5885734A (en) 1996-08-15 1999-03-23 Micron Technology, Inc. Process for modifying a hierarchical mask layout
US5994002A (en) 1996-09-06 1999-11-30 Matsushita Electric Industrial Co., Ltd. Photo mask and pattern forming method
JP3518275B2 (en) 1996-09-06 2004-04-12 松下電器産業株式会社 Photomask and pattern forming method
JP3551660B2 (en) 1996-10-29 2004-08-11 ソニー株式会社 Exposure pattern correction method, exposure pattern correction apparatus, and exposure method
US5847959A (en) 1997-01-28 1998-12-08 Etec Systems, Inc. Method and apparatus for run-time correction of proximity effects in pattern generation
US5821014A (en) 1997-02-28 1998-10-13 Microunity Systems Engineering, Inc. Optical proximity correction method for intermediate-pitch features using sub-resolution scattering bars on a mask
US5920487A (en) 1997-03-03 1999-07-06 Motorola Inc. Two dimensional lithographic proximity correction using DRC shape functions
US5900340A (en) 1997-03-03 1999-05-04 Motorola, Inc. One dimensional lithographic proximity correction using DRC shape functions
JPH10282635A (en) 1997-04-09 1998-10-23 Sony Corp Method for correcting pattern data, electron beam writing method, photomask and its manufacture, exposure method, semiconductor device and its production and pattern data correcting device
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
JP3713359B2 (en) * 1997-05-19 2005-11-09 マスプロ電工株式会社 Level measuring instrument
US6282696B1 (en) 1997-08-15 2001-08-28 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6370679B1 (en) 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US6243855B1 (en) * 1997-09-30 2001-06-05 Kabushiki Kaisha Toshiba Mask data design method
US5958635A (en) 1997-10-20 1999-09-28 Motorola, Inc. Lithographic proximity correction through subset feature modification
JP3101594B2 (en) 1997-11-06 2000-10-23 キヤノン株式会社 Exposure method and exposure apparatus
US6114071A (en) 1997-11-24 2000-09-05 Asml Masktools Netherlands B.V. Method of fine feature edge tuning with optically-halftoned mask
US6081658A (en) 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
US6312854B1 (en) 1998-03-17 2001-11-06 Asml Masktools Netherlands B.V. Method of patterning sub-0.25 lambda line features with high transmission, “attenuated” phase shift masks
JP2000020564A (en) 1998-06-29 2000-01-21 Mitsubishi Electric Corp Device for correcting layout pattern data, method for correcting layout pattern data, manufacture of semiconductor device using the method, and recording medium having recorded manufacturing program of semiconductor device thereon
JP3241010B2 (en) 1998-11-18 2001-12-25 日本電気株式会社 Optical proximity correction method for semiconductor manufacturing process
JP2000162758A (en) * 1998-11-30 2000-06-16 Matsushita Electric Ind Co Ltd Method for correcting optical proximity effect
US6249904B1 (en) * 1999-04-30 2001-06-19 Nicolas Bailey Cobb Method and apparatus for submicron IC design using edge fragment tagging to correct edge placement distortion
US6467076B1 (en) * 1999-04-30 2002-10-15 Nicolas Bailey Cobb Method and apparatus for submicron IC design
US6301697B1 (en) 1999-04-30 2001-10-09 Nicolas B. Cobb Streamlined IC mask layout optical and process correction through correction reuse

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
CHUANG H ET AL: "Practical applications of 2-D optical proximity corrections for enhanced performance of 0.25 mu m random logic devices", INTERNATIONAL ELECTRON DEVICES MEETING 1997. IEDM TECHNICAL DIGEST (CAT. NO.97CH36103), INTERNATIONAL ELECTRON DEVICES MEETING. IEDM TECHNICAL DIGEST, WASHINGTON, DC, USA, 7-10 DEC. 1997, 1997, New York, NY, USA, IEEE, USA, pages 483 - 486, XP000855842, ISBN: 0-7803-4100-7 *
OHNUMA H ET AL: "LITHOGRAPHY COMPUTER AIDED DESIGN TECHNOLOGY FOR EMBEDDED MEMORY INLOGIC", JAPANESE JOURNAL OF APPLIED PHYSICS, PUBLICATION OFFICE JAPANESE JOURNAL OF APPLIED PHYSICS. TOKYO, JP, vol. 37, no. 12B, December 1998 (1998-12-01), pages 6686 - 6688, XP000880238, ISSN: 0021-4922 *
YEN A ET AL: "Optical proximity correction for 0.3 mu m i-line lithography", MICRO- AND NANO- ENGINEERING 95. MNE. INTERNATIONAL CONFERENCE ON MICRO- AND NANOFABRICATION, AIX EN PROVENCE, FRANCE, 25-29 SEPT. 1995, vol. 30, no. 1-4, Microelectronic Engineering, Jan. 1996, Elsevier, Netherlands, pages 141 - 144, XP004003050, ISSN: 0167-9317 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9361424B2 (en) 2004-05-07 2016-06-07 Mentor Graphics Corporation Integrated circuit layout design methodology with process variation bands

Also Published As

Publication number Publication date
EP1264213B1 (en) 2015-09-23
US20030097647A1 (en) 2003-05-22
JP2003525470A (en) 2003-08-26
AU2001243289A1 (en) 2001-09-12
JP4947533B2 (en) 2012-06-06
EP1264213A2 (en) 2002-12-11
US6584609B1 (en) 2003-06-24
US6988259B2 (en) 2006-01-17
WO2001065315A2 (en) 2001-09-07

Similar Documents

Publication Publication Date Title
WO2001065315A3 (en) Method and apparatus for mixed-mode optical proximity correction
WO2005114235A3 (en) Method and structure to develop a test program for semiconductor integrated circuits
WO2004010249A3 (en) System and method for utilizing profile information
WO2003044851A3 (en) Method and apparatus for utilizing integrated metrology data as feed-forward data
WO2001092903A3 (en) Method and apparatus for maximizing test coverage
AU4355397A (en) Phase shifting circuit manufacture method and apparatus
WO2003003240A3 (en) Method and system for transforming an xml document according to subset of xml grammar rules
AU2003254254A1 (en) Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
WO2007014092A3 (en) Method of placing constraints on a deformation map and system for implementing same
WO2001042996A3 (en) Design of photomasks for semiconductor device fabrication
TW200639668A (en) Method and apparatus for identifying a manufacturing problem area in a layout using a process-sensitivity model
WO2004019204A8 (en) Processing application data
WO2004059567A3 (en) Automatic optical inspection system and method
TW200707527A (en) Method of correcting mask pattern and method of forming the same
WO2006055975A3 (en) Account data reconciliation
TW200610026A (en) Decoupled complementary mask patterning transfer method
EP1031876A3 (en) System and method for automated defect inspection of photomasks
WO2001057917A3 (en) System and method for fabricating logic devices comprising carbon nanotube transistors
WO2003058514A3 (en) A method and apparatus for layout synthesis of regular structures using relative placement
WO2004010263A3 (en) Method and system for automating business processes
EP1526455A3 (en) Interface module
TW331017B (en) Manufacturing and checking method of semiconductor substrate
WO2004051737A3 (en) Split manufacturing method for semiconductor circuits
TW200512545A (en) Method for forming a photoresist pattern using an anti-optical proximity effect
WO2002012870A3 (en) System and method for inspecting bumped wafers

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
AK Designated states

Kind code of ref document: A3

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

WWE Wipo information: entry into national phase

Ref document number: 2001916242

Country of ref document: EP

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2001 563954

Kind code of ref document: A

Format of ref document f/p: F

WWP Wipo information: published in national office

Ref document number: 2001916242

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642