WO2001069665A1 - Procede de formation de pellicule dielectrique - Google Patents

Procede de formation de pellicule dielectrique Download PDF

Info

Publication number
WO2001069665A1
WO2001069665A1 PCT/JP2001/001966 JP0101966W WO0169665A1 WO 2001069665 A1 WO2001069665 A1 WO 2001069665A1 JP 0101966 W JP0101966 W JP 0101966W WO 0169665 A1 WO0169665 A1 WO 0169665A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
plasma
gas
substrate
oxide film
Prior art date
Application number
PCT/JP2001/001966
Other languages
English (en)
French (fr)
Inventor
Tadahiro Ohmi
Shigetoshi Sugawa
Original Assignee
Tadahiro Ohmi
Shigetoshi Sugawa
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tadahiro Ohmi, Shigetoshi Sugawa filed Critical Tadahiro Ohmi
Priority to KR1020027011935A priority Critical patent/KR100760078B1/ko
Priority to AT01912316T priority patent/ATE514181T1/de
Priority to JP2001567029A priority patent/JP4966466B2/ja
Priority to EP01912316A priority patent/EP1265276B1/en
Priority to US09/867,767 priority patent/US6669825B2/en
Publication of WO2001069665A1 publication Critical patent/WO2001069665A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/49Simultaneous manufacture of periphery and memory cells comprising different types of peripheral transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Definitions

  • the present invention relates to a semi-hard device and a method therefor, and more particularly to a method of forming a fiber film, a nonvolatile half-memory device capable of electrically rewriting information including a flash memory device, and a method of manufacturing the same.
  • Half ⁇ : Memory devices include volatile and raw memory devices such as DRAM and SRAM, and non-volatile memory such as mask ROM, PROM, EPROM, and EEPROM, but one memory cell.
  • the so-called flash memory which is an EEP ROM with one transistor per device, is characterized by its small size, large capacity, and low power consumption, and a great deal of effort is being made to improve it.
  • a uniform and excellent dielectric film is indispensable.
  • a uniform and low-leakage fiber film having excellent film quality can be used not only for a flash memory but also for a variety of other semiconductor devices having a capacity, such as a ferroelectric capacitor used in a dielectric semiconductor memory device. It is also important for a dielectric film.
  • a high-dielectric-constant film with excellent uniformity and low leakage current is also important as a gate insulating film in high-speed semiconductor devices with a gate length of 0.1 ⁇ m or less.
  • the flash memory device will be described with reference to FIG. 1, which shows the concept of a flash memory device having a general stacked-gate structure.
  • the flash memory device is formed on a silicon substrate 170, and a source region 1701 and a drain region 170 formed in the silicon substrate 170. 2, a tunnel gate oxide film 1703 formed between the source region 1701 and the drain region 1702 on the silicon substrate 1700, and the tunnel gate oxide film 17 And a floating gate 170 formed on the silicon oxide film 170, a silicon nitride film 170, and a silicon oxide film 17 on the floating gate 170. 07 are sequentially stacked, and A control gate 1708 is formed on the silicon oxide film 1707. That is, in the flash memory cell having such a laminated structure, as shown in FIG. 1, the floating gate 1704 and the control gate 1708 are composed of Ife edge films 1705 and 1706. And an insulating structure composed of 177 is sandwiched therebetween.
  • the three-dimensional structure provided between the gate 170 and the control gate 1705 is the leakage structure between the floating gate 1704 and the control gate 1705.
  • it is general to have a so-called ⁇ N ⁇ structure in which the nitride film 1706 is sandwiched between the oxide films 1705 and 1707.
  • the tunnel gate oxide film 1703 and the silicon oxide film 1705 are formed by a thermal oxidation method, and the silicon nitride film 1706 and the silicon oxide film 1707 are formed by CVD. Formed by the method.
  • the silicon oxide film 175 may be formed by CVD.
  • the total thickness of the tunnel gate oxide film 1703 is about 8 nm, and the total thickness of the extraordinar films 1705, 1706 and 1707 is about 15 nm. is there.
  • a low-voltage transistor having a gate oxide film having a thickness of about 3 to 7 nm and a high-voltage transistor having a gate oxide film having a thickness of 15 to 30 nm are provided. Are formed on the same silicon.
  • a flash memory cell with a stacked structure configured as described above about 5 to 7 V is applied to the drain 1702 when writing information, and a high voltage of about 12 V or more is applied to the control gate 1708.
  • a voltage By applying a voltage, channel hot electrons generated in the vicinity of the drain region 1702 are sickled to the floating gate through the tunnel extraordinar film 1703.
  • the drain region 1702 is set to the floating state, the control gate 1708 is grounded, and the source region 1701 is connected to the source region 1702.
  • a high voltage of about V or more the electrons accumulated in the floating gate 1704 are extracted to the source region 1701.
  • a thin film having excellent film quality with small leakage current even if the film thickness is small is desired not only for flash memory but also for various other semiconductor devices. Disclosure of the invention
  • a more specific object of the present invention is to provide a method for forming a high-quality and uniform oxide film, a nitride film or a m-nitride film capable of reducing the film thickness without causing substantial leakage current. .
  • Another subject of the present invention is:
  • An object of the present invention is to provide a method for forming an oxide film, comprising: exposing an oxide film formed on the substrate to atomic oxygen ⁇ * to improve film quality.
  • the atomic oxygen ⁇ * easily penetrates into the oxide film formed on the substrate, and terminates a dangling pound or a weak bond in the oxide film. So As a result, for example, even if the SiO 2 film is formed by the CVD method, as a result of the exposure to the atomic oxygen O *, the film quality becomes close to that of the thermal oxide film. That is, the oxide film formed according to the present invention has a feature that it has few interface shoes, has substantially the same composition as that of the oxide film, and has a small leak current.
  • the atomic oxygen 0 * can be efficiently generated by microwave-exciting a mixed gas of Kr and oxygen. Another object of the present invention is to provide a method for forming a nitride film which can improve the quality of an already formed nitride film.
  • Another subject of the present invention is:
  • An object of the present invention is to provide a method for forming a nitride film, which comprises exposing a nitride film formed on a substrate to hydrogen nitride radicals NH * to modify the film quality.
  • the hydrogen nitride radical NH * easily penetrates into the nitride film formed on the substrate and compensates for defects in the tertiary nitride film.
  • the silicon nitride film that has been subjected to the mild treatment has a composition close to that of Si 3 N 4 , and has a feature that the interface state is small and the leak current is small. Further, in the silicon nitride film subjected to such a treatment, the strain to be entangled in the film is reduced.
  • the hydrogen nitride radical NH * can be efficiently generated by generating a mixed gas of Kr and oxygen through a microphone port ⁇ .
  • Another object of the present invention is a method for forming an oxide film on a substrate, the method comprising: depositing the oxide film on the substrate by a CVD method while simultaneously generating atomic oxygen in a plasma;
  • An object of the present invention is to provide a method of forming an oxide film for treating a deposited oxide film.
  • Other objects of the present invention are:
  • An object of the present invention is to provide a method for forming an oxide film, wherein the oxide film is treated with atomic oxygen generated in the plasma simultaneously with the deposition.
  • Another aspect of the present invention is a method for forming a nitride film on a substrate, the method comprising: It is an object of the present invention to provide a method of forming a nitride film, wherein a nitride film is deposited on the substrate by a CVD method and at the same time, a nitride film is deposited by hydrogen nitride radicals generated in plasma.
  • a step of depositing a nitride film on a substrate in the processing chamber by activating the processing gas with the plasma comprising:
  • Another object of the present invention is a method for forming an oxide on a substrate, wherein the conformal film is deposited on the substrate by a CVD method while atomic oxygen and hydrogen nitride simultaneously generated in plasma.
  • An object of the present invention is to provide a method of forming an oxynitride film for treating the deposited oxynitride film by radicals.
  • a process gas is introduced into the process chamber, and the process gas is activated by the free plasma to deposit an oxynitride film on the substrate in the process chamber.
  • An object of the present invention is to provide a method for forming an oxynitride film, wherein the oxynitride film is treated with atomic oxygen and hydrogen nitride radicals generated in the plasma simultaneously with the deposition.
  • Another aspect of the present invention is a method for forming an oxide film on a substrate, the method comprising: depositing the oxide film on the substrate by a sputtering method;
  • An object of the present invention is to provide a method of forming an oxide film by treating an oxide film.
  • Other objects of the present invention are:
  • the present invention is to provide a method of sputtering an oxide film, comprising: a step of treating the oxide film with atomic oxygen ⁇ * generated in the plasma.
  • Another object of the present invention is a method for forming a nitride film on a substrate, the method comprising: depositing the nitride film on the substrate by a sputtering method, while simultaneously depositing the nitride film by hydrogen nitride radicals generated in plasma.
  • An object of the present invention is to provide a method for forming a nitride film for treating a film.
  • a step of forming a plasma in the processing chamber by inducing an inert gas made of Kr or Ar and a gas containing nitrogen and hydrogen to form a plasma; and forming hydrogen nitride radicals generated in the plasma.
  • Another object of the present invention is a method for forming an oxidation on a substrate
  • the present invention provides a method of forming an oxide film that treats the deposited ⁇ i E by atomic oxygen and hydrogen nitride radicals simultaneously generated in plasma while depositing on the substrate by sputtering. .
  • Another object of the present invention is to provide a method for sputtering an aluminized film, comprising a step of treating an f & f self-film.
  • Another object of the present invention is to provide a method of forming a gate insulating film in which a nitride film and a high dielectric film are stacked on a substrate.
  • Another object of the present invention is a method for forming a gate insulating film on a substrate, comprising the steps of: forming a nitride film on a substrate surface;
  • Another object of the present invention is a method for forming a gate insulating film on a substrate, comprising the steps of: forming an oxide film on the substrate surface;
  • An object of the present invention is to provide a method for forming a gate insulating film, which comprises a step of forming a nitride film by treating the surface of a high dielectric constant film with hydrogen nitride radical NH *.
  • FIG. 1 is a diagram showing a schematic cross-sectional structure of a cross-sectional structure of a flash memory device
  • FIG. 2 is a diagram showing a concept of a plasma device using a radial line slot antenna
  • FIG. 3 is a diagram showing the relationship between the obtained oxide film thickness and the gas pressure in the processing chamber for the oxide film formed according to the first embodiment of the present invention
  • FIG. 4 Oxide film thickness obtained for the oxide film formed according to the first embodiment of the pot invention Diagram showing the oxidation time dependence of
  • FIG. 5 is a diagram showing a Kr density distribution in a silicon oxide film in a depth direction according to the first embodiment of the present invention
  • FIG. 6 is a diagram showing the interface state density of the silicon oxide film according to the first embodiment of the present invention
  • FIG. 7 is a diagram showing the relationship between the interface standing density and the withstand voltage in the silicon oxide film according to the first embodiment of the present invention Figure
  • FIG. 8A and 8 are diagrams showing the relationship between the interface state density and the dielectric breakdown voltage in the silicon oxide film obtained in the first embodiment of the present invention and the total pressure in the processing chamber;
  • FIG. 7 is a diagram showing the gas pressure inside the processing chamber of the nitride film thickness of the nitride film formed according to the second embodiment of the present invention;
  • FIG. 10 is a diagram showing current-voltage characteristics of a silicon nitride film according to the second embodiment of the present invention:
  • FIGS. 11 ⁇ and 1 IB are oxidizing, nitriding and nitriding processes of a polysilicon film according to the third embodiment of the present invention.
  • FIGS. 12A and 12B are views showing a modification process of a CVD oxide film according to a fourth embodiment of the present invention.
  • Figure 13 shows the effect of the modification of the CVD oxide film
  • FIGS. 14A and 14B are diagrams showing a modification process of a high dielectric film according to a fifth embodiment of the present invention.
  • 15A and 15B are views showing a modification process of a ferroelectric film according to a sixth embodiment of the present invention.
  • 16A and 16B are diagrams showing a modification process of low dielectric constant droop according to a seventh embodiment of the present invention.
  • FIG. 17A to 17E are diagrams showing a modification process of a nitride film according to an eighth embodiment of the present invention
  • FIG. 18 is a diagram showing a process of forming an oxide film performed while performing the modification process according to a ninth embodiment of the present invention.
  • FIG. 19 is a diagram showing a high dielectric film sputtering process performed while performing a boat process according to a tenth embodiment of the present invention.
  • FIG. 20 is a view showing a cross-sectional structure of a flash memory device according to an eleventh embodiment of the present invention
  • 21 to 24 are views showing a manufacturing process of a flash memory device according to a 12th embodiment of the present invention
  • FIG. 25 is a diagram showing a cross-sectional structure of a flash memory device according to a thirteenth embodiment of the present invention.
  • FIG. 26 is a diagram showing a cross-sectional structure of the flash memory device according to the fourteenth embodiment of the present invention. BEST MODE FOR CARRYING OUT THE INVENTION
  • FIG. 2 is a cross-sectional view showing an example of a microwave plasma processing apparatus using a radial line slot antenna for realizing the oxidation method of the present invention (see W098 / 333362).
  • a novel ⁇ 5 Kr is used as a plasma excitation gas for forming an oxide film.
  • the microwave plasma processing apparatus includes a vacuum chamber (processing chamber) 101 having a sample stage 104 holding a substrate 103 to be processed, and the processing chamber 10. 1 is evacuated and the pressure inside the processing chamber is set to about 1 Torr by introducing Kr gas and ⁇ 2 gas from the shower plate 102 formed on a part of the wall surface of the processing chamber 101. Set. Further, a circular substrate such as silicon substrate is placed on the sample table 104 having heat leakage as the base substrate 103, and the Sit of the sample is set to about 400 ° C. This temperature setting is preferably in the range of 200-550 ° C, and within this range the results described below are almost the same.
  • the 2.45 GHz microwave was introduced into the processing chamber 101.
  • a wave is supplied to generate high-density plasma in the processing chamber 101. If the frequency of the microphone mouth wave to be supplied is in the range of 900 MHz to 10 GHz, the results described below are almost the same.
  • the distance between the shower plate 102 and the substrate 103 is 6 cm in this embodiment. The narrower the faster the faster The membrane becomes T-functional.
  • a plasma density exceeding 1 ⁇ 10 12 crrr 3 can be realized on the surface of the substrate 103 to be processed. Further, the high-density plasma formed is excited by microwaves and has a low electron concentration. The plasma potential on the surface of the substrate 103 becomes 10 V or less. Therefore, the surface of the substrate 103 to be processed is not damaged by the plasma, and plasma sputtering of the processing chamber 101 does not occur, so that the substrate 103 to be processed is not contaminated.
  • the method for modifying an oxide film of the present invention can be performed at a low temperature of 550 ° C. or less, oxygen deficiency can be recovered without desorbing hydrogen terminating dangling bonds in the oxide film. Can be done. This is the same when forming a nitride film or an oxide film described later.
  • FIG. 3 shows the results obtained when the total pressure of the processing chamber 101 was changed while maintaining the pressure ratio between Kr and oxygen in the processing chamber 101 at Kr 97% and oxygen 3%. Shows the thickness of the oxide film. However, in the experiment of Fig. 3, the silicon substrate temperature was set to 400 ° C and the oxidation treatment was performed for 10 minutes.
  • the thickness of the oxide film obtained when the gas pressure in the processing chamber 101 is ITorr (about 133 Pa) is maximized. It can be seen that is. Moreover, this maximum force is the same whether the plane orientation of the substrate silicon is 100 or 111.
  • Figure 4 shows the relationship between the film thickness and oxidation time of oxide film obtained in the oxidation process the silicon substrate surface using tiitBK r ⁇ 2 high density plasma.
  • FIG. 4 shows both the results when the plane orientation of the silicon substrate is the (100) plane and the (111) plane.
  • Fig. 4 also shows the oxidation time dependence due to the other dry thermal oxidation at 900 ° C.
  • the substrate ⁇ 400 ° (:, the oxidation rate by Kr / ⁇ 2 high density plasma oxidation process in the processing chamber pressure 1 T orr (Itoshaku 133 P a) is in the substrate away 900.
  • the oxidation rate is larger than that of the large ⁇ BE dry 2 oxidation.
  • the oxidation of the (111) plane is larger than the oxidation of the (100) plane, but this is due to the formation of the oxide film on the (11) plane. Indicates that the density is lower than that of the oxide film formed on the (100) plane.
  • FIG. 5 shows the depth distribution of the Kr density in the silicon oxide film formed by the above procedure, which was examined using a total reflection X-ray fluorescence spectrometer.
  • the silicon oxide film was formed by setting the oxygen partial pressure in Kr to 3%, the pressure in the processing chamber to 1 To rr (about 133 Pa), and setting the substrate temperature to 400 ° C. Have gone.
  • the surface density of the K r is decreases with proximity to the silicon / silicon oxide film interface
  • the silicon Xie surface includes a density of 2 X 10 u cnr 2 SS. That is, FIG. 5, the silicon Sani ⁇ formed in the silicon substrate table ⁇ using K RZ_ ⁇ 2 high density plasma, K r concentration in the case the film thickness is more than 4 nm is substantially constant , This shows that the Kr concentration decreases toward the interface of the z oxide film.
  • 10 1Q cm 2 or more areal density of Kr is contained in the silicon oxide film. The results in Fig. 5 are obtained on the (100) plane and the (111) plane of silicon.
  • Figure 6 shows the results obtained by determining the interface shoe density of the oxide film from low-frequency CV measurements.
  • the silicon oxide film was formed at a substrate temperature of 400 ° C. using the apparatus shown in FIG.
  • the partial pressure of oxygen in the rare gas was fixed at 3%, and the pressure in the processing chamber was fixed at lTorr (about 133 Pa.
  • the interface state density of the thermal oxide film woven in an atmosphere of 900% oxygen and 100% was also used. Shown at the same time.
  • the interface shoe density of the oxide film using Kr gas was low on both the (100) and (111) faces, and was formed on the (100) face formed in a dry oxidation atmosphere at 900 ° C. It is understood that the density of the thermal oxide film is equal to the interface state density. On the other hand, the interface density of the thermal oxide film formed on the (111) plane is more than one order of magnitude higher. This is thought to be due to the following mechanism.
  • the oxygen partial pressure in Kr was 3%
  • the interface order density was Is minimized, and a value equivalent to the interface order density in the thermal oxide film can be obtained.
  • the withstand pressure of silicon is highest when the oxygen partial pressure is around 3%. For this reason, when performing oxidation using a Kr / O 2 mixed gas, the oxygen partial pressure is preferably 2 to 4%.
  • FIG. 7 shows the relationship between the pressure at the time of forming the silicon oxide film, the withstand voltage of the silicon oxide film, and the interface order density. At this time, the partial pressure of oxygen is 3%.
  • the dielectric strength of the silicon oxide film becomes maximum and the interface order density becomes minimum when the pressure at the time of film formation is around lTorr (about 133 Pa).
  • the pressure to form an oxide film using a K r / ⁇ 2 mixed gas it can be seen that 800- 1 20 OmTo rr (about 107 to about 160 P a) is optimal.
  • the results in Fig. 7 are obtained on both the (100) and (111) planes of silicon.
  • FIGs. 8A and 8B show the stress current-induced leakage current characteristics of the obtained silicon oxide film in comparison with the case of a conventional thermal oxide film.
  • the thickness of the oxide film is 3.2 nm.
  • the silicon oxide film of the present invention has an extremely long life until the degradation film is deteriorated even when a tunnel current flows, and is most suitable for use as a tunnel oxide film of a flash memory device.
  • the characteristics are equal to or better than those of the high-temperature thermal oxide film.
  • Kr is contained in the oxide film.
  • film and S i / S I_ ⁇ stress Ma mitigation 2 interface This is thought to be due to the fact that the charge in the film and the interface state density are reduced, and the electrical characteristics of the silicon oxide film are greatly improved.
  • the electrical characteristics of the silicon oxide film may contain 1 0 10 cm- 2 or more K r in density and contributes to the improvement of Shin vehicles page 'I live properties Conceivable.
  • the apparatus used for forming the nitride film is the same as the apparatus shown in FIG. 2, and uses Ar or Kr as the plasma excitation gas for forming the nitride film.
  • the inside of the processing chamber 101 is evacuated by evacuating the vacuum chamber (processing chamber) 101 to a high vacuum state and introducing Ar gas and NH 3 gas as an example from the shower plate 102.
  • Set the pressure to about 10 O mT 0 rr (about 13 Pa).
  • a circular substrate 103 such as a silicon wafer is placed on the three sample stage 104, and the substrate temperature is set to about 500 ° C.
  • the substrate temperature is within the range of 400-550 ° C, almost the same results can be obtained.
  • a microwave aperture of 2.45 GHz is supplied to the processing chamber through the coaxial waveguide 105, the radial line slot antenna 106 and the dielectric plate 107, and Generate high density plasma. Almost the same results can be obtained if the frequency of the supplied microwave is in the range from 90 MHz to 10 GHz. Further, the interval between the shower plate 102 and the substrate 103 is set to 6 cm in the present embodiment. The narrower the gap is, the faster the film can be formed. In this embodiment, an example is shown in which a film is formed using a plasma device using a radial lines antenna, but a microphone mouth wave may be introduced into the processing chamber by using another method.
  • Ar is used as the plasma excitation gas, but similar results can be obtained by using Kr.
  • NH 3 is used as the plasma process gas, but a mixed gas such as N 2 and H 2 may be used.
  • the A r or K r and NH 3 (or N 2 and H 2) mixed high density plasma in Ma excited in the gas, the A r * or K r * in an intermediate excited state, NH * radicals are efficiently
  • the substrate surface is nitrided by these NH * radicals. 3 ⁇ 4 ⁇ 3 ⁇ 4 More silicon table
  • the nitride film is formed by a plasma CVD method or the like.
  • such a method has not provided a high-quality nitride film that can be used as a gate film in a transistor.
  • a high-quality nitride film can be formed at a low temperature on the (100) plane and the (111) plane regardless of the plane orientation of silicon.
  • silicon nitride In the formation of silicon nitride according to the present invention, one important requirement is that hydrogen is generated. Due to the presence of hydrogen in the plasma, dangling bonds in the silicon nitride film and at the interface are formed. Termination is performed by forming Si—H and N—H bonds, so that silicon nitride and electron traps at the interface are eliminated.Si—H bonds and N—H bonds are not present in the nitride film of the present invention. It has been confirmed by measuring infrared absorption spectrum and X-ray photoelectron spectrum, respectively.With the presence of 7j ⁇ element, the hysteresis of CV characteristics is eliminated, the interface density of silicon Z silicon nitride film and substrate temperature are reduced.
  • the temperature is about 500 ° C or higher, it is ⁇ J ability to keep it as low as 3 X 10 1 ( cm- 2 ).
  • Ar or Kr rare gas
  • N 2 ZH 2 silicon nitride.
  • the method for modifying a nitride film according to the present invention can be performed at a low temperature of 550 ° C. or less, hydrogen terminating dangling pounds in the nitride film is not desorbed.
  • FIG. 9 shows the pressure dependency of the silicon nitride film thickness formed by the above-described procedure.
  • the partial pressure ratio of Ar: NH 3 was 98: 2
  • the deposition time was 30 minutes.
  • the growth rate of the nitride film decreased the pressure in the processing chamber 101 and increased the energy that the rare gas (81 "or 1") gave to NH 3 or N 2 / H 2 . It turns out that it is faster.
  • the gas pressure is preferably 50 to: L0 OmTorr (about 6 to 13 Pa).
  • the partial pressure of NH 3 (or N 2 / H 2 ) in the rare gas is preferably in the range of 1-10%, more preferably 2-6%.
  • the dielectric constant of the silicon nitride film of this example was 7.9, which was approximately twice that of the silicon oxide film.
  • FIG. 10 shows the current-voltage characteristics of the silicon nitride film of this example.
  • the results are shown in Figure 10, using ArZN 2 / H 2 Gas, Ar: N 2: the partial pressure ratio of H 2 93: 5: 2
  • the figure is for a silicon nitride film with a thickness of 4.2 nm (corresponding to a 2.1 nm thick oxide film) with a thickness of 4.2 nm. It is shown in comparison with the thermal oxide film of nm.
  • a leak current characteristic that is at least four orders of magnitude lower than that of a silicon oxide film can be obtained when a voltage of 1 V is applied. This indicates that the obtained silicon nitride film is an insulating film suitable for suppressing a leak current between the floating gate electrode and the control gate electrode in the flash memory device.
  • the above-mentioned wisteria conditions, physical properties, and electrical characteristics are the same regardless of the plane orientation of silicon, regardless of the (100) plane or the (111) plane.
  • a silicon nitride film having excellent film quality can be obtained.
  • the effect of the present invention is related to the fact that not only Si—H bonds and N—H bonds are contained in the oxide film but also Ar or Kr, and the effects of the present invention are found in the nitride film and the silicon Z nitride film interface. It is thought that the electric charge and the interface state density in the silicon nitride film are reduced, and the electrical and reliability characteristics are greatly improved.
  • the electrical characteristics of the 1 0 1Q cm 2 or more A r or silicon nitride film containing K r in density to improve the reliability sexual characteristics It is considered to have contributed.
  • the above-described method of forming an oxide film and a nitride film is similarly applied to the oxidization and nitridation of polysilicon, and a high-quality oxide film and nitride film can be formed on polysilicon.
  • FIGS. 11A and 11B a method of forming a dielectric film on a polysilicon film according to a third embodiment of the present invention will be described with reference to FIGS. 11A and 11B.
  • a polysilicon film 203 is deposited on a silicon substrate 201 covered with a final film 202. Therefore, in the process of FIG. 11 (B), the polysilicon film 203 is subjected to the high-density mixed gas of Kr or Ar and oxygen in the processing vessel 101 of the microwave plasma processing apparatus described in FIG. By exposing to plasma, it is possible to obtain a silicon oxide film 204 having excellent film quality, that is, a low interface shoe density and a small leak current, on the surface of the polysilicon film 203. In the step of FIG.
  • the disgusting polysilicon film 203 is exposed to a high-density mixed gas plasma of Kr or Ar and NH 3 or N 2 and H 2 , whereby the polysilicon film 2 On the surface of No. 03, a nitride film 205 having excellent film quality can be obtained.
  • the polysilicon film 203 is exposed to a high-density mixed gas plasma of Kr or Ar and oxygen and NH 3 , or N 2 and H 2 , thereby forming the polysilicon film.
  • An oxide film 206 having excellent film quality can be obtained on the surface of the silicon film 203.
  • Polysilicon formed on the soul is il) stable in a state where the plane orientation is perpendicular to the edge film, and it is dense, highly crystalline, and high quality. Crystal grains having other plane orientations also exist in polysilicon. According to the method of forming an oxide film, a nitride film, or a passivation film according to the present embodiment, as described above, a high-quality oxide film, a nitride film, or a MM film is formed regardless of the plane orientation of silicon. be able to.
  • the processes shown in Figs. 11 (A) and 11 (B) are based on thin high-quality oxide, nitride and oxynitride films on a polysilicon film such as the first polysilicon gate electrode which is a floating electrode of flash memory. It is necessary to form at low temperature.
  • the oxide film, nitride film and nitride film of the present invention can be formed at a low temperature of 550 ° C. or less, grain growth is suppressed and the polysilicon surface is not removed.
  • the method for forming an oxide film of the present invention can be performed at a low temperature of 550 ° C. or lower, hydrogen terminating dangling pounds in the oxynitride film is not desorbed.
  • FIGS. 12A and 12B show a modification (post-anneal) treatment of a CVD oxide film according to the fourth embodiment of the present invention.
  • FIG. 1 Referring to 2 A, S i the substrate 3 0 1 but S 1_Rei 2 film 3 0 2 is deposited by CVD on top, S i 0 2 film 3 0 2 deposited in this manner Is exposed to a plasma consisting of r or a mixed gas of Ar and oxygen in the process of FIG. Intermediate excited state of K r * or A r * and ⁇ 2 atomic oxygen is formed by reaction of the O * intrudes into disgusting 3S I_ ⁇ 2 film 3 0 2 in Zuma, the S i 0 2 film The film quality of 302 is changed.
  • the atom on the oxygen O * terminates the dangling pounds in the CVD-S I_ ⁇ 2 film 3 0 in 2, CVD-S I_ ⁇ 2 film 3 0 2 Figure 1 2 B post After annealing, the density and structure change to have a density and structure close to those of a thermal oxide film, especially idealization.
  • CVD-S i 0 2 film NSG film
  • K r ⁇ 2 plasma treatment the film surface
  • the relationship between the etching rate and the etching amount in the case where the modification is performed is shown.
  • CVD-S I_ ⁇ 2 film exhibits a very large etching rate versus the thermal oxide film in the state of just deposited, if subjected to ffflBK r / 0 2 plasma treatment However, it can be seen that the etching rate is reduced for the first 10 minutes or so, which corresponds to a depth of about 2 O nm, and a small etching rate comparable to that of a thermal oxide film can be obtained. This that it is ( ⁇ 0-3 1_Rei 2 film, the K r / ⁇ 2 plasma treatment generated atomic oxygen O * in, and the CVD-S I_ ⁇ 2 film densification shows. such dense S I_ ⁇ 2 film has a preferred correct feature small device leakage current defect interface state and the like are reduced.
  • FIGS. 14A and 14B show a post-anneal treatment of a high dielectric film according to a fifth embodiment of the present invention.
  • the S I substrate 4 0 1 surface are formed by a direct oxidation S I_ ⁇ second interlayer insulating film 4 0 2 by K r Bruno 0 2 plasma, the S i 0 2 A Pt electrode layer 403 is formed on the inter-brows insulating film 402 via a not-shown adhesion layer such as Ti. Further, Ta is provided on the Pt electrode layer 403. ⁇ 5 high dielectric film 4 0 4 But the T a C l 5 or T a ( ⁇ _C 2 H 5) 5 C VD method using a raw material, is deposited.
  • T a 2 ⁇ 5 film 4 0 4 includes a large amount of oxygen deficiency is immediately after deposition, as a result, the size is the leakage current in the T a 2 0 5 film 4 0 4 in Fig. 1 4 A step Moreover, the original high relative permittivity has not been obtained.
  • FIG. 14A formed in this manner is then subjected to the process of FIG. 14B in the high-density plasma processing apparatus of FIG. 2 under the same conditions as in the first embodiment. It is exposed to r / ⁇ 2 plasma.
  • the plasma treatment atomic oxygen O * is 3 ⁇ 4 (and rate generated in the plasma by the process, resulting atomic oxygen ⁇ * is the T a 2 ⁇ 5 film 4 0 4 in effectively to invade, to compensate for the oxygen deficiency.
  • the thickness of the Ding & 2 ⁇ 5 film 4 0 4 is Sei Zi tens nanometers Ichito Jl ⁇ degree
  • the atomic oxygen ⁇ * is introduced over the entire thickness of the ferroelectric film 404.
  • the oxygen deficiency compensation step can be performed at a low temperature of 550 ° C. or less by using the plasma processing step of FIG. 14B. RTA) There is no need to perform any processing. Along with this, the problem that the impurity distribution profile of the diffusion region changes in the active element previously formed in the Si substrate 401 does not occur.
  • the plasma-treated T a 2 ⁇ 5 film shows unique large dielectric constant in the high dielectric material.
  • unpleasant 3 KoToruden constant film 4 0 4 is not limited to T a 2 0 5 film
  • Z R_ ⁇ 2 film may be a H f 0 2 film.
  • FIGS. 15A and 15B show a Boostany J process of a ferroelectric film according to a sixth embodiment of the present invention.
  • the S i substrate 5 0 1 surface are formed by a direct oxidation S i 0 2 interlayer insulating film 5 0 2 by K r Roh ⁇ 2 plasma, the S I_ ⁇ 2 On the interlayer insulating film 502, a Pt electrode layer 503 is formed via an adhesion layer such as Ti (not shown).
  • ferroelectric 5 0 4 sol-gel method consisting Alternatively, it is deposited by sputtering.
  • the ferroelectric film 504 is amorphous immediately after deposition, and in FIG. 15A0, a large switching charge Q sw unique to the ferroelectric film is obtained in the ferroelectric film 504.
  • FIG. 15A thus formed is then subjected to the process of FIG. 15B in the high-density plasma processing apparatus of FIG. 2 under the same conditions as in the first embodiment. It is exposed to r / ⁇ 2 plasma.
  • atomic oxygen ⁇ * is efficiently generated in the plasma by the plasma processing step, and the generated atomic oxygen 0 * is effectively contained in the ferroelectric film 504.
  • the strong dielectric film 504 has a thickness of at most several tens of nanometers at the same time as crystallizing it and at the same time compensating for oxygen vacancies. * Is introduced over the entire thickness direction of the ferroelectric film 504.
  • the crystallization silicon defect compensation step can be performed at a low temperature of 550 ° C. or less by using the plasma treatment step of FIG. 15B, and is performed in an oxygen atmosphere as shown in FIG. Eliminates the need for high temperature rapid thermal processing (RTA). Along with this, the problem that the impurity distribution profile of the diffusion region is changed in the active element previously formed in the Si substrate 501 does not occur.
  • RTA rapid thermal processing
  • the ferroelectric film 504 thus plasma-treated has a large switching charge Qsw unique to the ferroelectric material.
  • the negative 3 high fusion rate film 504 is not limited to the BST or SBT film. ⁇ ⁇ ⁇ ? Otsu T membrane may be used.
  • FIGS. 16A and 16B show a post-annealing process on a low dielectric constant insulating film according to a seventh embodiment of the present invention.
  • a F-doped S i 0 2 (S i OF) film 6 0 2 C VD method is formed as a low-k interlayer fe outlook
  • FIGS. 17A to 17E show a method for forming a high-melting-coefficient gate film according to an eighth embodiment of the present invention.
  • SiN 702 having a thickness of 1 nm or less is formed on the Si substrate 701 by CVD, and in the step of FIG. the N film 7 0 2, in a microwave plasma processing apparatus of FIG 2, exposed to K r ZNH 3 plasma disgusting 3 under the same conditions as the second embodiment.
  • the K r / NH 3 on the silicon nitride film 7 0 2 which is the Posutaniru treatment by plasma, for example, Z r C 1 4 and H 2 ⁇ CVD method as a raw material of, or the ALD (atomic layer deposition) method or the like, to deposit high dielectric film 7 0 3 made of Z R_ ⁇ 2 to a thickness of several nanometers.
  • the structure of FIG. 17C is introduced into the microphone mouth-wave plasma processing apparatus of FIG. 2 to convert the surface of the high dielectric film 703 into Kr / NH 3 plasma. Expose You.
  • the surface of the high dielectric film 703 is nitrided, and a nitride film 703 A is formed on the surface of the high dielectric film 703.
  • a polysilicon gate electrode 704 is formed on the structure of FIG. 17D.
  • the nitride film 702 formed on the Si substrate 701 is not limited to a silicon nitride film, but may be an aluminum nitride film.
  • the high dielectric film 7 0 3 may be H F_ ⁇ 2 film and T a 2 ⁇ 5 film Nag limited to Z R_ ⁇ 2 film.
  • a dense and less defective SiN film 72 having a relative dielectric constant of 7.9 is formed on the Si substrate 701
  • a high dielectric film 703 made of a metal oxide film is formed in the step of FIG. 17C above, the penetration of oxygen from the high dielectric film 703 to the Si substrate 701 is suppressed.
  • the problem that the effective film thickness of the entire gate-backed film is increased by PSih is avoided.
  • FIG. 18 shows a method for forming an oxide film on a substrate according to a ninth embodiment of the present invention.
  • an exhaust port 12 21 A exhausted by the pump 12 1 B is provided, and the microphone mouth opening windows 122 A and 122 B are cooperated therewith.
  • a CVD device 120 equipped with microphones and mouth-wave antennas 1 2 3 A and 1 2 3 B is used.
  • a stage 124 having a heater 124 A is provided in the undesired S processing chamber 121, and a substrate 125 to be processed is held on the stage 124.
  • a shower plate 1 26 is provided in the processing chamber 1 21 so as to oppose the negative substrate 1 25, and processing gas supplied from the line 1 26 A is supplied to the shower plate 1 It is introduced into the processing chamber 120 through 26.
  • the Kr / 02 plasma gas supplied from the line 127 7 is placed adjacent to the disgusting micro-windows 122 2 and 122 2 , and the processing chamber 1 A gas introduction port 1 27 to be introduced during 21 is formed.
  • the microphone mouth-wave antennas 123A and 123B may be the radial line slot antennas used in the apparatus of FIG. 3 microwave antenna 1 2 3 A, 1 2 3
  • B may be Hornantena.
  • a low-energy, high-density plasma is formed in the disgusting processing chamber 121 by supplying the microwaves from the antennas 123A and 123B. , K r * and atomic oxygen O * are produced efficiently.
  • the Shawa first plate 1 2 6 in the present embodiment for example, by supplying T a (OC 2 H g) 5 as a raw material gas such as ⁇ 2, near the surface of the sickle 3 target substrate 1 2 5 Contact Then, a Ta 2 ⁇ 5 film is deposited.
  • T a 2 0 5 film deposited at the same time receives the previously in Figure 1 2 A, 1 2 Aniru process according describes the atomic oxygen O * in the embodiment of B, as a result, T is formed quality of a 2 ⁇ 5 film, especially for interface state density and leakage current characteristics are further improved. Moreover, because the deposition and Aniru process T a 2 ⁇ 5 film according to the present embodiment is carried out simultaneously, the process is shortened.
  • the C VD film 1 2 5 is not limited to T a 2 O s in this embodiment,
  • oxide films such as BPSG films, nitride films, and oxide films.
  • the silicon nitride film is also deposited on the vessel t at the same time as the silicon nitride film is annealed by hydrogen radical NH *, so that the resulting nitride film has low interface state density, low leakage current, and semiconductor device. It has favorable characteristics that can be used as a gate rising film.
  • a sputtering device 130 shown in FIG. 19 is used in place of the CVD device 120.
  • a target 131 such as a BST, supplied with a high frequency from a high frequency power supply 1311A is placed so as to face the substrate 125 to be processed.
  • a magnet 132 is provided near the target 131.
  • a gas introduction port 133 is provided in place of the shower plate 126.
  • a normal horn antenna 123C is provided as a microwave antenna corresponding to the three microwave windows 122A.
  • an oxide film such as a BST film is formed on the substrate to be processed 125 by sputtering of the target 131, and at this time, the gas introduction port 1 2 7 force ⁇ Luo K r gas or K r / ⁇ 2 gas was introduced into 1 2 in 1 the processing chamber, by further introducing a microwave from the microwave antenna 1 2 3 C, atoms in said processing unit
  • the BST film formed on the substrate to be processed 125 is subjected to a post-annealing treatment by the atomic oxygen ⁇ ⁇ ⁇ * simultaneously with the deposition. It is also possible to introduce an atmosphere gas separately from the Iff gas introduction port 13 3.
  • a nitride gas was used as the target 131, and the gas introduction port Ar gas or a mixed gas of Kr gas and NH 3 gas, or A
  • Ar gas or a mixed gas of Kr gas and NH 3 gas, or A By supplying a mixed gas of r gas or Kr gas, and N 2 gas and H 2 gas, it becomes possible to deposit a nitride film on the substrate 125 to be processed.
  • the deposited nitride film is subjected to a boss annealing process by a hydrogen nitride radical NH * generated in the plasma.
  • silicon is used as the target 131, and a port gas such as Ar gas or Kr gas and oxygen gas, By supplying a mixed gas of N 2 gas and NH 3 gas, or a mixed gas of Ar gas or Kr gas, N 2 gas, H 2 gas and oxygen gas, The film can be deposited. At this time, the deposited m-layer is subjected to a bostonial treatment by atomic oxygen ⁇ * and hydrogen nitride radical NH * generated in the plasma.
  • a port gas such as Ar gas or Kr gas and oxygen gas
  • FIG. 20 shows a schematic cross-sectional structure of the flash memory device 100 according to the present embodiment.
  • the flash memory device 1000 is formed on a silicon substrate 1001, and a tunnel oxide film 1002 formed on the silicon substrate 1001, A first polysilicon gate electrode formed on the tunnel oxide film and serving as a floating gate electrode; and a silicon nitride film formed on the polysilicon gate electrode.
  • a silicon oxide film 105, a silicon nitride film 1006, and a silicon oxide film 1007 are sequentially formed, and a control layer is formed on the silicon nitride film 1007.
  • a second polysilicon gate electrode 108 serving as a contact electrode is formed.
  • illustration of a source region, a drain region, a contact hole, a wiring pattern, and the like is omitted.
  • the silicon oxide films 100, 100, and 107 are formed by the silicon oxide film forming method described above.
  • 06 is formed by the silicon nitride film forming method described above, so that even if the film thickness of these films is reduced to about half that of the other oxide films and nitride films, good electrical characteristics can be obtained. Guaranteed.
  • a field oxide 110 2 is formed on the silicon substrate 110 1.
  • a flash memory cell area A, a high-voltage transistor area B, and a low-voltage transistor area C are formed, and a silicon oxide film 1103 is formed in each area A to (:
  • the field oxide film 1102 can be formed by a selective oxidation method (LOCOS method), a trench opening-trench isolation method, etc.
  • LOCS method selective oxidation method
  • an oxide film and a nitride film are used.
  • Kr is used as a plasma excitation gas for formation
  • the microwave plasma processing apparatus shown in Fig. 2 is used to form oxide and nitride films.
  • the silicon oxide film 110 3 is removed in the memory cell region A, and a tunnel oxide film 110 is formed to a thickness of about 5 nm in the memory cell region A.
  • the vacuum vessel (processing chamber) 1 0 1 was evacuated and introduced K r Gasuoyopi 0 2 gas from the shower plate 1 0 2, The pressure in the processing chamber was set to about 1 Torri 33 Pa), the temperature of the silicon wafer was set to 450 ° C, and the frequency supplied from the coaxial waveguide 105 was 2.56 GHz.
  • the microphone mouth wave is supplied into the processing chamber through the radial lines antenna 106 and the dielectric plate 107 to generate high-density plasma.
  • a first polysilicon layer 110 is further deposited so as to cover the tunnel oxide film 110. Further, the surface of the deposited polysilicon layer 1105 is flattened by hydrogen radical treatment. Next, the first polysilicon layer 1105 is patterned by patterning from the high-voltage transistor region B and the low-voltage transistor region C, and is formed on the tunnel oxide film 1104 in the memory cell region A. Only the first polysilicon 1105 is left. Next, in the process shown in FIG. 23, the lower nitride film 1106 A, the lower oxide film 1 106 B, and the upper sound 15 nitride film 110 C are formed on the structure shown in FIG. Then, the upper film 15 and the silicon oxide film 1106D are formed one after another, and a film 1106 having an N ⁇ N ⁇ structure is formed using the microwave plasma processing apparatus shown in FIG.
  • the inside of the vacuum chamber (processing chamber) 101 is evacuated to a high vacuum state in the microphone mouth-wave plasma processing apparatus shown in FIG. 2, and the Kr gas, the N 2 gas, and the H gas are supplied from the shower plate 102. Two gases are introduced, the pressure inside the processing chamber is set to about 10 OmTorr (about 13 Pa), and the temperature of the silicon wafer is set to 500 ° C. And this state In this state, the coaxial waveguide 105 supplies a microphone mouth wave having a frequency of 2.45 GHz to the processing chamber through the radial line slot antenna 106 and the dielectric plate 107 to generate high-density plasma in the processing chamber.
  • a silicon nitride film having a thickness of about 2 nm is formed on the polysilicon surface as the lower nitride film 1106A.
  • the introduction of Kr gas, N 2 gas, and H 2 gas is stopped, and the inside of the vacuum chamber (processing chamber) 101 is evacuated.
  • Kr gas and O 2 gas were introduced from the shower plate 102, and while the pressure in the processing chamber was set at 1 Torr (about 133 Pa), the microwave of 2.45 GHz was supplied again.
  • high-density plasma is generated in the processing chamber 101, and a silicon oxide film having a thickness of about 2 nm is formed as the lower oxide film 1106B.
  • the insulating film 1106 having the NONO structure can be formed to a thickness of 9 nm.
  • the dependence of the polysilicon on the surface is not observed, and the oxide film and the nitride film and the film quality are extremely uniform.
  • the overall film 1106 thus formed is further patterned, and selectively formed in the high-voltage transistor region B and the low-voltage transistor region C.
  • ions ⁇ ⁇ for controlling the threshold voltage are applied on the high-voltage transistor region B and the low-voltage transistor region C, and the eddy film 11 on the three regions ⁇ and C is formed. 0 Leave 3 off.
  • a gate oxide film 110 7 is formed to a thickness of 7 nm in the transistor region 15 for high voltage 1513, and a gate oxide film 108 is formed to a thickness of 3.5 nm in the transistor region C for low voltage. Formed.
  • a second polysilicon layer 1109 and a silicide layer 111 are sequentially formed on the entire structure including the field oxide film 1102, and these are further patterned.
  • the gate electrodes 1111B and 1111C are formed in the 1513 high-voltage and low-voltage transistor regions B and C, respectively.
  • a gate electrode 1 11 A is formed by patterning the disgusting polysilicon layer 1 1 10 and the silicide layer 1 1 10 in the memory cell region.
  • the device is completed by forming the source and drain, forming the film, forming the contact, and forming the wiring according to the standard semiconductor process.
  • the silicon oxide film and the silicon nitride film in the NONO film 1106 formed in this manner are very thin, but nevertheless have good electrical characteristics, and are dense. It is also characterized by high quality. Since the silicon oxide film and the silicon nitride film are formed at a low height, good interface characteristics can be obtained in which a thermal budget or the like is not generated at the interface between the gate polysilicon and the oxide film.
  • a flash memory integrated circuit device formed by arranging a plurality of two-dimensional flash memory elements according to the present invention can perform information writing and erasing operations at a low voltage, suppress generation of a substrate current, and provide a tunnel barrier film. Deterioration is suppressed, and element characteristics are stabilized.
  • FIG. 25 shows a schematic cross-sectional structure of a flash memory device 1500 according to the present embodiment. Referring to FIG.
  • the flash memory device 1500 is formed on a silicon substrate 1501, and a tunnel nitride film 1502 formed on the silicon substrate 1501, A first polysilicon gate electrode 1503 formed on the tunnel nitride 1502 and serving as a floating gate electrode; and a silicon oxide layer on the first polysilicon gate electrode 1503. A film 1504, a silicon nitride film 1505, and a silicon oxide film 1506 are sequentially formed. Further, a second polysilicon electrode 1507 serving as a control gate electrode is formed on the silicon oxide film 1506. In Fig. 25, the source region, drain region, contact hole, and wiring are shown. Illustrations such as turns are omitted.
  • the silicon oxide films 1502, 1504 and 1506 are formed by the above-described silicon oxide film forming method using high-density microwave plasma.
  • the silicon nitride film 1505 is formed by the silicon nitride film forming method using the high-density mark mouth wave plasma described above.
  • the steps up to patterning the first polysilicon layer 1503 are the same as the steps in FIGS. 21 and 22 described above.
  • the tunnel ⁇ I 1 5 0 2 the vacuum vessel (processing chamber) 1 0 in the exhaust the 1, A r gas from shea Yawapureto 1 0 2, N 2 gas, H 2 gas And set the pressure in the processing chamber to about lO OmT orr (about 13 Pa), supply microwaves at 2.45 GHz, and generate high-density plasma in the processing chamber. Formed and have a thickness of about 4 nm.
  • a lower silicon oxide film 1504 and a silicon nitride film 1505 are formed on the first polysilicon layer in the region A. 5 and an upper silicon oxide film 1506 are sequentially formed to form an extraordinary film having an ONO structure.
  • the inside of the vacuum vessel (processing chamber) 101 of the microphone mouth-wave plasma processing apparatus described above with reference to FIG. 1 is evacuated to a high vacuum state, and the Kr gas, ⁇ 2 Gas is introduced, and the pressure in the processing chamber 101 is reduced to about 1 Torr (approx. Set it up.
  • the microphone mouth wave of 2.45 GHz is supplied into the Kamami processing chamber 101 to generate a high-density plasma, so that the surface of the first polysilicon layer 1503 is formed.
  • a silicon oxide film about 2 nm thick is formed.
  • the inside of the vacuum chamber (processing chamber) 101 is evacuated, and further, Ar gas and N 2 gas are supplied from the shower plate 102 And H 2 gas are introduced, and the pressure in the processing chamber is set to about 1 Torr (about 133 Pa).
  • a high-density plasma is generated in the processing chamber 101 by supplying a microphone mouth wave of 2.45 GHz again, and the silicon nitride film is converted into hydrogen nitride radicals accompanying the high-density plasma.
  • NH * it is converted into a dense silicon nitride film.
  • silicon oxide was formed to a thickness of about 2 nm on the dense silicon nitride film by the CVD method, and again, the Kr gas, O 2 Gas is introduced, and the pressure in the processing chamber 101 is set to about l Torr (about 133 Pa).
  • a high-density plasma is generated in the processing chamber 101 by supplying the microwave of 2.45 GHz into the processing chamber 101 again.
  • the CVD silicon oxide film is converted into a dense silicon oxide film.
  • an ONO film is formed on the polysilicon film 1503 to a thickness of about 7 nm, but the formed ONO film does not depend on the plane orientation of the polysilicon. Has a very uniform film thickness.
  • the ONO film is subjected to a patterning step of removing a portion corresponding to j3 ⁇ 4r with respect to the high-voltage and low-voltage transistor regions B and C, and then to a step similar to that of the first embodiment.
  • the element is made to work.
  • This flash memory has excellent low leakage characteristics, can operate at a write / erase voltage of about 6 V, and has a similar memory retention time as the flash memory 100 of the previous embodiment.
  • the number of rewritable times can be increased by one digit or more than ⁇ 3 ⁇ 4.
  • a flash memory device having a gate electrode of a polysilicon n-side layered structure using formation leakage of a low-temperature oxide film and a nitride film using a sickle three-microphone mouth-wave high-density plasma.
  • the following is a description of 1600.
  • FIG. 26 shows a schematic sectional structure of the flash memory device 160.
  • the flash memory device 160 of this embodiment is formed on a silicon substrate 1601, and the tunnel oxide film 1 formed on the silicon substrate 1601 602, and a first polysilicon gate electrode 163 formed on the tunnel oxide film 162 and forming a floating gate electrode, wherein the first polysilicon gate electrode 1 A silicon nitride film 164 and a silicon oxide film 165 are sequentially formed on 603. Further, a second polysilicon gate electrode 166 serving as a control gate electrode is formed on the silicon oxide film 165.
  • FIG. 26 illustration of a source region, a drain region, a contact hole, a wiring pattern, and the like is omitted.
  • the silicon oxide films 1602 and 1605 are formed by the silicon oxide film forming method described above, and the silicon nitride film 1604 is described above. Formed by the silicon nitride film forming method described above.
  • the first polysilicon layer 163 is formed in the region A until the first polysilicon layer 163 is patterned.
  • a silicon nitride film and a silicon oxide film are sequentially formed on the first polysilicon layer 163 to form an insulator film having a NO structure.
  • the N ⁇ film is formed as follows using the microwave plasma processing apparatus of FIG.
  • Vacuum vessel (processing chamber) 101 Vacuum the inside of 101, introduce Kr gas, N 2 gas, and H 2 gas from the shower plate 102 and raise the pressure inside the processing chamber to 10 OmT orr (about 13 Pa) ) Set to about.
  • a 2.45 GHz microphone mouth wave is supplied to generate high-density plasma in the processing chamber, and a nitridation reaction of the polysilicon layer 1603 to about 3 nm.
  • the silicon oxide film is formed to a thickness of about 2 nm by the CVD method, by introducing K r gas and ⁇ 2 gas again iff from the shower plate 1 0 2 in his own microwave plasma processing apparatus,
  • the pressure in the processing chamber is set to about 1 Torr (about 133 Pa).
  • high-density plasma is generated in the processing chamber, and the oxide film formed by the CVD method is converted into atomic oxygen accompanying the high-density plasma. Expose to ⁇ *.
  • the CVD oxide film is converted into a dense silicon oxide film.
  • the NO film thus formed had a thickness of about 5 nm, but did not depend on the plane orientation of polysilicon, and was extremely uniform. After the NO film is formed in this manner, the NO film is subjected to the patterning, and the portions formed in the high-voltage and low-voltage transistor regions B and C are selectively removed.
  • the flash memory device thus formed has excellent low-leakage characteristics, and can perform writing and erasing at a low voltage of about 5 V.
  • the retention time can be increased by one digit or more, and the number of rewritable times can be increased by approximately one digit or more.
  • the method of forming the memory cell, the high-voltage transistor, and the low-voltage transistor described in the above embodiments is merely an example, and the present invention is not limited to these.
  • Ar may be used in place of Kr in forming the nitride film of the present invention.
  • polysilicon / silicide, polysilicon Z high melting point metal / amorphous It is also possible to use a film having a laminated structure such as silicon or polysilicon.
  • the oxide film'nitride film of the present invention in addition to the microwave plasma processing apparatus shown in FIG. 2, another plasma process apparatus capable of forming a low-temperature oxide film using plasma is used. You can use it.
  • a film is formed using a plasma apparatus using a radial line slot antenna is described, but a microphone mouth wave may be introduced into a processing chamber using another method.
  • the microwave plasma processing apparatus shown in Fig. 2 Kr gas or Ar gas is used. It is also possible to use a two-stage shower plate type plasma processing device that discharges plasma gas such as gas from the first shower plate and discharges the processing gas from the second shower plate different from the first gas discharge part. It is. For example, the gas may be released from the second shower plate by itself.
  • the first polysilicon electrode forms a floating gate electrode of the flash memory device, and at the same time, the same first polysilicon electrode forms a gate electrode of a high-voltage transistor. It is also possible to design
  • an oxidized film, a nitride film, or an oxide film formed on the substrate is formed by atomic oxygen O * or hydrogen nitride radical NH * generated by plasma using Kr or Ar as an inert gas.

Description

明細書 誘電体膜の形成方法
麵分野
本発明は に半難装置およびその 方法に係り、 特に纖膜の形 法、 およびフラッシュメモリ素子を含む電気的に情報の書き換えヵ坷能な不揮発性半 割本メモリ素子およびその製 法に関する。 . 半^:メモリ装置には、 揮発 '1生メモリ装置である D RAMや S RAM、 不揮発性 メモリであるマスク ROMや P ROM、 E P ROM、 E E P ROM等が含まれるが、、 メモリセル 1個当り 1個のトランジスタを有する EE P ROMであるいわゆるフ ラッシュメモリは小型 ·大容量 ·低消費電力を特徴とし、 その改良に向けて多大の 努力がなされている。 特にフラッシュメモリを低電圧で長期間にわたり、 安定して 馬区動するには、 均一で優れた膜質の誘電体膜が必要不可欠である。
また、 均一でリーク電流の少ない優れた膜質の纖膜は、 フラッシュメモリのみ ならず、 キャパシ夕を有する他の様々な半 本装置、 例えば 誘電体半導体メモリ 泰チにおいて強誘電体キャパシタを構成する強誘電体膜においても重要である。 さ らに均一でリーク電流の少ない優れた膜質の高誘電体膜は、 ゲート長が 0. l m 以下の高速半導体装置においてゲート絶縁膜としても重要である。 背景技術
まず、 »のフラッシュメモリ素子を、 一般的な積層型ゲ一卜 (stacked-gate) 構造を有するフラッシュメモリ素子の概念を示す図 1を参照しながら説明する。 図 1を参照するに、 フラッシュメモリ素子はシリコン基板 1 7 0 0上に構成され ており、 前記シリコン基板 1 7 0 0中に形成されたソース領域 1 7 0 1およびドレ ィン領域 1 7 0 2と、 前記シリコン基板 1 7 0 0上において前記ソース領域 1 7 0 1とドレイン領域 1 7 0 2との間に形成されたトンネルゲート酸化膜 1 7 0 3と、 前記トンネルゲート酸化膜 1 7 0 3上に形成されたフローティングゲート 1 7 0 4とを含み、 前記フローティングゲ一ト 1 7 0 4上にはシリコン酸化膜 1 7 0 5と シリコン窒化膜 1 7 0 6とシリコン酸化膜 1 7 0 7とが順次積層され さらに前記 シリコン酸化膜 1 7 0 7上にはコントロールゲート 1 7 0 8が形成されている。 す なわち、 かかる積層構造のフラッシュメモリセルでは、 図 1に示されるように、 フ ローティングゲ一ト 1 7 0 4とコントローレゲート 1 7 0 8が Ife縁膜 1 7 0 5 , 1 7 0 6および 1 7 0 7よりなる絶縁構造を間に挟むように積層されている。
編 3フ口一ティングゲ一ト 1 7 0 4とコントロールゲート 1 7 0 5間に設けら れる前記絶椽構造は、 フローティングゲ一ト 1 7 0 4とコントロールゲート 1 7 0 5との間のリーク電流を抑えるため、 このように窒化物膜 1 7 0 6を酸化物膜 1 7 0 5および 1 7 0 7で挟持した、 いわゆる〇N〇構造を有するのが一般的である。 通常のフラッシュメモリ素子では、 トンネルゲート酸化膜 1 7 0 3およびシリコン 酸ィ匕膜 1 7 0 5は熱酸化法で、 また、 シリコン窒化膜 1 7 0 6、 シリコン酸化膜 1 7 0 7は CVD法で形成される。 シリコン酸化膜 1 7 0 5は CVDで形成される場 合もある。 トンネルゲート酸化膜 1 7 0 3の^?は 8 nm程度および絶禄膜 1 7 0 5、 1 7 0 6、 1 7 0 7の膜厚の総和は酸ィ匕膜厚 で 1 5 nm程度である。 また、 このメモリセルの他に、 3〜 7 n m程度の厚さのゲ一ト酸化膜を有する低電圧用ト ランジス夕と 1 5〜3 0 nm厚さのゲート酸化膜を有する高電圧用トランジスタ が同一シリコン上に形成される。
このように構成された積層構造のフラッシュメモリセルでは、 情報の書き込み時 にドレイン 1 7 0 2に約 5〜7 Vを印加し、 さらにコントロールゲート 1 7 0 8に 1 2 V程度以上の高電圧を印加することにより、 ドレイン領域 1 7 0 2付近に発生 するチャネルホット電子をトンネル絶禄膜 1 7 0 3を通してフローティングゲ一 トに鎌する。 また、 このようにして蓄積された電子を消去するとき〖こは、 ドレイ ン領域 1 7 0 2をフローティングにし、 コントロールゲート 1 7 0 8を接地し、 ソ ース領域 1 7 0 1に 1 2 V程度以上の高電圧を印加することで、 前記フローティン グゲート 1 7 0 4に蓄積された電子を前記ソース領域 1 7 0 1に引き抜く。
しかし、 かかる従来のフラッシュメモリ素子は、 情報の書き込み及び消去動作時 に高電圧を必要とし、 かかる高電圧の印加によって多量の基板電流が発生して、 ト ンネル腿膜が劣化し、 素子の特性の低下を招くという問題点があった。 また、 高 電圧印加が原因となって、 書き換え回数の制限や過消去等の問題を内包していた。 従来のフラッシュメモリ素子において高電圧を印加しなければならない原因は、 総霞 1 7 0 5、 1 7 0 6および 1 7 0 7からなる ON〇膜の膜厚が厚いことにあ る。
従来の成膜技術では、 フローティングゲート 1 7 0 4上に前記終椽膜 1 7 0 5と して酸化膜を形成する際に熱酸化等の高、 理を使うとポリシリコンゲ一ト 1 7 0 4と前記酸化膜との界面がサーマルバジェット等の影響で粗悪になってしまう 問題が生じていた。 一方、 この問題を回避するために C VD等の低讓理でかかる 酸化膜を形成しようとした場合、 高品質で薄膜の酸化膜を形成することが困難であ つた。 このような理由で、 »のフラッシュメモリ素子では絶 1 7 0 5、 1 7 0 6、 1 7 0 7の膜厚を厚くすることで絶椽膜のリーク電流を抑制せざるを得なか つた。
しかし絶椽膜 1 7 0 5、 1 7 0 6、 1 7 0 7の膜厚を厚くしなければならないこ と力ら、 かかる «のフラッシュメモリ素子では書き込みおよび消去 Hffiが必然的 に高くなつてしまい、 その結果、 前記トンネルゲ一ト絶禄膜 1 7 0 3も、 高電圧に 耐えるよう厚くする必要があった。
膜厚が小さくてもリーク電流の少ない優れた膜質の絶豫膜は、 フラッシュメモリ のみならず、 他の様々な半導体装置においても望まれるものである。 発明の開示
そこで、 本発明は上記の課題を解決した新規で有用な誘電体膜の形成方法を提供 することを概括的課題とする。
本発明のより具体的な課題は、 実質的なリーク電流を生じることなく膜厚を減少 させることができる高品質で均一な酸化膜、 窒化膜あるいは m¾化膜の形成方法を 共することにある。
本発明の他の課題は、
基板上に酸化膜を形成する工程と、
前記基板上に形成された酸化膜を原子状酸素〇*に曝し、 膜質を »する工程と よりなることを ¾とする酸化膜の形成方法を提供することにある。
本発明によれば、 原子状酸素〇*は前記基板上に形成された酸化膜中に容易に侵 入し、 前記酸化膜中のダングリングポンドあるいはウィークボンドを終端する。 そ の結果、例えば CVD法により形成された S i 02膜であっても、かかる原子状酸素 O*への曝露の結果、 熱酸化膜に近い膜質を有するようになる。 すなわち、 本発明 により形成された酸化膜は、 界面靴が少なく、 ィ匕学量論誠と実質的に同一の組 成を有し、 リーク電流が少ない特徴を有する。 前記原子状酸素 0*は、 K rと酸素 の混合ガスをマイクロ波励起することにより、 効率的に生成することができる。 本発明の他の課題は、 既に形成されている窒化膜の膜質を改善できる窒化膜の形 成方法を提供することにある。
本発明の他の課題は、
基板上に窒化膜を形成する工程と、
前言 板上に形成された窒化膜を窒化水素ラジカル NH*に曝し、 膜質を改変す る工程とよりなることを特徴とする窒化膜の形成方法を提供することにある。
本発明によれば、 窒化水素ラジカル NH*は前記基板上に形成された窒化膜中に 容易に侵入し、 嫌 3窒化膜中の欠陥を補償する。 その結果、 カゝかる処理を施された シリコン窒化膜は S i 3N4の化 論誠に近い組成を有し、 界面準位が少なぐ リーク電流が少ない特徴を有する。 さらにかかる処理を施したシリコン窒化膜では、 膜中に纖される歪が減少する。 前記窒化水素ラジカル NH*は、 K rと酸素の混 合ガスをマイク口 ?β起することにより、 効率的に生成することができる。
本発明のその他の課題は; 基板上への酸化膜の形成方法であって、 前記酸化膜を 前記基板上に CVD法により堆積しながら、 同時にプラズマ中に生成する原子状酸 素により、 嫌 3堆積した酸化膜を処理する酸化膜の形成方法を提供することにある。 本発明のその他の課題は、
処理室内に、 K rあるいは A rよりなる不活性ガスと酸素ガスとを導入し、 マイ ク口波により励起することによりプラズマを形成する工程と、
前記処理室中に処理ガスを導入し、 前記処理ガスの前記プラズマによる活性化に より、 前記処理室中において基板上に酸化膜を堆積する工程とよりなる酸化膜の形 戯法であって、
嫌3酸化膜は、 堆積と同時に、 前記プラズマ中に生成する原子状酸素〇*により 処理されることを特徴とする酸化膜の形成方法を提供することにある。
本発明のその他の讓は、 基板上への窒化膜の形成方法であって、 前記窒化膜を 前記基板上に CVD法により堆積しながら、 同時にプラズマ中に生成する窒化水素 ラジカルにより嫌 3堆積した窒化膜を処理する窒化膜の形成方法を提供すること にある。
本発明の他の は、 '
処理室内に、 K rあるいは A rよりなる不活性ガスと窒素および水素を含むガス とを導入し、 マイク口波により励起することによりプラズマを形成する工程と、 前記処理室中に処理ガスを導入し、 前記処理ガスの前記プラズマによる活性化に より、 前記処理室中において基板上に窒化膜を堆積する工程とよりなる窒化膜の形 成方法であって、
前記窒化膜は、 堆積と同時に、 前記プラズマ中に生成する窒化水素ラジカル NH *により処理されることを特徴とする窒化膜の形成方法を提供することにある。 本発明のその他の課題は、 基板上への^ ¾化の形成方法であって、 前記隨化膜 を前記基板上に CVD法により堆積しながら、 同時にプラズマ中に生成する原子状 酸素および窒化水素ラジカルにより、 前記堆積した酸窒化膜を処理する酸窒化膜の 形^法を提供することにある。
本発明のその他の課題は、
処理室内に、 K rあるいは A rよりなる不活性ガスと、 酸素ガスと、 窒素および 水素を含むガスとを導入し、 マイク口波により励起することによりプラズマを形成 する工程と、
爾 3処理室中に処理ガスを導入し、 前記処理ガスの歸己プラズマによる活性化に より、 前記処理室中において基板上に酸窒化膜を堆積する工程とよりなる隨化膜 の形成方法であって、
嫌 3酸窒化膜は、 堆積と同時に、 前記プラズマ中に生成する原子状酸素および窒 ィ匕水素ラジカルにより処理されることを特徴とする酸窒化膜の形成方法を提供す ることにある。
本発明のその他の廳は、 基板上への酸化膜の形成方法であって、 前記酸化膜を 前記基板上にスパッタリング法により堆積しながら、 同時にプラズマ中に生成する 原子状酸素により、 嫌 3堆積した酸化膜を処理する酸化膜の形 ^^法を提供するこ とにある。 本発明のその他の課題は、
処理室中において、 基板上にターゲットのスパッタリングにより、 酸化膜を堆積 する工程と、
嫌己処理室中において、 K rあるいは A rよりなる不活性ガスと酸素ガスとをマ イクロ猶起することにより、 プラズマを形成する工程と、 .
觸 3プラズマ中に生成された原子状酸素〇*により、 前記酸化膜 処理する工程 とよりなることを特徴とする酸化膜のスパッ夕リング方法を提供することにある。 本発明のその他の課題は、 基板上への窒化膜の形成方法であって、 前記窒化膜を 前記基板上にスパッタリング法により堆積しながら、 同時にプラズマ中に生成する 窒化水素ラジカルにより前記堆積した窒化膜を処理する窒化膜の形成方法を提供 することにある。
本発明のその他の課題は、
処理室中において、 基板上にターゲットのスパッタリングにより、 窒化膜を堆積 する工程と、
前記処理室中において、 K rあるいは A rよりなる不活性ガスと窒素および水素 を含むガスとをマイク口 起することにより、 プラズマを形成する工程と、 前記プラズマ中に生成された窒化水素ラジカル ΝΗ*により、 前記窒化膜を処理 する工程とよりなることを特徴とする酸化膜のスパッタリング方法。
本発明のその他の課題は、 基板上への酸化の形成方法であって、
Figure imgf000008_0001
を前記基板上にスパッタリング法により堆積しながら、 同時にプラズマ中に生成す る原子状酸素および窒化水素ラジカルにより、 前記堆積した^ i Eを処理する酸 室化膜の形 法を提供することにある。
本発明のその他の課題は、
処理室中において、 基板上にターゲットのスパッタリングにより、 酸窒化膜を堆 積する工程と、
前記処理室中において、 K rあるいは A rよりなる不活性ガスと酸素ガスと窒素 および水素を含むガスとをマイク口 »起することにより、 プラズマを形成するェ 程と、
前記プラズマ中に生成された原子状酸素 O*および窒化水素ラジカル NH*によ り、 f&f己 化膜を処理する工程とよりなることを特徴とする^化膜のスパッタ リング方法を提供することにある。
本発明のその他の課題は、 基板上への窒化膜と高誘電体膜とを積層したゲート絶 縁膜の形 法を提供することにある。
本発明のその他の課題は、 基板上へのゲート絶縁膜の形成方法であって、 基板表面に窒化膜を形成する工程と、
前記窒化膜を、 窒化水素ラジカル NH*により処理する工程と、
前記前記処理された窒化膜上に、 高誘電体膜を堆積する工程と
前記高誘電体膜の表面を、 窒化水素ラジカル NH*により処理し、 窒化膜を形成 する工程とよりなることを特徴とするゲート絶禄膜の形成方法を提供することに ある。
本発明のその他の課題は、 基板上へのゲート絶縁膜の形成方法であって、 基板表面に酸化膜を形成する工程と、
嫌 3酸化膜を、 窒化水素ラジカル NH*と原子状酸素 O*とにより処理する工程 と、
前記処理された m¾化膜上に高誘電体膜を形成する工程と、
嫌 3高誘電体膜の表面を窒化水素ラジカル NH*により処理し、 窒化膜を形成す る工程とよりなることを特徴とするゲート絶椽膜の形成方法を提供することにあ る。 '
本発明のその他の特徴および利点は、 以下に図面を参照しながら行う発明の詳細 な説明より明らかとなろう。 図面の簡単な説明
図 1は、 徹のフラッシュメモリ素子の断面構造の概略断面構造を示す図; 図 2はラジアルラインスロットアンテナを用いたプラズマ装置の概念を示す 図;
図 3は本発明の第 1実施例により形成された酸化膜について、 得られた酸化膜厚 と処理室内のガス圧力との関係を示す図;
図 4〖鉢発明の第 1実施例により形成された酸化膜について、 得られた酸化膜厚 の酸化時間依存性を示す図;
図 5は本発明の第 1実施例によるシリコン酸化膜中の K r密度の深さ方向分布 を示す図;
図 6は本発明の第 1実施例によるシリコン酸化膜の界面準位密度を示す図; 図 7は本発明の第 1実施例によるシリコン酸化膜中の界面 立密度と絶縁耐圧 との関係を示す図;
図 8 A, 8 Β«Φ発明の第 1実施例において得られたシリコン酸化膜中の界面準 位密度および絶镓耐圧と、 処理室内の全圧との関係を示す図; ' 図 9は本発明の第 2実施例により形成された窒化膜について、 窒化膜厚の処理室 内ガス圧力依存性を示す図;
図 10は本発明の第 2実施例によるシリコン窒化膜の電流電圧特性を示す図: 図 11Α, 1 IBは、 本発明の第 3実施例によるポリシリコン膜の酸化処理、 窒 化処理および 窒化処理を示す図;
図 12A, 12Bは、 本発明の第 4実施例による CVD酸化膜の改変処理を示す 図;
図 13は CVD酸化膜の改変処理の効果を示す図;
図 14A, 14Bは、 本発明の第 5実施例による高誘電体膜の改変処理を示す 図;
図 15A, 15Bは、 本発明の第 6実施例による強誘電体膜の改変処理を示す 図;
図 16 A, 16 Bは、 本発明の第 7実施例による低誘電率絶垂の改変処理を示 す図;
図 17A~17Eは、 本発明の第 8実施例による窒化膜の改変処理を示す図; 図 18は、 本発明の第 9実施例による、 改変処理を行いつつ実行される酸化膜の 成 «理を示す図;
図 19は、 本発明の第 10実施例による、 艘処理を行いつつ実行される高誘電 体膜のスパッ夕リング処理を示す図;
図 20は本発明の第 11実施例によるフラッシュメモリ素子の断面構造を示す 図; 図 2 1〜 2 4は、 本発明の第 1 2実施例によるフラッシュメモリ素子の製造工程 を示す図;
図 2 5は、 本発明の第 1 3実施例によるフラッシュメモリ素子の断面構造を示す 図;
図 2 6ま、 本発明の第 1 4実施例によるフラッシュメモリ素子の断面構造を示す 図である。 発明を実施するための最良の態様
以下に、 本発明を実施例をあげて詳細に説明する。
[第 1実施例]
まずは、 プラズマを用いた低温の酸化膜形成について述べる。
図 2は、 本発明の酸化方法を実現するための、 ラジアルラインスロットアンテナ を用いたマイクロ波プラズマ処理装置の一例を示す断面図である (W09 8 / 3 3 3 6 2号公報参照)。 本実施例においては、 酸化膜形成時のために K rをプラズマ 励起ガスとして使用していることに新規な §5:がある。
図' 2を参照するに、 前記マイクロ波プラズマ処理装置は被処理基板 1 0 3を保持 する試料台 1 0 4を備えた真空容器 (処理室) 1 0 1を有し、 前記処理室 1 0 1内 を真空にし、 前記処理室 1 0 1の壁面の一部に形成したシャワープレート 1 0 2か ら K rガスおよび〇2ガスを導入することで処理室内の圧力を 1 T o r r程度に設 定する。 さらにシリコンゥ工八等の円形状の基板を前記拠理基板 1 0 3としてカロ 熱漏を持つ試料台 1 0 4に置き、 試料の Sitを 4 0 0°C程度に設定する。 この温 度設定は 2 0 0 - 5 5 0 °Cの範囲であるのが好ましく、 この範囲内であれは以下に 述べる結果はほとんど同様のものとなる。
次に外部のマイクロ波源に接続された同軸導波管 1 0 5から、 ラジアルラインス 口ットアンテナ 1 0 6および誘電体板 1 0 7を通して、 処理室 1 0 1内に 2. 4 5 GH zのマイクロ波を供給し、 処理室 1 0 1内に高密度のプラズマを生成する。 供 給するマイク口波の周波数が 9 0 0 MH z以上 1 0 GH z以下の範囲にあれば、 以 下に述べる結果はほとんど同様のものとなる。 シャワープレート 1 0 2と基板 1 0 3の間隔は、 本実施例では 6 c mにしてある。 この間隨ま狭いほうがより高速な成 膜が T能となる。
図 2のマイクロ波プラズマ処理装置では、 嫌 3被処理基板 1 0 3の表面において 1 X 1 012 c rrr3を超えるプラズマ密度を実現することができる。また形成される高 密度プラズマはマイクロ波により励起されるため電子 が低ぐ 理基板 1 0 3の表面におけるプラズマ電位は 1 0 V以下となる。 このため被処理基板 1 0 3表 面がプラズマにより損傷することがなく、 また処理室 1 0 1のプラズマスパッタリ ングが生じないため、 被処理基板 1 0 3が汚染されることもない。 またプラズマ処 理がシャワープレート 1 0 2と被処理基板 1 0 3との間の狭い空間においてなさ れるため、 反応生成物は前記空間を速やかに側方へと流れ、 試料保持台 1 0 4の周 囲に形成された大容積の空間から排気されるため、 非常に均一な処理が 能である。 このようにして形成された K rガスと〇2ガスが混合された高密度励起プラズマ 中では、中間励起状態にある K r *と 02肝が衝突し、原子状酸素〇*カ俲率よく発 生し、 この原子状酸素により基板表面が 化される。 «のシリコン表面の酸化は
H2〇肝あるいは〇2肝により行われ、 処理 は 8 0 0 °C以上と極めて高いも のであつたが、 本発明の原子状酸素による酸化は、 5 5 0°0¾下と十分に低ぃ で可能である。
また、 本発明の酸化膜の改質方法は、 5 5 0°C以下の低温でできるので、 酸化膜 中のダングリングボンドを終端している水素を脱離させることなく、 酸素欠損を回 復させることができる。 これは、 後で説明する窒化膜あるいは 化膜の形成にお いても同じである。
K r *と〇2の衝突機会を大きくするには、 処理室 1 0 1内の圧力が高い方が望ま しいが、 あまり高くすると、 発生した〇*同志が衝突し、 〇2分子に戻ってしまう。 このため当然ながら、 最適ガス圧力が 在する。
図 3に、 前記処理室 1 0 1内での K rと酸素の圧力比を K r 9 7 %, 酸素 3 %に 維持しながら処理室 1 0 1の全圧を変えたときの、 得られる酸化膜の厚さを示す。 ただし図 3の実験では、 シリコン基板温度を 4 0 0 ° Cに設定し、 酸化処理を 1 0 分間行っている。
図 3を参照するに、 前記処理室 1 0 1内のガス圧が I T o r r (約 1 3 3 P a) の時に得られる酸化膜の膜厚は最大になり、 この圧力ないしはその近傍の酸化条件 が であることがわかる。 しかも、 この最應王力は基板シリコンの面方位が 10 0面でも 111面でも変わらない。
• 図 4は、 tiitBK r Ζθ2高密度プラズマを用いたシリコン基板表面の酸化処理の際 に得られる酸化膜の膜厚と酸化時間との関係を示す。 ただし図 4中には、 シリコン 基板の面配向が (100) 面と (111) 面の場合の両方の結果を示している。 ま た図 4には、 «の 900°Cのドライ熱酸化による酸化時間依存性をも示している。 図 4を参照するに、 基板继 400 ° (:、 処理室内圧力 1 T o r r (糸勺 133 P a) での Kr/〇2高密度プラズマ酸化処理による酸化速度は、 基板離 900。 Cでの大^ BEドライ〇2酸化の際の酸化速度よりも速いことがわかる。
また、 従来の 900°Cドライ熱酸化では (111) 面方位シリコンの方が (10 0 )面方位シリコンよりも酸化膜の成長速度が速いが、 K r /02高密度プラズマ酸 ィ匕では、 逆に (111) 面方位シリコンの方が (100) 面方位シリコンよりも成 S¾度が遅くなつているのがわかる。本来 S i基板では(111)面方位の方が(1 00) 面よりもシリコンの面原子密度が多いので、 酸素ラジカルの供糸糧が同じで あれば酸化速度は (111) 面の方が (100) 面よりも遅くなるはずである。 K r /〇2高密度プラズマを用いたシリコン基板表面酸化では、この予測通りになって おり、 (111) 面上にも (100) 面と同様に、 緻密な酸化膜が形成されている と考えられる。 これに対し «の熱酸化処理では、 (111) 面の酸化雖の方が (100)面の酸化藤よりも大きくなつているが、このことは形成されている(1 11) 面の酸化膜が (100) 面上に形成された酸化膜に比べ疎であることを示し ている。
図 5は、 上記の手順で形成されるシリコン酸化膜中の K r密度の深さ方向分布を、 全反射蛍光 X線分光装置を用いて調べたものである。 ただし図 5の実験では、 シリ コン酸化膜の形成は、 Kr中の酸素分圧を 3%、 処理室内の圧力を 1 To r r (約 133 P a) とし、 基板温度を 400° Cに設定して行っている。
図 5を参照するに、 K rの面密度はシリコン /シリコン酸化膜界面に近接するに つれて減少するが、シリコン謝 表面では 2 X 10ucnr2SSの密度で含まれる。 すなわち図 5は、 K rZ〇2高密度プラズマを用いたシリコン基板表碰化で形成さ れるシリコン酸ィ匕膜は、 膜厚が 4 n m以上の場合には K r濃度が実質的に一定で、 z酸化膜の界面に向かって K r濃度が減少する膜となることを 示している。本発明のシリコン酸化膜形成方法によれば、 101Qcm2以上の面密度 の Krがシリコン酸化膜中に含有される。 図 5の結果は、 シリコンの (100) 面 上においてもまた (111) 面上においても同様に得られる。
図 6は、 酸化膜の界面靴密度を、 低周波 C— V測定から求めた結果である。 シ リコン酸化膜の形成は図 2に示した装置を用いて、 基板温度 400度で成膜した。 希ガス中の酸素の分圧は 3 %、 処理室内の圧力は lTor r (約 133Paに固定 した。 比較のために、 900麵素 100%の雰囲気で纖した熱酸化膜の界面準 位密度も同時に示す。
図 6を参照するに、 Krガスを用いて «した酸化膜の界面靴密度は(100) 面、 (111) 面とも低く、 900°Cのドライ酸化雰囲気で成膜した (100) 面 に形成した熱酸化膜の界面準位密度と同等であることがわかる。 これに対し、 (1 11) 面に形成した熱酸化膜の界面 立密度はこれらに比べ 1桁以上大きい。 これは次のような機構によると考えられる。
シリコン結晶を酸化膜側からみると、 (100) 面ではシリコン原子の結合手が 2本、 (111) 面ではシリコンの結合手が 1本と 3本交互に現れる。 そこで微 の (111) 面の熱酸化処理では、 (111) 面においてあるシリコン原子の 3本 の結合手に酸素原子が結合してしまうと、 そのシリコン原子の後ろ側の結合手が伸 びてウイ一クポンドになったり、 切れてダングリングボンドになったりして界面準 位力増力 [Iしてしまう。
これに対し、 Krと〇2の混合ガスの高密^ J¾起プラズマ酸化を行うと、中間励起 状態にある K r *と 02肝が衝突し原子状酸素〇*が 率よく発生し、この原子状酸 素がウィークポンドやダングリングポンドのところに効率よく達してシリコン一 酸素の新たな結合をつくることで、 (111) 面においても界面靴を低減すると 考えられる。
シリコン酸化膜成隱囲気における K r中での酸素の分圧と、 シリコン酸化膜の 禄耐圧、 および、 成膜されたシリコン酸化膜中の界面順位密度の関係を、 処理室 内の圧力を lTor r (約 133Pa) で藤して測 ¾Tると、 (100) 面、 (1 11) 面とも同様の結果が得られ、 Kr中の酸素分圧が 3%のとき、 界面順位密度 は最小となり、 熱酸化膜中での界面順位密度と同等の値が得られる。 また、 シリコ ン謝 の絶掾耐圧も、 酸素分圧 3%付近で最大となる。 このことから、 Kr/O 2混合ガスを用いて酸化を行うときの、 酸素分圧は 2 - 4 %が好適である。
図 7は、 シリコン酸化膜成膜時の圧力と、 シリコン酸化膜の絶縁耐圧、 界面順位 密度の関係である。 このとき酸素の分圧は 3%としている。
図 7を参照するに、 成膜時の圧力が lTor r (約 133Pa) 付近でシリコン 酸化膜の絶縁耐圧は最大となり、 界面順位密度は最小となることがわかる。 図 7の 結果から、 K r /〇2混合ガスを用いて酸化膜を形成する の圧力は、 800— 1 20 OmTo r r (約 107〜約 160 P a) が最適であることがわかる。 図 7の 結果は、 シリコンの (100) 面上においても (111) 面上においても、 同様に 得られる。
この他、 酸化膜の耐圧特性、 リーク特性、 ホットキャリア耐性、 ストレス電流を 流したときのシリコン酸化膜が破壌に至るまでの電荷量 QBD (Char e- t o— Br eakdown) などの電気的特性、 信頼性敵特性に関して、 Kr/02 高密度プラズマを用いたシリコン基板表面酸化による酸化膜は、 900 の熱酸化 と同様の良好な特性が得られた。
図 8A, 8Bは、 得られたシリコン酸化膜のストレス電流誘起リーク電流特性を、 従来の熱酸化膜の場合と比較して示す。 ただし図 8A, 8 Bにおいて酸化膜の膜厚 は 3. 2 nmとしている。
図 8A, 8 Bを参照するに、 «の熱酸化膜では電荷を注入するとリ一ク電流が 増加するのに対して、 本発明の K r /〇2によるプラズマ酸化では 100 CZ c m2 の電荷を ¾λしても電流特性に変化がないことがわかる。 すなわち、 本発明のシリ コン酸化膜ではトンネル電流を流しても謝匕膜が劣化に至るまでの寿命が極めて 長く、 フラッシュメモリ素子のトンネル酸化膜として用いるのに最適である。
上述したように、 KrZ〇2高密度プラズマにより成長した酸化膜は、 00 と レ^低温で酸化しているにもかかわらず、 (100) 面、 (111) 面とも、 徹の (100) 面の高温熱酸化膜と同等ないしはより優れた特性を示している。 こうし た効果が得られるのは、 酸化膜中に Krが含有されることにも起因している。 酸化 膜中に Krが含有されることにより、膜中や S i/S i〇2界面でのストレスがマ緩和 され、 膜中電荷や界面準位密度が低減され シリコン酸化膜の電気的特性が大幅に 改善されるためと考えられる。 特に、 図 5に示されるように、 密度において 1 010 c m—2以上の K rを含むことがシリコン酸化膜の電気的特性、 信車頁 'ί生的特性の改善 に寄与していると考えられる。
[第 2実施例]
次に、 高密度マイク口波プラズマを用いた低温での窒化膜形成について述べる。 窒化膜形成に使われる装置は図 2の装置と同じであり、 窒化膜形成時のために A rまたは K rをプラズマ励起ガスとして使用する。
すなわち前記真空容器 (処理室) 1 0 1内を高真空状態〖こ排気し、 シャワープレ ート 1 0 2から一例として A rガスおよび NH3ガスを導入することにより処理室 1 0 1内の圧力を 1 0 O mT 0 r r (約 1 3 P a) 程度に設定する。 さらにシリコ ンウェハ等の円形状の基板 1 0 3を嫌 3試料台 1 0 4上に置き、 基板温度を約 5 0 0 ° Cに設定する。 ただし基板温度が 4 0 0 - 5 5 0°Cの範囲内であれば、 ほとん ど同様の結果が得られる。
次に前記同軸導波管 1 0 5力、ら、 ラジアルラインスロットアンテナ 1 0 6および 誘電体板 1 0 7を通して処理室内に 2. 4 5 GH zのマイク口波を供給し、 処理室 内に高密度プラズマを生成する。 供給するマイクロ波の周波数が 9 0 O MH z以上 1 0 GH z以下の範囲にあれば、 ほとんど同様の結果が得られる。 またシャワープ レート 1 0 2と基板 1 0 3の間隔は、 本実施例では 6 c mに設定してある。 この間 隔は狭いほうがより高速な成膜が可能となる。 本実施例では、 ラジアルラインス口 ットアンテナを用いたプラズマ装置を用いて成膜した例を示すが、 他の方法を用い てマイク口波を処理室内に導入してもよい。
本実施例では、 プラズマ励起ガスに A rを使用しているが、 K rを用いても同様 の結果を得ることができる。 また、 本実施例では、 プラズマプロセスガスに NH3 を用いているが、 N2と H2などの混合ガスを用いても良い。
A rまたは K rと NH3 (または N2と H2) の混合ガスに励起された高密度プラズ マ中では、 中間励起状態にある A r *または K r *により、 NH*ラジカルが効率よ く発生し、 この NH*ラジカルにより基板表面が窒化される。 ¾έ¾よりシリコン表 面の直接窒化についての報告はなく、 窒化膜はプラズマ CVD法などにより形成さ れているが、 かかる方法ではトランジス夕のゲート膜に使える高品質な窒化膜は得 られていなかった。 これに対し、 本実施例のシリコン窒化によれば、 シリコンの面 方位を選ばず、 (100) 面でも (111)面でも、 低温で高品質な窒化膜を形成 することが可能となる。
ところで、 本発明のシリコン窒ィ! ^形成においては、 水素が ¾ "することがひと つの重要な要件である。 プラズマ中に水素が 在することにより、 シリコン窒化膜 中および界面のダングリングボンドが S i— H、 N—H結合を形成して終端され その結果シリコン窒ィ および界面の電子トラップが無くなる。 S i— H結合、 N 一 H結合が本発明の窒化膜に存^ることはそれぞれ赤外吸収スぺクトル、 X線光 電子 スペクトルを測 ることで確認されている。 7j<素が 在することで、 C V特性のヒステリシスも無くなり、 シリコン Zシリコン窒化膜界面密度も、 基板温 度を 500°C程度以上にすれば 3 X 101(cm-2と低く抑えることが^ J能である。希 ガス (Arまたは Kr) と N2ZH2の混合ガスを使用してシリコン窒化膜を形成す る場合に ifc素ガスの分圧を 0. 5%以上とすることで、 膜中の電子や正孔のトラ ップが急激に減少する。
また、 本発明の窒化膜の改質方法は、 550° C以下の低温で出来るので、 窒化 膜中のダングリングポンドを終端している水素を脱離させることがない。
図 9は、 上述の手順で作成レたシリコン窒化膜厚の圧力依存性を示す。 ただし A r: NH3の分圧比は 98: 2、 成膜時間は 30分とした。
図 9を参照するに、 窒化膜の成長速度は処理室 101内の圧力を下げて希ガス (八1"または 1") が NH3 ほたは N2/H2) に与えるエネルギーを増した方が速 くなることがわかる。 窒化の効率化の観点からは、 ガス圧力は 50〜: L 0 OmTo r r (約 6〜13Pa) が好ましい。 また、 希ガス中の NH3 (または N2/H2) の 分圧は 1-10%の範囲が良く、 さらに好ましくは 2〜 6 %が良い。 , 本実施例のシリコン窒化膜の誘電率は 7. 9であり、 シリコン酸化膜の約 2倍の ものが得られた。
図 10は、 本実施例のシリコン窒化膜の電流電圧特性を示す。 ただし図 10に示 す結果は、 ArZN2/H2ガスを用い、 Ar: N2: H2の分圧比を 93: 5: 2に 設定し、厚さが 4. 2 nmのシリコン窒化膜(誘電率騰酸化膜 2 . 1 nmに相当) を成膜したときのものであり、 この結果を図 1 0では厚さが 2. l nmの熱酸化膜 と比較して示している。
図 1 0を参照するに、 1 Vの電圧印加時にシリコン酸化膜より 4桁以上も低いリ ーク電流特性が得られるのがわかる。 これは、 得られたシリコン窒化膜が、 フラッ シュメモリ素子においてフローティングゲ一卜電極とコントロールゲート電極間 のリーク電流を抑制するのに適した絶縁膜であることを示している。
上述した藤条件、 物性的'電気的特性はシリコンの面方位によらず、 ( 1 0 0 ) 面でも (1 1 1 ) 面でも同様であり、 本実施例によれば、 いずれの面方位において も優れた膜質のシリコン窒化膜を得ることができる。 本発明の効果は、酸化膜中に S i一 H結合、 N— H結合だけでなく A rまたは K rが含有されることにも関係し ており、 窒化膜中やシリコン Z窒化膜界面でのストレスが 和され シリコン窒化 膜中の固定電荷や界面準位密度が低減されて、 電気的特性、 信頼性的特性が大幅に 改善されるものと考えられる。 特に、 図 5に示されたシリコン酸化膜の場合と同様 に、密度において 1 01Q c m2以上の A rまたは K rを含むことがシリコン窒化膜の 電気的特性、 信頼性的特性の改善に寄与していると考えられる。
[第 3実施例]
以上説明した酸化膜および窒化膜形成方法は、 ポリシリコンの酸化 ·窒化に対し ても同様に適用され 良質な酸化膜、 窒化膜をポリシリコン上に形成することが可 能である。
以下、 本発明の第 3実施例による、 ポリシリコン膜 :への誘電体膜の形成方法を、 図 1 1 (A) , (B) を参照しながら説明する。
図 1 1 (A) を参照するに、 終椽膜 2 0 2で覆われたシリコン基板 2 0 1上には ポリシリコン膜 2 0 3が堆積される。 そこで、 かかるポリシリコン膜 2 0 3を図 1 1 (B) の工程で、 図 2で説明したマイクロ波プラズマ処理装置の処理容器 1 0 1 内において K rあるいは A rと酸素の高密度混合ガスプラズマに曝すことにより、 ポリシリコン膜 2 0 3の表面に、 膜質の優れた、 すなわち界面靴密度が小さくリ ーク電流の少ないシリコン酸化膜 2 0 4を得ることが'できる。 また図 1 1 (B) の工程で、 嫌己ポリシリコン膜 2 0 3を K rあるいは A rと N H3あるいは N2と H2の高密度混合ガスプラズマに曝すことにより、前記ポリシリコ ン膜 2 0 3の表面に同様な、 膜質の優れた窒化膜 2 0 5を得ることができる。 また、 図 1 1 (B) の工程で、 前記ポリシリコン膜 2 0 3を K rあるいは A rと 酸素および NH3、あるいは N2と H2の高密度混合ガスプラズマに曝すことにより、 前記ポリシリコン膜 2 0 3の表面に膜質の優れた酸化膜 2 0 6を得ることがで ぎる。
絶靈上に形成されるポリシリコンは、 i l) 面方位が 縁膜に対して垂直 方向になった状態が安定であり、 かつ緻密で結晶性が良く高品質なものとなるが、 実際には他の面方位を持った結晶粒もポリシリコン内に存在する。 本実施例による 酸化膜、 窒化膜あるいは隨化膜の形成方法によれば、 上に説明したように、 シリ コンの面方位によらず高品質な酸化膜、 窒化膜あるいは MM化膜を形成することが できる。 また、 紙禄膜上に形成されるポリシリコンが P型や N型の不純物がドープ されているか否かにかかわらず、 本実施例による酸化膜あるい〖媳化膜の形成方法 によれば、成長搬がほぼ同等の成膜が可能である。 このため、 図 1 1 (A), (B) のプロセスは、 フラッシュメモリのフローティング電極である第 1ポリシリコンゲ 一ト電極等のポリシリコン膜上に薄い高品質な酸化膜、 窒化膜および酸窒化膜を低 温で形成するのに である。 また、 本発明の酸化膜、 窒化膜および濺窒化膜は 5 5 0 °C以下の低温で形成できるので粒成長が抑制され ポリシリコン表面が れる ことが、ない。
また、 本発明の酸化膜の ¾®方法は、 5 5 0 ° C以下の低温で出来るので、 酸 窒化膜中のダングリングポンドを終端している水素を脱離させることがない。
[第 4実施例]
図 1 2 A, 1 2 Bは、 本発明の第 4実施例による CVD酸化膜の改質 (ポストァ ニール) 処理を示す。
図 1 2 Aを参照するに、 S i基板 3 0 1上には CVD法により S 1〇2膜3 0 2が 堆積されるが、 このようにして堆積された S i 02膜 3 0 2を、図 1 2 Bの工程にお いて rあるいは A rと酸素の混合ガスよりなるプラズマに曝すことにより、 ブラ ズマ中に中間励起状態の K r *あるいは A r*と〇2との反応により形成された原子 状酸素 O*が嫌 3S i〇2膜 3 0 2中に侵入し、前記 S i 02膜 3 0 2の膜質を変化さ せる。
より具体的には、 前記原子上酸素 O*は前記 CVD— S i〇2膜 3 0 2中において ダングリングポンドを終端し、 CVD— S i〇2膜 3 0 2は図 1 2 Bのポストアニー ル処理後には、 熱酸化膜に近い密度と構造、 特に理想的な化^ *論 «を有するよ うに変化する。
図 1 3は、 このようにして形成された CVD— S i 02膜(N S G膜)に対して、 先の第 1実施例の場合と同様な K r Ζθ2プラズマ処理を施して、膜表面の改質を行 つた場合のェッチング速度とエッチング量との関係を示す。
図 1 3を参照するに、 CVD- S i〇2膜は堆積しただけの状態では熱酸化膜に対 して非常に大きなエッチング速度を示すが、 ffflBK r /02プラズマ処理を施した場 合、 約 2 O nmの深さに相当する最初の 1 0分間程度までのエッチングではエッチ ング速度が減少し、 熱酸化膜に匹敵する小さなエッチング速度が得られることがわ かる。 これは、 ( ¥0—3 1〇2膜中に、 前記 K r /〇2プラズマ処理で生成した原 子状酸素 O*が し、前記 CVD— S i〇2膜が緻密化していることを示している。 かかる緻密な S i〇2膜は界面準位等の欠陥が少なぐリーク電流が低減される好ま しい特徴を有する。
本実施例において CVD— S i 02膜の改質プラズマ処理は、 原子状酸素 O*の生 繊率が高い前記 K r /02プラズマ処理が最も好ましいが、 A r /〇2プラズマ処 理においても可能である。
[第 5実施例]
図 1 4 A, 1 4 Bは、 本発明の第 5実施例による高誘電体膜のポストァニール処 理を示す。
図 1 4 Aを参照するに、 S ϊ基板 4 0 1表面には S i〇2層間絶縁膜 4 0 2が K r ノ 02プラズマによる直接酸化などにより形成されており、 前記 S i 02眉間絶縁膜 4 0 2上には図示を省略した T i等の密着層を介して P t電極層 4 0 3が形成さ れている。 さらに前記 P t電極層 4 0 3上には T a。〇5よりなる高誘電体膜 4 0 4 が、 T a C l 5あるいは T a (〇C2H5) 5を原料に使った C VD法により、 堆積さ れる。 前記 T a25膜 4 0 4は堆積直後においては多量の酸素欠損を含んでおり、 その結果、 図 1 4 Aの工程では前記 T a205膜 4 0 4においてはリーク電流が大き く、 また本来の高い比誘電率は得られていない。
このようにして形成された図 1 4 Aの構造は、 次に図 1 4 Bの工程において前記 図 2の高密度プラズマ処理装置中において、 先の第 1実施例と同様な条件下で、 K r /〇2プラズマに曝される。
図 1 4 Bの工程においては、 かかるプラズマ処理工程によりプラズマ中に原子状 酸素 O*が ¾ (率的に生成し、 生成した原子状酸素〇*が前記 T a25膜 4 0 4中に効 果的に侵入し、 酸素欠損を補償する。 その際、 前記丁&25膜4 0 4の厚さはせぃ ぜぃ数十ナノメ一ト Jl^度であり、 前記原子状酸素〇*は前記強誘電体膜 4 0 4の 厚さ方向全体にわたって導入される。
本実施例においては酸素欠陥補償工程が、 図 1 4 Bのプラズマ処理工程を使うこ とにより 5 5 0 ° C以下の低温で可能となり、 ί狭のように酸素雰囲気中での高温 急速熱処理 (RTA) 処理を行う必要がなくなる。 これに伴い、 先に S i基板 4 0 1中に形成されていた活性素子において拡散領域の不純物分布プロファイルが 化する問題が生じることもなくなる。
このようにしてプラズマ処理された T a25膜は、 高誘電体材料に固有の大きな 比誘電率を示す。 なお、 本実施例において、 嫌 3高融電率膜 4 0 4は T a205膜に 限定されるものではなく、 Z r〇2膜は H f 02膜であってもよい。
図 1 4 Bの工程に引き続いて嫌己 T a25膜 4 0 4上に? tや S r R ii〇3等の 導電性酸化物よりなる 層を形成することにより、 高誘電体キャパシタを形成す ることができる。
なお本実施例において、 K r /〇2プラズマの代わりに A r /〇2プラズマを使つ ても、 原子状酸素〇*を効率的に生成することが可能である。
[第 6実施例] '
図 1 5 A, 1 5 Bは、 本発明の第 6実施例による強誘電体膜のボストァニー Jレ処 理を示す。 図 1 5 Aを参照するに、 S i基板 5 0 1表面には S i 02層間絶縁膜 5 0 2が K r ノ〇2プラズマによる直接酸化などにより形成されており、 前記 S i〇2層間絶椽膜 5 0 2上には図示を省略した T i等の密着層を介して P t電極層 5 0 3が形成さ れている。 さらに嫌 3P t電極層 5 0 3上には B S T (B a S r T 1 03) あるいは S B T (S r B i 2 (T a) 29) よりなる強誘電体 5 0 4がゾルゲル法あるいはス パッタリングにより堆積される。 前記強誘電体膜 5 0 4は堆積直後においてはァモ ルファスであり、 図 1 5 A0工程では前記強誘電体膜 5 0 4においては強誘電体膜 に特有の大きなスィツチング電荷 Qswは得られていない。
このようにして形成された図 1 5 Aの構造は、 次に図 1 5 Bの工程において前記 図 2の高密度プラズマ処理装置中において、 先の第 1実施例と同様な条件下で、 K r /〇2プラズマに曝される。
図 1 5 Bの工程においては、 かかるプラズマ処理工程によりプラズマ中に原子状 酸素〇*が 率的に生成し、 生成した原子状酸素 0*が前記強誘電体膜 5 0 4中に効 果的に侵入し、 これを結晶化すると同時に酸素欠損を補 it "る。 その際、 前記強誘 電体膜 5 0 4の厚さはせいぜい数十ナノメ一トル程度であり、 嫌 3原子状酸素〇* は前記強誘電体膜 5 0 4の厚さ方向全体にわたって導入される。
本実施例においては結晶化おょぴ 素欠陥補償工程が、 図 1 5 Bのプラズマ処理 工程を使うことにより 5 5 0 ° C以下の低温で可能となり、 «のように酸素雰囲 気中での高温急速熱処理 (RTA) 処理を行う必要がなくなる。 これに伴い、 先に S i基板 5 0 1中に形成されていた活性素子において拡散領域の不純物分布プロ フアイノレが 化する問題が生じることもなくなる。
このようにしてプラズマ処理された強誘電体膜 5 0 4は強誘電体材料に固有な 大きなスイッチング電荷量 Qswを有する。 なお、 本実施例において、 嫌 3高融電率 膜 5 0 4は B S Tあるいは S B T膜に限定されるものではなく、 ? 丁膜ゃ?乙 T膜であってもよい。
図 1 5 Bの工程に引き続いて前記強誘電体膜 5 0 4上に P tや S r R u〇3等の 導電性酸化物よりなる電極層を形成することにより、 強誘電体キャパシタを形成す ることができる。 [第 7実施例]
図 1 6 A, 1 6 Bは、 本発明の第 7実施例による低誘電率絶縁膜のポストァニー レ処理を示す。
図 1 6 Aを参照するに、 S i基板 6 0 1上には Fドープ S i 02 (S i O F)膜 6 0 2が C VD法により、 低誘電率層間fe観として形成されており、 図 1 6 Bにェ 程において嫌己図 2のマイク口波プラズマ処理装置中において前記 S i O F膜 6
0 2に対して前記第 1実施例と同様な条件において K r /〇2プラズマ処理を行い、 その表面および膜内を改質する。
力 る表面改質の結果、 前記 S i O F膜 6 0 2上に導体パターンを形成した場合、 かかる導体パターンと前記 S i O F膜 6 0 2との間の密着性が向上するだけでな く、 配線間のリーク電流の減少、 耐圧の改善が行える。
[第 8実施例]
図 1 7 A〜1 7 Eは、 本発明の第 8実施例による高融電率ゲー卜絶;豫膜の形成方 法を示す。
図 1 7 Aを参照するに、 S i基板 7 0 1上には CVD¾ ^により厚さが 1ナノメ 一トル以下の S i N 7 0 2が形成され、 図 1 7 Bの工程において前記 S i N膜 7 0 2を、 前記図 2のマイクロ波プラズマ処理装置中において、 嫌 3第 2実施例と同様 な条件下で K r ZNH3プラズマに曝露する。
図 1 7 Bの工程では、 tulBK r /NH3プラズマに伴い生成される窒化水素ラジカ ル NH*が前記 S i N膜 7 0 2中に ίδλし、 ダングリングボンド等の欠陥を終端す る。 その結果、 嫌己 S i N膜 7 0 2は界面準位密度が減少し、 また化 «論誠 S
13N4に近い組成を有するリーク電流特性の優れたシリコン窒化膜に変化する。 次に図 1 7 Cの工程において、前記 K r /NH3プラズマによりポストァニール処 理をされたシリコン窒化膜 7 0 2上に、 例えば Z r C 14および H2〇を原料とした CVD法あるいは ALD (atomic layer deposition)法等により、 Z r〇2よりなる 高誘電体膜 7 0 3を数ナノメートルの厚さに堆積する。
次に図 1 7 Dの工程において図 1 7 Cの構造を Ιϋ記図 2のマイク口波プラズマ 処理装置中に導入し、前記高誘電体膜 7 0 3の表面を K r /NH3プラズマに曝露す る。 かかるポストアニール処理の結果、 嫌 3高誘電体膜 7 0 3の表面が窒化され、 歸3高誘電体膜 7 0 3の表面には窒化膜 7 0 3 Aが形成される。
次に図 1 7 Eの工程において、 図 1 7 Dの構造上に、 ポリシリコンゲート電極 7 0 4を形成する。
本実施例において、 前記 S i基板 7 0 1上に形成される窒化膜 7 0 2はシリコン 窒化膜に限定されるものではなく、 アルミニウム窒化膜であってもより。 また前記 高誘電体膜 7 0 3は Z r〇2膜に限定されるものではなぐ H f〇2膜や T a25膜 であってもよい。
本実施例によれば、 図 1 7 Bの工程において前記 S i基板 7 0 1上に比誘電率が 7. 9の緻密で欠陥の少ない S i N膜 7 0 2が形成されるため、 その上に図 1 7 C の工程において金属酸化膜よりなる高誘電体膜 7 0 3を形成した場合にも、 前記高 誘電体膜 7 0 3から前記 S i基板 7 0 1への酸素の侵入がカ果的に PSihされ ゲー ト終椽膜全体の実効膜厚が増加してしまう問題が回避される。
また図 1 7 Dの工程において前記高誘電体膜 7 0 3の表面に窒化膜を形成して おくことにより、 後の工程において還元雰囲気中における処理がなされた にも、 黼己高誘電体膜 7 0 3が還元される問題が回避される。
[第 9実施例]
図 1 8は、 本発明の第 9実施例による基板上への酸化膜の形成方法を示す。
図 1 8を参照するに、 本実施例ではポンプ 1 2 1 Bにより排気される排気ポート 1 2 1 Aを備え、 さらにマイク口波窓 1 2 2 A, 1 2 2 Bとこれに協働するマイク 口波アンテナ 1 2 3 A, 1 2 3 Bを備えた C VD装置 1 2 0が使われる。
嫌 S処理室 1 2 1中にはヒータ 1 2 4 Aを有するステージ 1 2 4が設けられ、 前 記ステージ 1 2 4上に被処理基板 1 2 5が保持される。 また前記処理室 1 2 1中に は嫌 3腿理基板 1 2 5に対向するようにシャワープレート 1 2 6が設けられ ラ イン 1 2 6 Aから供給される処理ガスが、 Ιϋ記シャワープレート 1 2 6を介して前 記処理室 1 2 0中に導入される。 また前記処理室 1 2 1中には嫌己マイクロ 窓 1 2 2 Α, 1 2 2 Βに隣接して、ライン 1 2 7 Αから供給される K r /02プラズマガ スを前言己処理室 1 2 1中に導入するガス導入ポート 1 2 7が形成されている。 前記 マイク口波アンテナ 1 2 3 A, 1 2 3 Bは、 図 2の装置で使われたラジアルライン スロットアンテナであってもよい。 また嫌 3マイクロ波アンテナ 1 2 3 A, 1 2 3
Bはホーンァンテナであつてもよい。
かかる構成の CVD装置 1 2 0では、 前記アンテナ 1 2 3 A, 1 2 3 Bからマイ ク口波を供給することにより嫌己処理室 1 2 1中において低エネルギで高密度な プラズマが形成され、 K r *と原子状酸素 O*とが効率よく生成される。
本実施例ではさらに前記シャヮ一プレート 1 2 6より、 例えば T a (O C2Hg) 5 と〇2などの原料ガスを供給することにより、鎌3被処理基板 1 2 5の表面近傍にお レて T a25膜が堆積する。
その際、 かかる T a205膜は堆積と同時に、 先に図 1 2 A, 1 2 Bの実施例で説 明した原子状酸素 O*によるァニール処理を受け、 その結果、 形成される T a25 膜の膜質が、 特に界面準位密度およびリーク電流特性について、 さらに改善される。 しかも、 本実施例によれば T a25膜の堆積とァニール処理とが同時に行われるた め、 工程が短縮される。
本実施例においては前記 C VD膜 1 2 5は T a2Osに限定されるものではなく、
S i
Figure imgf000025_0001
B P S G膜等の酸化膜、あるいは窒化膜、さらには 化膜 に対しても適用力河能である。
本実施例において、 前記被処理基板 1 2 5上に窒化膜を堆積する場合には、 前記 ガス導入ポート 1 2 7から K rと NH3の混合ガス、あるいは K rと N2と H2の混合 ガスを供給すればよい。 この: t船にも、 シリコン窒化膜を堆積すると同時に窒化水 素ラジカル NH*によりァニ一ル処理がなされるため、 得られる窒化膜は界面準位 密度が低く、 リーク電流が少ない、 半導体装置のゲート騰膜としても使える好ま しい特性を有する。
本実施例において、 前記被処理基板 1 2 5上に隨化膜を堆積する場合には、 前 記ガス導入ポート 1 2 7から K rと〇2、 および NH3あるいは N2と H2を含む混合 ガスを ί共給することにより、 前記被処理基板 1 2 5上に界面準位密度が低く、 リー ク電流が少ない^化膜を堆積することも可能である。
[第 1。実施例] 次に、本発明の第 1 0実施例による、 K r /02プラズマにより励起された原子状 酸素〇*あるいは K r ZNH3プラズマにより励起された窒化水素ラジカル NH*を 使ったスパッタリング処理について、 図 1 9を参照しながら説明する。 ただし図 1 9中、 先に説明した部分には同一の参照符号を付し、 説明を省略する。
本実施例では前記 CVD装置 1 2 0の代わりに、 図 1 9に示すスパッ夕装置 1 3 0を使う。
図 1 9を参照するに、 前記スパッ夕装置 1 3 0では前記被処理基板 1 2 5に対面 するように、 高周波電源 1 3 1 Aにより高周波を供給される B S T等のターゲット 1 3 1が 置され、 また前記ターゲット 1 3 1の近傍にはマグネット 1 3 2が設け られる。 また図 1 9のスパッ夕装置 1 3 0では、 前記シャワープレ一ト 1 2 6の代 わりにガス導入ポート 1 3 3が設けられる。
さらに図 1 9の構成では、 嫌 3マイクロ波窓 1 2 2 Aに対応して、 通常のホーン アンテナ 1 2 3 Cがマイクロ波アンテナとして設けられている。
かかる構成のスパッ夕装置 1 3 0では、 前記夕ーゲット 1 3 1のスパッタリング により前記被処理基板 1 2 5上に B S T膜等の酸化膜形成がなされが、 その際に、 前記ガス導入ポート 1 2 7力 ^ら K rガスあるいは K r /〇2ガスを前記処理室 1 2 1中に導入し、 さらに前記マイクロ波アンテナ 1 2 3 Cからマイクロ波を導入する ことにより、 前記処理装置中に原子状酸素〇*を効率良く生成され、 その結果、 前 記被処理基板 1 2 5上に形成される B S T膜は、 堆積と同時に原子状酸素〇*によ りポストアニール処理を受ける。 また、 Iff記ガス導入ポート 1 3 3より別に雰囲気 ガスを導入することも可能である。
さらに図 1 9のスパッタ装置 1 3 0において前記ターゲット 1 3 1として窒ィ匕 物を使い、前記ガス導入ポ一トカゝら A rガスあるいは K rガスと NH3ガスとの混合 ガス、 あるいは A rガスまたは K rガスと、 N2ガスおよび H2ガスとの混合ガスを 供給することにより、 前記被処理基板 1 2 5上に窒化膜を堆積させることが可能に なる。 その際、 堆積された窒化膜はプラズマ中に生成した窒化水素ラジカル NH* によりボストァニール処理を受ける。 '
さらに図 1 9のスパッ夕装置 1 3 0において前記ターゲット 1 3 1として 化ケィ素を使い、 嫌 3ガス導入ポ一トカゝら A rガスあるいは K rガスと酸素ガスお よび NH3ガスとの混合ガス、 あるいは A rガスまたは K rガスと、 N2ガスおよび H2ガスと酸素ガスとの混合ガスを供給することにより、前記被処理基板 1 2 5上に ^化膜を堆積させることが可能になる。 その際、 堆積された m¾化膜はプラズマ 中に生成した原子状酸素〇*および窒化水素ラジカル NH*によりボストァニール 処理を受ける。
[第 1 1実施例]
次に、 上述したマイク口波プラズマを用いた低温の酸化膜と窒化膜の形成 術を 使用した、 ポリシリコン /シリサイド積層構造のゲート電極を有する高電圧用トラ ンジス夕と低電圧用トランジスタを包含する、 本発明の第 1 1実施例によるフラッ シュメモリ素子の製造工程を説明する。
図 2 0は、 本実施例によるフラッシュメモリ素子 1 0 0 0の概略断面構造を示す。 図 2 0を参照するに、 フラッシュメモリ素子 1 0 0 0はシリコン基板 1 0 0 1上 に構成されており、 前記シリコン基板 1 0 0 1に形成されたトンネル酸化膜 1 0 0 2と、 前記トンネル酸化膜 1 0 0 2上に形成されフローティングゲート電極となる 第 1のポリシリコンゲート電極 1 0 0 3とを含み、 前記ポリシリコンゲート電極 1 0 0 3上にはシリコン窒化膜 1 0 0 4と、 シリコン酸化膜 1 0 0 5と、 シリコン窒 ィ匕膜 1 0 0 6と、 シリコン酸化膜 1 0 0 7とが順次形成され、 さらに嫌 3シリコン 窒化膜 1 0 0 7上にはコントロールゲ一ト電極となる第 2のポリシリコンゲート 電極 1 0 0 8が形成されている。 図 2 0中、 ソース領域、 ドレイン領域、 コンタク トホール、 配線パターンなどの図示は省略して記載している。
本実施例のフラッシュメモリでは、 前記シリコン酸化膜 1 0 0 2、 1 0 0 5、 1 0 0 7が先に説明したシリコン酸化膜形成方法により、 また、 シリコン窒化膜 1 0 0 4、 1 0 0 6が先に説明したシリコン窒化膜形成方法により形成されるので、 こ れらの膜の膜厚を «の酸化膜、 窒化膜の約半分にまで減少させても、 良好な電気 的特性が保証される。
次に、 本実施例のフラッシュメモリ素子を含む半導 回路の ¾ϋ方法を、 図 2 1〜図 2 4を参照しながら説明する。
図 2 1を参照するに、 シリコン基板 1 1 0 1上にはフィールド酸ィ 1 1 0 2に よりフラッシュメモリセ ^域 Aと、 高電圧用トランジスタ領域 Bと、 低電圧用ト ランジス夕領域 Cとが 成されており、 各々の領域 A〜(:にはシリコン酸化膜 1 1 0 3が形成されている。 前記フィールド酸化膜 1 1 0 2は、 選択酸化法 (L OCO S法) ゃシャ口一トレンチアイソレーション法などで形成することができる。 本実施例においては、 酸化膜および窒化膜形成のために K rをプラズマ励起ガス として使用する。 酸化膜および窒化膜の形成には、 図 2のマイクロ波プラズマ処理 装置を使用する。
次に図 2 2の工程において、 前記メモリセル領域 Aにおいてシリコン酸化膜 1 1 0 3を除去し、 さらに前記メモリセ ^域 Aにトンネル酸化膜 1 1 0 4を約 5 nm の厚さに形成する。 嫌 3トンネル酸化膜 1 1 0 4を形成する際には、 真空容器 (処 理室) 1 0 1内を真空にし、シャワープレート 1 0 2から K rガスおょぴ 02ガスを 導入し、 処理室内の圧力を 1 T o r r i 3 3 P a) 程度、 シリコンウェハの温 度を 4 5 0 ° Cに設定し、 同軸導波管 1 0 5から供給される周波数が 2. 5 6 GH zのマイク口波を、 ラジアルラインス口ットアンテナ 1 0 6および誘電体板 1 0 7 を通して処理室内に供給し、 高密度のプラズマを生成する。
図 2 2の工程では、 前記トンネル酸化膜 1 1 0 4の形成の後、 さらに第 1のポリ シリコン層 1 1 0 5を、 前記トンネレ酸ィ匕膜 1 1 0 4を覆うように堆積し、 さらに 水素ラジカル処理により、 堆積したポリシリコン層 1 1 0 5の表面を平坦化する。 次に、 前記高電圧用トランジスタ領域 Bおよび低電圧用トランジスタ領域 Cから前 記第 1ポリシリコン層 1 1 0 5をパターニングにより し、 前記メモリセ^ 域 Aのトンネル酸ィ匕膜 1 1 0 4上にのみ、 前記第 1ポリシリコン 1 1 0 5を残す。 次に図 2 3の工程において嫌 3図 2 2の構造上に、 下部窒化膜 1 1 0 6 Aと下部 酸ィ匕膜 1 1 0 6 Bと上音 15窒ィ匕膜 1 1 0 6 Cと上音 15酸ィ匕膜 1 1 0 6 Dとを j頓次形成 し、 N〇N〇構造を有する «膜 1 1 0 6を、 図 2のマイクロ波プラズマ処理装置 を使って形成する。
より詳細に説明すると、 図 2のマイク口波プラズマ処理装置において真空容器 (処理室) 1 0 1内を高真空状態に排気し、 さらにシャワープレート 1 0 2から K rガス、 N2ガス、 H2ガスを導入し、 処理室内の圧力を 1 0 O mT o r r (約 1 3 P a) 程度に、 またシリコンウェハの を 5 0 0 °Cに設定する。 そして、 この状 態で前記同軸導波管 105力、らの周波数が 2. 45GHzのマイク口波をラジアル ラインスロットアンテナ 106および誘電体板 107を通して処理室内に供給し、 処理室内に高密度のプラズマを生成する。 その結果、 Ιίί ポリシリコン表面には、 約 2nmの厚さのシリコン窒化膜が、 前記下部窒化膜 1106Aとして形成される。 次に、 マイクロ波の供給をー晰亭止した後、 Krガス、 N2ガス、 H2ガスの導入 を止め、 真空容器(処理室) 101内を排気する。 次いで前記シャワープレート 1 02から Krガスおよび 02ガスを導入し、処理室内の圧力を 1 To r r (約 133 Pa) 禾 に設定した状態で、 再び 2. 45 GHzのマイクロ波を供給することに より、 前記処理室 101内に高密度のプラズマを生成して、 厚さが約 2nmのシリ コン謝匕膜を、 前記下部酸化膜 1106 Bとして形成する。
次に、再びマイクロ波の供給を一時停止した後、 Krガス、 〇2ガスの導入を止め、 真空容器 (処理室) 101内を排気する。 さらに前記シャワープレート 102から Krガス、 N2ガスおよび H2ガスを導入し、 処理室内の圧力を 10 OmTo r r程 度に設定し、 この状態で 2. 45 GHzのマイクロ波を供給することにより、 前記 処理室 101内に高密度のプラズマを生成する。 かかる高密度プラズマ処理により、 さらに 3 nmの厚さのシリコン窒化膜が形成される。
最後にマイクロ波の供給を一時停止した後、 Krガス、 N2ガス、 H2ガスの導入 を止め、 真空容器 m ι ο ι内を排気し、 シャワープレート ι o 2から Kr ガス、 〇2ガスを導入して、処理室内の圧力を lTor r i 33 P a)程度に設 定する。 この状態で再び 2. 45 GHzのマイクロ波を供給することにより、 前記 処理室 101内に高密度のプラズマを生成して、 厚さが 2 nmのシリコン酸化膜を 前記上部酸化膜 1106Dとして形成する。
すなわち、 このような工程により、 NONO構造を有する絶縁膜 1106を 9n mの厚さに形成することができる。 このようにして形成された N〇N〇膜 1106 では、 ポリシリコンの面方 依存も見られず、 各々の酸化膜および窒化膜の お よび膜質は極めて均一である。
図 23の工程では、 さらにこのようにして形成された総椽膜 1106をパター二 ングし、 高電圧用トランジスタ領域 Bおよび低電圧用トランジスタ領域 Cにおいて 選択的に^ ¾する。 次に図 2 4の工程において高電圧用トランジスタ領域 Bおよび低電圧用トラン ジス夕領域 C上に閾値電圧制御用のイオン ¾Λを行い、 さらに編 3領域 Βおよび C 上の酸ィ匕膜 1 1 0 3を余去する。 さらに 1513高電圧用トランジスタ領域 Βにはゲー ト酸化膜 1 1 0 7を 7 nmの厚さに形成し、 次いで低電圧用トランジスタ領域 Cに ゲート酸化膜 1 1 0 8を 3. 5 n mの厚さに形成する。
図 2 4の工程では、 その後前記フィールド酸化膜 1 1 0 2を包含する構造全体上 に第 2のポリシリコン層 1 1 0 9及びシリサイド層 1 1 1 0を順次形成し、 さらに これらをパターニングすることにより、 1513高電圧用及び低電圧用トランジスタ領 域 B、 Cにゲート電極 1 1 1 1 B、 1 1 1 1 Cをそれぞれ形成する。 次に、 メモリ セル領域において嫌己ポリシリコン層 1 1 0 9およびシリサイド層 1 1 1 0をパ ターニングしてゲート電極 1 1 1 1 Aを形成する。
最後に、 標準的な半導体工程に準拠して、 ソース'ドレイン形成、 繊膜形成、 コンタクト形成、 配線形成などを行って素子を完成させる。
このようにして形成された NONO膜 1 1 0 6中のシリコン酸化膜およぴシリ コン窒化膜は非常に薄膜化されているが、 にもかかわらず良好な電気的特性を有し、 緻密でまた高品質であることを特徴とする。 かかるシリコン酸化膜およびシリコン 窒ィ匕膜は低?显で形成されているためゲートポリシリコンと酸化膜との界面でサー マルバジェット等が発生することはなぐ 良好な界面特性が得られる。
本発明のフラッシュメモリ素子を 2次元に複数配置して作成したフラッシュメ モリ集積回路装置は、 情報の書き込み及び消去動作が低電圧で行え、 基板電流の発 生を抑制することができ、 トンネル 椽膜の劣化が抑えられ、 素子の特性が安定す る。 本発明のフラッシュメモリ »は優れた低リーク特性をもち、 書き込み消去が 7 V程度の電圧で動作可能であり、 メモリ保持時間を従来より 1桁以上、 書き換え 可能回数を約 1桁以上増すことができる。
[第 1 2実施例]
次に、 前記高密度マイク口波プラズマを用いた低温での酸化膜と窒化膜の形成技 術を使用した、 ポリシリコン/シリサイド積層構造のゲート電極を有する、 本発明 の第 5実施例によるフラッシュメモリ素子について説明する。 図 2 5は、 本実施例によるフラッシュメモリ素子 1 5 0 0の概略断面構造を示す。 図 2 5を参照するに、 フラッシュメモリ素子 1 5 0 0はシリコン基板 1 5 0 1上 に形成されており、 前記シリコン基板 1 5 0 1に形成されたトンネル窒化膜 1 5 0 2と、 前記トンネル窒ィ 1 5 0 2上に形成されフローティングゲート電極となる 第 1のポリシリコンゲート電極 1 5 0 3とを含み、 前記第 1のポリシリコンゲート 電極 1 5 0 3上にはシリコン酸ィ匕膜 1 5 0 4と、 シリコン窒化膜 1 5 0 5、 とシリ コン酸化膜 1 5 0 6とが順次形成されている。 さらに、 前記シリコン酸化膜 1 5 0 6上にはコントロールゲート電極となる第 2ポリシリコン電極 1 5 0 7が形成さ れている。 図 2 5中、 ソース領域、 ドレイン領域、 コンタクトホール、 配 。ター ンなどの図示は省略して記載している。
図 2 5のフラッシュメモリ素子 1 5 0 0では、 前記シリコン酸化膜 1 5 0 2、 1 5 0 4および 1 5 0 6は先に説明した高密度マイクロ波プラズマを使ったシリコ ン酸化膜形成方法により、 また、 シリコン窒化膜 1 5 0 5は先に説明した高密度マ ィク口波プラズマを使つたシリコン窒化膜形成方法により形成される。
次に本実施例のフラッシュメモリ集積回路の作成方法を説明する。
本実施例においても、 前記第 1のポリシリコン層 1 5 0 3をパターンニングする までの工程は先の図 2 1および図 2 2の工程と同様である。 ただし、 本実施例では、 前記トンネル窒ィ 1 5 0 2は、 真空容器 (処理室) 1 0 1内を排気してから、 シ ャワープレート 1 0 2から A rガス、 N2ガス、 H2ガスを導入し、 処理室内の圧力 を l O OmT o r r (約 1 3 P a) 程度に設定し、 2. 4 5 GH zのマイクロ波を 供給し、 処理室内に高密度のプラズマを生成することにより形成されており、 約 4 nmの厚さを有する。
このようにして前記第 1のポリシリコン層 1 5 0 3が形成された後、 前記領域 A において前記第 1のポリシリコン層上に、 下部シリコン酸化膜 1 5 0 4とシリコン 窒化膜 1 5 0 5と上部シリコン酸化膜 1 5 0 6とが順次形成され、 ONO構造を有 する絶椽体膜が形成される。
より詳細に説明すると、 先に図 1で説明したマイク口波プラズマ処理装置の真空 容器 (処理室) 1 0 1内を高真空状態に排気し、 シャワープレート 1 0 2から K r ガス、 〇2ガスを導入し、処理室 1 0 1内の圧力を 1 T o r r (約 1 3 3 P a)程度 に設¾ ~る。 この状態で 2. 4 5 GH zのマイク口波を鎌己処理室 1 0 1内に供給 し、 高密度のプラズマを生成することにより、 前記第 1のポリシリコン層 1 5 0 3 の表面に約 2 nmの厚さのシリコン酸化膜が形成される。
次に、 前記シリコン酸化膜上に CVD法によりシリコン窒化膜を 3 nm形成した 後、 真空容器 (処理室) 1 0 1内を排気し、 さらにシャワープレート 1 0 2から A rガス、 N2ガス、 H2ガスを導入し、処理室内の圧力を 1 T o r r (約 1 3 3 P a) 程度に設 ¾Tる。 この状態で再び 2. 4 5 GH zのマイク口波を供給することによ り前記処理室 1 0 1内に高密度プラズマを生成し、 前記シリコン窒化膜を高密度プ ラズマに伴う窒化水素ラジカル NH*に曝すことにより、 緻密なシリコン窒ィ匕膜へ と変換する。
次に、 嫌 3緻密なシリコン窒化膜上に CVD法により、 シリコン酸ィ を約 2 n mの厚さに形成し、 再び、 マイクロ波プラズマ装置により、 シャワープレート 1 0 2から K rガス、 02ガスを導入し、処理室 1 0 1内の圧力を l T o r r (約 1 3 3 P a) 程度に設^る。 この状態で再び 2 · 4 5 GH zのマイクロ波を前記処理室 1 0 1中に供給することにより、 前記処理室 1 0 1中に高密度のプラズマを生成す る。 前記 CVD法で形成した酸化膜を、 前記高密度プラズマに伴う原子状酸素〇* に曝すことにより、 前記 CVDシリコン酸化膜は緻密なシリコン酸化膜に変換され る。
このようにして前記ポリシリコン膜 1 5 0 3上には ONO膜が約 7 nmの厚さ に形成されるが、 形成された ONO膜にはポリシリコンの面方位依存も見られず、 ONO膜は極めて均一な膜厚を有する。 かかる ONO膜には、 その後、 高電圧用及 び低電圧用トランジスタ領域 B、 Cに対 j¾rする部分を除去するパターニング工程を 行い、 引き続き、 先の第 1 1実施例と同様の工程を行うことにより、 素子を さ せる。
このフラッシュメモリ^?は優れた低リ一ク特性をもっており、 書き込み消去電 圧は 6 V程度で動作可能で、 先の実施例のフラッシュメモリ 1 0 0 0と同様に、 メ モリ保持時間を «έ¾より 1桁以上、 書き換え可能回数を約 1桁以上増すことができ る。 [第 1 3実施例]
次に、 鎌 3マイク口波高密度プラズマを用いた低温酸化膜と窒化膜の形成漏を 使用した、 ポリシリコン ンリサイド積層構造のゲ一ト電極を有する本発明の第 1 3実施例によるフラッシュメモリ素子 1 6 0 0について説明する。
図 2 6は、 前記フラッシュメモリ素子 1 6 0 0の概略的断面構造を示す。
図 2 6を参照するに、 本実施例のフラッシュメモリ素子 1 6 0 0はシリコン基板 1 6 0 1上に形成されており、 嫌 3シリコン基板 1 6 0 1上に形成されたトンネル 酸化膜 1 6 0 2と、 前記トンネル酸化膜 1 6 0 2上に形成されフローティングゲ一 ト電極を構成する第 1のポリシリコンゲート電極 1 6 0 3とを含み、 前記第 1のポ リシリコンゲ一ト電極 1 6 0 3上にはシリコン窒化膜 1 6 0 4と、 シリコン酸化膜 1 6 0 5とが順次形成されている。 さらに、 前記シリコン酸化膜 1 6 0 5上にはコ ントロールゲート電極となる第 2ポリシリコンゲート電極 1 6 0 6が形成されて いる。
図 2 6中、 ソース領域、 ドレイン領域、 コンタクトホール、 配線パターンなどの 図示は省略して記載している。
図 2 6のフラッシュメモリ 1 6 0 0において、 前記シリコン酸化膜 1 6 0 2 , 1 6 0 5は上に説明したシリコン酸化膜形成方法により、 また、 シリコン窒化膜 1 6 0 4は上に説明したシリコン窒化膜形成方法により形成される。
次に本実施例によるフラッシュメモリ集積回路の製造方法を説明する。
本実施例においても前記第 1のポリシリコン層 1 6 0 3をパターニングするま では、 実施例 1と同様で、 前記第 1のポリシリコン層 1 6 0 3を領域 Aに形成した 後、 前記第 1のポリシリコン層 1 6 0 3上にシリコン窒化膜及びシリコン酸化膜を 順次形成して NO構造を有する絶縁体膜を形成する。
より詳細に説明すると、 前記 N〇膜は、 図 2のマイクロ波プラズマ処理装置を使 つて次のようにして形成される。
真空容器 (処理室) 1 0 1内を真空にし、 シャワープレート 1 0 2から K rガス、 N2ガス、 H2ガスを導入し、 処理室内の圧力を 1 0 OmT o r r (約 1 3 P a) 程 度に設定する。 この状態で 2. 4 5 GH zのマイク口波を供給し、 処理室内に高密 度のプラズマを生成して、 前記ポリシリコン層 1 6 0 3の窒化反応により約 3 nm の厚さのシリコン窒 ί匕膜を形成する。
次に、 CVD法によりシリコン酸化膜を約 2 nmの厚さに形成し、 再び iff己マイ クロ波プラズマ処理装置において前記シャワープレート 1 0 2から K rガスおよ び〇2ガスを導入し、処理室内の圧力を 1 T o r r (約 1 3 3 P a)程度に設 る。 この状態で周波数が 2. 4 5 GH zのマイクロ波を供給することにより、 処理室内 に高密度のプラズマを生成し、 CVD法で形成した酸化膜を、 嫌 3高密度プラズマ に伴う原子状酸素〇*に曝す。 その結果、 前記 CVD酸化膜は徽密なシリコン酸化 膜に変換される。
このようにして形成された NO膜は約 5 nmの厚さを有するが、 ポリシリコンの 面方位依存も見られず、 極めて均一な膜厚であった。 前記 NO膜は、 このようにし て形成された後、 バタ一ニングされ、 高電圧用及び低電圧用トランジスタ領域 B、 Cに形成された部分が選択的に除去される。
さらに引き続き、 図 2 4の工程と同様の工程を行い、 軒を完成させた。
このようにして形成されたフラッシュメモリ素子は優れた低リーク特性をもつ ており、 書き込み消去を 5 V程度の低電圧で行うことが可能で、 先の実施例のフラ ッシュメモリ素子と同様に、 メモリ保持時間を従来より 1桁以上、 書き換え可能回 数を約 1桁以上増すことが出来る。
以上の実施例に示したメモリセル、 高電圧用トランジスタ、 低電圧用トランジス 夕の形成方法はあくまで一例であり、 本発明はこれらに限定されるものではない。 本発明の窒化膜形成には K rの代わりに A rを用いてもよく、 また嫌 3第 1および 第 2のポリシリコン層の代わりに、 ポリシリコン/シリサイド、 ポリシリコン Z高 融点金属/アモルファスシリコンまたはポリシリコンなどの積層構造を有する膜 を使うことも可能である。
また、 本発明の酸化膜'窒化膜を実現するためには、 図 2のマイクロ波プラズマ 処理装置の他に、 プラズマを用いた低温の酸化膜形成を可能とする別のプラズマプ 口セス用装置を使用してもかまわない。 本発明の実施例ではラジアルラインスロッ トアンテナを用いたプラズマ装置を用いて成膜した例を示したが、 他の方法を用い てマイク口波を処理室内に導入してもよい。
また、 図 2のマイクロ波プラズマ処理装置の代わりに、 K rガスあるいは A rガ ス等のプラズマガスを第 1のシャワープレートより放出し、 処理ガスを前記第 1の ガス放出部とは異なる第 2のシャワープレートから放出する 2段シャワープレー ト型プラズマプロセス装置を使うことも可能である。 この塲合は、 例えば 素ガス を編己第 2のシャワープレートより放出するようにしてもよい。 また、 前記第 1の ポリシリコン電極によりフラッシュメモリ素子のフローティングゲ一ト電極を形 成すると同時に、 同じ第 1のポリシリコン電極により、 高電圧用トランジスタのゲ ―ト電極が形成されるようにプロセスを設計することも可能である。
以上、 本発明を好ましい実施例について説明したが、 本発明はかかる特定の実施 例に限定されるものではなぐ 本発明の要旨内において様々な変形 ·変更が可能で ある。 産業上の利用可能性
本発明によれば、 K rあるいは A rを不活性ガスとしたプラズマに伴い生成した 原子状酸素 O*あるいは窒化水素ラジカル NH*により、 前記基板上に形成された酸 化膜あるいは窒化膜あるいは酸窒化膜を処理することにより、、 前記膜中のダング リングポンドあるいはウィークポンドが終端され、 その結果、 CVD¾ により形 成された膜質の劣る絶縁膜であっても、 膜質を向上させることが可能になる。

Claims

1. 基板上に酸化膜を形成する工程と、
前記基板上に形成された酸化膜を原子状酸素 O*に曝し、 膜質を改変する工程と よりなることを特徴とする酸化膜の形成方法。
2. 前記膜質を改変する工程は、 K rあるいは A rガスと酸素ガスの混合ガス 中にプラズマをマイクロ波により励起する工程と、 前記酸化膜を前記プラズマに曝 す工程とよりなることを特徴とする請求項 1記載の酸化膜の形成方法。
3. 嫌 3膜質を する工程は、 5 5 0 ° C以下の基板? J¾において実行され ることを特徴とする請求項 1記載の酸化膜の形成方法。
4. 基板上に窒化膜を形成する工程と、
前記基板上に形成された窒化膜を窒化水素ラジカル NH*に曝し、 膜質を改変す る工程とよりなることを特徴とする窒化膜の形成方法。
5. 嫌 3膜質を改変する工程は、 K rあるいは A rガスと窒素および水素を含 むガスの混合ガス中にプラズマをマイク口波により励起する工程と、 前記窒化膜を 前記プラズマに曝す工程とよりなることを特徴とする請求項 4記載の窒化膜の形 成方法。
6. 前記膜質を改変する工程は、 5 5 0。 C以下の基板 において実行され ることを特徴とする請求項 1記載の窒化膜の形成方法。
7. 処理室内に、 K rあるいは A rよりなる不活性ガスと酸素ガスとを導入し、 マイク口波により励起することによりプラズマを形成する工程と、
嫌己処理室中に処理ガスを導入し、 前記処理ガスの前記プラズマによる活' f生ィ匕に より、 前記処理室中において基板上に酸化膜を堆積する工程とよりなる酸 ί匕膜の形 成方法であって、
前記酸ィ匕膜は、 堆積と同時に、 前記プラズマ中に生成する原子状酸素〇*により 処理されることを特徴とする酸化膜の形^法。
8 .' 処理室内に、 K rあるいは A rよりなる不活性ガスと窒素および水素を含 むガスとを導入し、 マイク口波により励起することによりプラズマを形成する工程 と、
前記処理室中に処理ガスを導入し、 前記処理ガスの前記プラズマによる活性化に より、 前記処理室中において基板上に窒化膜を堆積する工程とよりなる窒化膜の形 成方法であって、
嫌 3窒化膜は、 堆積と同時に, 前記プラズマ中に生成する窒化水素ラジカル NH *により処理されることを特徴とする窒化膜の形成方法。
9. 処理室内に、 K rあるいは A rよりなる不活性ガスと、 酸素ガスと、 窒素 および水素を含むガスとを導入し、 マイク口波により励起することによりプラズマ を形成する工程と、
嫌 3処理室中に処理ガスを導入し、 前記処理ガスの前記プラズマによる活性化に より、 前記処理室中において基板上に酸窒化膜を堆積する工程とよりなる 化膜 の形成方法であって、
前記酸窒化膜は、 堆積と同時に、 嫌 3プラズマ中に生成する原子状酸素および窒 化水素ラジカルにより処理されることを特徴とする酸窒化膜の形成方法。
1 0 . 処理室中において、 基板上にターゲットのスパッタリングにより、 酸化 膜を堆積する工程と、
前記処理室中において、 K rあるいは A rよりなる不活性ガスと酸素ガスとをマ イク口波励起することにより、 プラズマを形成する工程と、
前記プラズマ中に生成された原子状酸素 O*により、 前記酸化膜を処理する工程 とよりなることを特徴とする酸化膜のスパッタリング方法。
1 1. 処理室中において、 基板上にターゲットのスパッタリングにより、 窒化 膜を堆積する工程と、
ft!己処理室中において、 K rあるいは A rよりなる不活性ガスと窒素と水素を含 むガスとをマイク口 »起することにより、 プラズマを形成する工程と、
前記プラズマ中に生成された窒化水素ラジカル NH*により、 前記窒化膜を処理 する工程とよりなることを特徴とする窒化膜のスパッ夕リング方法。
1 2. 処理室中において、 基板上に夕ーゲットのスパッタリングにより、 酸窒 化膜を堆積する工程と、
前記処理室中において、 K rあるいは A rよりなる不活性ガスと酸素ガスと窒素 および水素を含むガスとをマイク口 M)起することにより、 プラズマを形成するェ 程と、
前記プラズマ中に生成された原子状酸素 O*および窒化水素ラジカル NH*によ り、 前記 化膜を処理する工程とよりなることを特徴とする ィ匕のスパッタリ ング方法。
1 3. 基板上へのゲート絶縁膜の形成方法であって、
基板表面に窒化膜を形成する工程と、
前記窒化膜を、 窒化水素ラジカル NH*により処理する工程と、
難 3前記処理された窒化膜上に、 高誘電体膜を堆積する工程と
前記高誘電体膜の表面を、 窒化水素ラジカル NH*により処理し、 窒化膜を形成 する工程とよりなることを特徴とするゲート絶豫膜の形成方法。
1 4. 基板上へのゲート絶椽膜の形成方法であって、
基板表面に酸窒化膜を形成する工程と、
謙 3酸窒化膜を、 窒化水素ラジカル NH*と原子状酸素〇*とにより処理する工程 と、
前記処理された 化膜上に高誘電体膜を形成する工程と、
前記高誘電体膜の表面を窒化水素ラジカル NH*により処理し、 窒化膜を形成す る工程とよりなることを とするゲート «の形^法。
PCT/JP2001/001966 2000-03-13 2001-03-13 Procede de formation de pellicule dielectrique WO2001069665A1 (fr)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020027011935A KR100760078B1 (ko) 2000-03-13 2001-03-13 산화막의 형성 방법, 질화막의 형성 방법, 산질화막의 형성 방법, 산화막의 스퍼터링 방법, 질화막의 스퍼터링 방법, 산질화막의 스퍼터링 방법, 게이트 절연막의 형성 방법
AT01912316T ATE514181T1 (de) 2000-03-13 2001-03-13 Verfahren zur ausbildung eines dielektrischen films
JP2001567029A JP4966466B2 (ja) 2000-03-13 2001-03-13 酸化膜の形成方法、酸化膜のスパッタリング方法、酸窒化膜のスパッタリング方法、ゲート絶縁膜の形成方法
EP01912316A EP1265276B1 (en) 2000-03-13 2001-03-13 Method for forming dielectric film
US09/867,767 US6669825B2 (en) 2000-03-13 2001-05-31 Method of forming a dielectric film

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000-115940 2000-03-13
JP2000115940 2000-03-13

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US09/867,767 Continuation US6669825B2 (en) 2000-03-13 2001-05-31 Method of forming a dielectric film

Publications (1)

Publication Number Publication Date
WO2001069665A1 true WO2001069665A1 (fr) 2001-09-20

Family

ID=18627463

Family Applications (2)

Application Number Title Priority Date Filing Date
PCT/JP2001/001967 WO2001069673A1 (fr) 2000-03-13 2001-03-13 Dispositif de memoire flash et son procede de fabrication et procede de formation de pellicule dielectrique
PCT/JP2001/001966 WO2001069665A1 (fr) 2000-03-13 2001-03-13 Procede de formation de pellicule dielectrique

Family Applications Before (1)

Application Number Title Priority Date Filing Date
PCT/JP2001/001967 WO2001069673A1 (fr) 2000-03-13 2001-03-13 Dispositif de memoire flash et son procede de fabrication et procede de formation de pellicule dielectrique

Country Status (8)

Country Link
US (9) US6669825B2 (ja)
EP (3) EP1265279B1 (ja)
JP (6) JP4987206B2 (ja)
KR (2) KR100833406B1 (ja)
AT (1) ATE514181T1 (ja)
DE (1) DE60140179D1 (ja)
TW (2) TW527683B (ja)
WO (2) WO2001069673A1 (ja)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003105534A (ja) * 2001-09-27 2003-04-09 Ulvac Japan Ltd 光学薄膜の成膜方法及び成膜装置
JP2003115587A (ja) * 2001-10-03 2003-04-18 Tadahiro Omi <110>方位のシリコン表面上に形成された半導体装置およびその製造方法
JP2005509287A (ja) * 2001-08-31 2005-04-07 エーエスエム インターナショナル エヌ.ヴェー. 低温度におけるゲートスタック製造方法
JP2005303074A (ja) * 2004-04-13 2005-10-27 Renesas Technology Corp 薄膜形成装置および薄膜形成方法
US6983649B2 (en) 2002-11-01 2006-01-10 Pacific Industrial Co., Ltd. Tire condition monitoring apparatus
JP2007251204A (ja) * 2007-05-28 2007-09-27 Tokyo Electron Ltd 絶縁膜の形成方法
WO2007138937A1 (en) * 2006-05-26 2007-12-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP2008010480A (ja) * 2006-06-27 2008-01-17 Toshiba Corp 半導体装置およびその製造方法
JP2008042189A (ja) * 2006-07-14 2008-02-21 Semiconductor Energy Lab Co Ltd 不揮発性メモリ
JP2008510319A (ja) * 2004-08-18 2008-04-03 東京エレクトロン株式会社 プラズマ処理を用いて高誘電率層を有するゲート誘電体積層体を改善する方法及びシステム
JP2008177492A (ja) * 2007-01-22 2008-07-31 Toshiba Corp 半導体装置およびその製造方法
WO2008123289A1 (ja) * 2007-03-26 2008-10-16 Tokyo Electron Limited 窒化珪素膜および不揮発性半導体メモリ装置
JP2008270706A (ja) * 2007-03-26 2008-11-06 Tokyo Electron Ltd 窒化珪素膜および不揮発性半導体メモリ装置
JP2008277844A (ja) * 2002-03-29 2008-11-13 Tokyo Electron Ltd 絶縁膜の形成方法
JP2009188348A (ja) * 2008-02-08 2009-08-20 Tokyo Electron Ltd 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
JP2009188349A (ja) * 2008-02-08 2009-08-20 Tokyo Electron Ltd 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
US7666793B2 (en) 2002-03-26 2010-02-23 Sony Corporation Method of manufacturing amorphous metal oxide film and methods of manufacturing capacitance element having amorphous metal oxide film and semiconductor device
JP2010538165A (ja) * 2007-09-07 2010-12-09 フジフィルム マニュファクチュアリング ヨーロッパ ビー.ヴィ. 大気圧グロー放電プラズマを用いる原子層堆積の方法及び装置
JPWO2009099252A1 (ja) * 2008-02-08 2011-06-02 東京エレクトロン株式会社 絶縁膜のプラズマ改質処理方法
JP2011119747A (ja) * 2000-03-13 2011-06-16 Foundation For Advancement Of International Science 窒化膜の形成方法、酸窒化膜の形成方法、窒化膜のスパッタリング方法、ゲート絶縁膜の形成方法
US8034179B2 (en) 2008-02-08 2011-10-11 Tokyo Electron Limited Method for insulating film formation, storage medium from which information is readable with computer, and processing system
JP2012227336A (ja) * 2011-04-19 2012-11-15 Mitsubishi Electric Corp 絶縁膜の製造方法
CN109300790A (zh) * 2017-07-24 2019-02-01 格芯公司 具有牺牲多晶硅层的接触蚀刻停止层
US10522343B2 (en) 2014-03-02 2019-12-31 Tokyo Electron Limited Method of enhancing high-k film nucleation rate and electrical mobility in a semiconductor device by microwave plasma treatment

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW477009B (en) * 1999-05-26 2002-02-21 Tadahiro Ohmi Plasma process device
JP4382265B2 (ja) * 2000-07-12 2009-12-09 日本電気株式会社 酸化シリコン膜の形成方法及びその形成装置
JP2002343790A (ja) * 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR100426481B1 (ko) * 2001-06-26 2004-04-13 주식회사 하이닉스반도체 코드 저장 메모리 셀 제조 방법
TW557514B (en) * 2001-08-02 2003-10-11 Tokyo Electron Ltd Method for processing a substrate and material for electronic devices
JP3746968B2 (ja) * 2001-08-29 2006-02-22 東京エレクトロン株式会社 絶縁膜の形成方法および形成システム
US7517751B2 (en) * 2001-12-18 2009-04-14 Tokyo Electron Limited Substrate treating method
US20030124873A1 (en) * 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003282873A (ja) * 2002-03-22 2003-10-03 Sony Corp 半導体装置およびその製造方法
TWI225668B (en) * 2002-05-13 2004-12-21 Tokyo Electron Ltd Substrate processing method
WO2003107382A2 (en) * 2002-06-12 2003-12-24 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
TWI235433B (en) * 2002-07-17 2005-07-01 Tokyo Electron Ltd Oxide film forming method, oxide film forming apparatus and electronic device material
JP2004095918A (ja) * 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及び半導体装置の製造方法
JP2004153037A (ja) * 2002-10-31 2004-05-27 Renesas Technology Corp 半導体装置の製造方法
KR101163429B1 (ko) * 2002-12-02 2012-07-13 고에키자이단호진 고쿠사이카가쿠 신고우자이단 반도체 장치 및 그 제조 방법
JP4320167B2 (ja) * 2002-12-12 2009-08-26 忠弘 大見 半導体素子及びシリコン酸化窒化膜の製造方法
CN100429753C (zh) * 2003-02-06 2008-10-29 东京毅力科创株式会社 等离子体处理方法、半导体基板以及等离子体处理装置
US6822284B2 (en) * 2003-04-16 2004-11-23 Macronix International Co., Ltd. ONO dielectric for memory cells
JP2004356114A (ja) * 2003-05-26 2004-12-16 Tadahiro Omi Pチャネルパワーmis電界効果トランジスタおよびスイッチング回路
CN1316574C (zh) * 2003-06-11 2007-05-16 旺宏电子股份有限公司 Ono介电质及其制造方法
KR100672753B1 (ko) * 2003-07-24 2007-01-22 주식회사 하이닉스반도체 전자트랩을 억제할 수 있는 트렌치형 소자분리막의 형성방법
JP4522916B2 (ja) * 2005-06-27 2010-08-11 東京エレクトロン株式会社 プラズマ窒化処理方法、制御プログラム、コンピュータ記憶媒体およびプラズマ処理装置
US7183143B2 (en) * 2003-10-27 2007-02-27 Macronix International Co., Ltd. Method for forming nitrided tunnel oxide layer
US20060079100A1 (en) * 2004-03-15 2006-04-13 Sharp Laboratories Of America, Inc. High density plasma grown silicon nitride
US7507678B2 (en) 2004-03-26 2009-03-24 Sekesui Chemical Co., Ltd. Method and apparatus for forming oxynitride film and nitride film, oxynitride film, nitride film, and substrate
US20050214456A1 (en) * 2004-03-29 2005-09-29 Donghul Lu Enhanced dielectric layers using sequential deposition
CN100587922C (zh) * 2004-08-31 2010-02-03 东京毅力科创株式会社 氧化硅膜的形成方法及半导体装置的制造方法
KR101084588B1 (ko) * 2004-09-24 2011-11-17 캐논 가부시끼가이샤 유기 el 발광 소자, 그 제조 방법 및 표시 장치
US20060138392A1 (en) * 2004-10-28 2006-06-29 Bowden Ned B Mild methods for generating patterned silicon surfaces
CN101048858B (zh) * 2004-11-04 2010-11-03 东京毅力科创株式会社 绝缘膜形成方法及基板处理方法
US20060113586A1 (en) * 2004-11-29 2006-06-01 Macronix International Co., Ltd. Charge trapping dielectric structure for non-volatile memory
KR100623177B1 (ko) * 2005-01-25 2006-09-13 삼성전자주식회사 높은 유전율을 갖는 유전체 구조물, 이의 제조 방법, 이를포함하는 불휘발성 반도체 메모리 장치 및 그 제조 방법
WO2006098300A1 (ja) 2005-03-16 2006-09-21 Hitachi Kokusai Electric Inc. 基板処理方法及び基板処理装置
JP4689324B2 (ja) * 2005-04-04 2011-05-25 東京エレクトロン株式会社 成膜装置、成膜方法および記録媒体
US20060270066A1 (en) * 2005-04-25 2006-11-30 Semiconductor Energy Laboratory Co., Ltd. Organic transistor, manufacturing method of semiconductor device and organic transistor
JP4734019B2 (ja) * 2005-04-26 2011-07-27 株式会社東芝 半導体記憶装置及びその製造方法
US7785947B2 (en) * 2005-04-28 2010-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device comprising the step of forming nitride/oxide by high-density plasma
JP2006310601A (ja) * 2005-04-28 2006-11-09 Toshiba Corp 半導体装置およびその製造方法
JP5052033B2 (ja) * 2005-04-28 2012-10-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
JP4853857B2 (ja) * 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
KR100648194B1 (ko) * 2005-07-27 2006-11-23 삼성전자주식회사 반도체 장치의 제조 방법
KR100670925B1 (ko) * 2005-08-01 2007-01-19 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US20070065576A1 (en) * 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
JP5283833B2 (ja) * 2005-09-29 2013-09-04 株式会社東芝 半導体装置の製造方法
KR100729354B1 (ko) * 2005-12-07 2007-06-15 삼성전자주식회사 유전막의 전기적 특성 향상을 위한 반도체 소자의 제조방법
EP1818989A3 (en) * 2006-02-10 2010-12-01 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor storage device and manufacturing method thereof
EP1837917A1 (en) 2006-03-21 2007-09-26 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device
TWI416738B (zh) 2006-03-21 2013-11-21 Semiconductor Energy Lab 非揮發性半導體記憶體裝置
KR101488516B1 (ko) * 2006-03-21 2015-02-02 가부시키가이샤 한도오따이 에네루기 켄큐쇼 불휘발성 반도체 기억장치
EP1837900A3 (en) * 2006-03-21 2008-10-15 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device
US7554854B2 (en) * 2006-03-31 2009-06-30 Semiconductor Energy Laboratory Co., Ltd. Method for deleting data from NAND type nonvolatile memory
EP1840947A3 (en) * 2006-03-31 2008-08-13 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device
US8022460B2 (en) * 2006-03-31 2011-09-20 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device
US7786526B2 (en) * 2006-03-31 2010-08-31 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device
US20100024732A1 (en) * 2006-06-02 2010-02-04 Nima Mokhlesi Systems for Flash Heating in Atomic Layer Deposition
US20070281082A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Flash Heating in Atomic Layer Deposition
US20070277735A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Systems for Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
US20070281105A1 (en) * 2006-06-02 2007-12-06 Nima Mokhlesi Atomic Layer Deposition of Oxides Using Krypton as an Ion Generating Feeding Gas
KR100904729B1 (ko) * 2006-06-30 2009-06-26 주식회사 하이닉스반도체 반도체소자의 듀얼 게이트 형성방법
US8344347B2 (en) * 2006-12-15 2013-01-01 Macronix International Co., Ltd. Multi-layer electrode structure
US7687359B2 (en) * 2006-12-22 2010-03-30 Dongbu Hitek Co., Ltd. Method for fabricating flash memory device
JP5138261B2 (ja) 2007-03-30 2013-02-06 東京エレクトロン株式会社 シリコン酸化膜の形成方法、プラズマ処理装置および記憶媒体
JP4963679B2 (ja) * 2007-05-29 2012-06-27 キヤノン株式会社 液体吐出ヘッド用基体及びその製造方法、並びに該基体を用いる液体吐出ヘッド
JP5408930B2 (ja) 2007-08-31 2014-02-05 株式会社半導体エネルギー研究所 半導体装置の作製方法
KR100933835B1 (ko) * 2007-11-12 2009-12-24 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
US7687338B2 (en) * 2007-12-05 2010-03-30 International Business Machines Corporation Method of reducing embedded SiGe loss in semiconductor device manufacturing
JP4611414B2 (ja) 2007-12-26 2011-01-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
KR100950477B1 (ko) * 2008-03-05 2010-03-31 주식회사 하이닉스반도체 전하 트랩층을 갖는 불휘발성 메모리소자의 제조방법
US8807075B2 (en) * 2008-09-22 2014-08-19 Applied Materials, Inc. Shutter disk having a tuned coefficient of thermal expansion
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8524616B2 (en) * 2008-11-12 2013-09-03 Microchip Technology Incorporated Method of nonstoichiometric CVD dielectric film surface passivation for film roughness control
US8236706B2 (en) * 2008-12-12 2012-08-07 Mattson Technology, Inc. Method and apparatus for growing thin oxide films on silicon while minimizing impact on existing structures
CN103270578B (zh) * 2010-12-30 2016-10-26 应用材料公司 使用微波等离子体的薄膜沉积
FR2978867B1 (fr) * 2011-08-01 2014-03-21 St Microelectronics Rousset Resistance ajustable
KR101361673B1 (ko) 2011-10-07 2014-02-12 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 기록 매체
US8741785B2 (en) 2011-10-27 2014-06-03 Applied Materials, Inc. Remote plasma radical treatment of silicon oxide
US8664729B2 (en) * 2011-12-14 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for reduced gate resistance finFET
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN104952734B (zh) * 2015-07-16 2020-01-24 矽力杰半导体技术(杭州)有限公司 半导体结构及其制造方法
JP6742165B2 (ja) * 2016-06-14 2020-08-19 東京エレクトロン株式会社 窒化珪素膜の処理方法および窒化珪素膜の形成方法
JP6419762B2 (ja) * 2016-09-06 2018-11-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR20180033369A (ko) 2016-09-23 2018-04-03 삼성전자주식회사 반도체 장치의 제조 방법
US10276697B1 (en) * 2017-10-27 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance FET with improved reliability performance
JP6839672B2 (ja) 2018-02-06 2021-03-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
DE102020120420A1 (de) 2020-08-03 2022-02-03 VON ARDENNE Asset GmbH & Co. KG Verfahren

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0492423A (ja) 1990-08-08 1992-03-25 Hitachi Ltd 半導体集積回路装置の製造方法
JPH0964307A (ja) * 1995-08-29 1997-03-07 Hitachi Ltd 酸化物薄膜の熱処理方法
US5672252A (en) * 1992-12-01 1997-09-30 Matsushita Electric Industrial Co., Ltd. Method and apparatus for fabrication of dielectric film
JP2000091589A (ja) * 1998-09-16 2000-03-31 Seiko Epson Corp 半導体装置の製造方法
JP2000106439A (ja) * 1998-09-28 2000-04-11 Seiko Epson Corp 薄膜半導体装置の製造方法
JP2000156373A (ja) * 1998-11-19 2000-06-06 Sony Corp Cvd膜の成膜方法
JP2001015507A (ja) * 1999-06-30 2001-01-19 Toshiba Corp シリコン酸化窒化膜の形成方法
EP1071123A1 (en) 1998-03-27 2001-01-24 Tomo Ueno Method for forming film

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US44187A (en) * 1864-09-13 Machine for cutting lacings
JPS5684462A (en) * 1979-12-10 1981-07-09 Shunpei Yamazaki Plasma nitriding method
JPS59105371A (ja) * 1982-12-08 1984-06-18 Hitachi Ltd 不揮撥性半導体装置
JPS61183459A (ja) * 1985-02-07 1986-08-16 Hitachi Ltd 保護膜の形成方法
JPS6251264A (ja) * 1985-08-30 1987-03-05 Hitachi Ltd 薄膜トランジスタの製造方法
JPS62254432A (ja) * 1986-04-28 1987-11-06 Toshiba Corp 表面処理方法及び装置
JP2821138B2 (ja) * 1988-05-27 1998-11-05 株式会社日立製作所 薄膜形成方法及びその装置
US5304829A (en) 1989-01-17 1994-04-19 Kabushiki Kaisha Toshiba Nonvolatile semiconductor device
US5068124A (en) 1989-11-17 1991-11-26 International Business Machines Corporation Method for depositing high quality silicon dioxide by pecvd
JP3029434B2 (ja) * 1989-12-29 2000-04-04 正俊 右高 絶縁膜の製造方法及びこの絶縁膜を使用する半導体装置の製造方法
JPH03229871A (ja) * 1990-02-01 1991-10-11 Toagosei Chem Ind Co Ltd 絶縁膜の製造方法及びこの絶縁膜を使用する半導体装置の製造方法
US5268320A (en) 1990-12-26 1993-12-07 Intel Corporation Method of increasing the accuracy of an analog circuit employing floating gate memory devices
JP2764472B2 (ja) * 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
JPH053319A (ja) * 1991-06-25 1993-01-08 Canon Inc 薄膜半導体装置及びその製造方法
JPH05267684A (ja) * 1992-03-18 1993-10-15 Rohm Co Ltd 不揮発性記憶素子
JP3295133B2 (ja) * 1992-07-30 2002-06-24 シャープ株式会社 非晶質半導体の製造方法
JPH06192827A (ja) * 1992-12-28 1994-07-12 Canon Inc 堆積膜及びその形成方法
JP2786071B2 (ja) * 1993-02-17 1998-08-13 日本電気株式会社 半導体装置の製造方法
JPH0714803A (ja) * 1993-06-24 1995-01-17 Kawasaki Steel Corp Al薄膜の形成方法
JP2640910B2 (ja) * 1993-07-14 1997-08-13 株式会社フロンテック 電子素子およびその製造方法
JP3413276B2 (ja) * 1994-04-20 2003-06-03 東京エレクトロン株式会社 薄膜の形成方法
JPH0851164A (ja) * 1994-08-08 1996-02-20 Matsushita Electron Corp 不揮発性半導体記憶装置およびその製造方法
JPH08115912A (ja) * 1994-10-14 1996-05-07 Nippon Telegr & Teleph Corp <Ntt> 窒化ケイ素薄膜の作製方法
JP3586332B2 (ja) * 1995-02-28 2004-11-10 新日本製鐵株式会社 不揮発性半導体記憶装置及びその製造方法
US5707888A (en) * 1995-05-04 1998-01-13 Lsi Logic Corporation Oxide formed in semiconductor substrate by implantation of substrate with a noble gas prior to oxidation
JPH0955351A (ja) * 1995-08-15 1997-02-25 Sony Corp 半導体装置の製造方法
US5891809A (en) 1995-09-29 1999-04-06 Intel Corporation Manufacturable dielectric formed using multiple oxidation and anneal steps
JPH09172081A (ja) * 1995-12-19 1997-06-30 Sony Corp 半導体装置の製造方法
JPH09205155A (ja) * 1996-01-25 1997-08-05 Sony Corp 半導体記憶装置の製造方法
JP3802945B2 (ja) * 1996-02-01 2006-08-02 株式会社ルネサステクノロジ 不揮発性半導体記憶装置の製造方法
JPH09223752A (ja) * 1996-02-16 1997-08-26 Hitachi Ltd 不揮発性半導体記憶装置の製造方法
US6110842A (en) 1996-06-07 2000-08-29 Texas Instruments Incorporated Method of forming multiple gate oxide thicknesses using high density plasma nitridation
JPH1060655A (ja) * 1996-08-22 1998-03-03 Canon Inc 薄膜形成方法および装置
US5939763A (en) 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
JPH1098038A (ja) * 1996-09-19 1998-04-14 Sony Corp 半導体装置におけるシリコン酸化膜の形成方法
KR100282413B1 (ko) * 1996-10-24 2001-03-02 김영환 아산화질소 가스를 이용한 박막 형성 방법
GB2319533B (en) * 1996-11-22 2001-06-06 Trikon Equip Ltd Methods of forming a barrier layer
JPH10237662A (ja) * 1996-12-24 1998-09-08 Sony Corp 金属膜のプラズマcvd方法、および金属窒化物膜の形成方法ならびに半導体装置
WO1998033362A1 (fr) 1997-01-29 1998-07-30 Tadahiro Ohmi Dispositif a plasma
US6287988B1 (en) * 1997-03-18 2001-09-11 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method, semiconductor device manufacturing apparatus and semiconductor device
US6461984B1 (en) 1997-03-18 2002-10-08 Korea Advanced Institute Of Science & Technology Semiconductor device using N2O plasma oxide and a method of fabricating the same
JP3191745B2 (ja) * 1997-04-23 2001-07-23 日本電気株式会社 薄膜トランジスタ素子及びその製造方法
US6040216A (en) * 1997-08-11 2000-03-21 Mosel Vitelic, Inc. Method (and device) for producing tunnel silicon oxynitride layer
JPH11162970A (ja) * 1997-11-25 1999-06-18 Sony Corp 酸化膜の形成方法
JP3838397B2 (ja) * 1997-12-02 2006-10-25 忠弘 大見 半導体製造方法
JPH11204517A (ja) * 1998-01-12 1999-07-30 Sony Corp シリコン酸化膜の形成方法、及びシリコン酸化膜形成装置
JPH11219950A (ja) * 1998-02-03 1999-08-10 Hitachi Ltd 半導体集積回路の製造方法並びにその製造装置
JPH11340321A (ja) * 1998-05-27 1999-12-10 Sony Corp 半導体装置およびその製造方法
US20020009861A1 (en) * 1998-06-12 2002-01-24 Pravin K. Narwankar Method and apparatus for the formation of dielectric layers
US6727148B1 (en) * 1998-06-30 2004-04-27 Lam Research Corporation ULSI MOS with high dielectric constant gate insulator
JP3513018B2 (ja) * 1998-06-30 2004-03-31 株式会社東芝 半導体装置及びその製造方法
JP2000223439A (ja) * 1999-01-27 2000-08-11 Sony Corp 半導体装置及びその製造方法
KR100745495B1 (ko) 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
JP4119029B2 (ja) * 1999-03-10 2008-07-16 東京エレクトロン株式会社 半導体装置の製造方法
JP3326718B2 (ja) * 1999-03-19 2002-09-24 富士通株式会社 半導体装置の製造方法
JP3736189B2 (ja) * 1999-03-30 2006-01-18 セイコーエプソン株式会社 SiO2膜の形成方法、薄膜トランジスタデバイスの製造方法、及び液晶表示装置
JP2000332009A (ja) * 1999-05-25 2000-11-30 Sony Corp 絶縁膜の形成方法及びp形半導体素子の製造方法
JP2001044375A (ja) 1999-07-29 2001-02-16 Fujitsu Ltd 半導体装置およびその製造方法
US6380033B1 (en) 1999-09-20 2002-04-30 Advanced Micro Devices, Inc. Process to improve read disturb for NAND flash memory devices
US6337289B1 (en) * 1999-09-24 2002-01-08 Applied Materials. Inc Method and apparatus for integrating a metal nitride film in a semiconductor device
KR20010066386A (ko) * 1999-12-31 2001-07-11 박종섭 플래시 메모리의 게이트전극 제조방법
US6297103B1 (en) 2000-02-28 2001-10-02 Micron Technology, Inc. Structure and method for dual gate oxide thicknesses
EP1265279B1 (en) * 2000-03-13 2009-10-14 OHMI, Tadahiro Method of fabricating a flash memory device
JP4056195B2 (ja) 2000-03-30 2008-03-05 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0492423A (ja) 1990-08-08 1992-03-25 Hitachi Ltd 半導体集積回路装置の製造方法
US5672252A (en) * 1992-12-01 1997-09-30 Matsushita Electric Industrial Co., Ltd. Method and apparatus for fabrication of dielectric film
JPH0964307A (ja) * 1995-08-29 1997-03-07 Hitachi Ltd 酸化物薄膜の熱処理方法
EP1071123A1 (en) 1998-03-27 2001-01-24 Tomo Ueno Method for forming film
JP2000091589A (ja) * 1998-09-16 2000-03-31 Seiko Epson Corp 半導体装置の製造方法
JP2000106439A (ja) * 1998-09-28 2000-04-11 Seiko Epson Corp 薄膜半導体装置の製造方法
JP2000156373A (ja) * 1998-11-19 2000-06-06 Sony Corp Cvd膜の成膜方法
JP2001015507A (ja) * 1999-06-30 2001-01-19 Toshiba Corp シリコン酸化窒化膜の形成方法

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011119747A (ja) * 2000-03-13 2011-06-16 Foundation For Advancement Of International Science 窒化膜の形成方法、酸窒化膜の形成方法、窒化膜のスパッタリング方法、ゲート絶縁膜の形成方法
JP4746269B2 (ja) * 2001-08-31 2011-08-10 エーエスエム インターナショナル エヌ.ヴェー. 低温度におけるゲートスタック製造方法
JP2005509287A (ja) * 2001-08-31 2005-04-07 エーエスエム インターナショナル エヌ.ヴェー. 低温度におけるゲートスタック製造方法
JP2003105534A (ja) * 2001-09-27 2003-04-09 Ulvac Japan Ltd 光学薄膜の成膜方法及び成膜装置
JP4727102B2 (ja) * 2001-09-27 2011-07-20 株式会社アルバック 光学薄膜の成膜方法及び成膜装置
JP2003115587A (ja) * 2001-10-03 2003-04-18 Tadahiro Omi <110>方位のシリコン表面上に形成された半導体装置およびその製造方法
US7666793B2 (en) 2002-03-26 2010-02-23 Sony Corporation Method of manufacturing amorphous metal oxide film and methods of manufacturing capacitance element having amorphous metal oxide film and semiconductor device
JP2008277844A (ja) * 2002-03-29 2008-11-13 Tokyo Electron Ltd 絶縁膜の形成方法
US6983649B2 (en) 2002-11-01 2006-01-10 Pacific Industrial Co., Ltd. Tire condition monitoring apparatus
JP2005303074A (ja) * 2004-04-13 2005-10-27 Renesas Technology Corp 薄膜形成装置および薄膜形成方法
JP2008510319A (ja) * 2004-08-18 2008-04-03 東京エレクトロン株式会社 プラズマ処理を用いて高誘電率層を有するゲート誘電体積層体を改善する方法及びシステム
KR101432766B1 (ko) 2006-05-26 2014-08-21 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 그 제작방법
TWI421981B (zh) * 2006-05-26 2014-01-01 Semiconductor Energy Lab 非揮發性半導體記憶體裝置和其製造方法,半導體裝置和其製造方法,和絕緣膜的製造方法
US7955995B2 (en) 2006-05-26 2011-06-07 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device and manufacturing method thereof, semiconductor device and manufacturing method thereof, and manufacturing method of insulating film
WO2007138937A1 (en) * 2006-05-26 2007-12-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US9231070B2 (en) 2006-05-26 2016-01-05 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device and manufacturing method thereof, semiconductor device and manufacturing method thereof, and manufacturing method of insulating film
JP2008010480A (ja) * 2006-06-27 2008-01-17 Toshiba Corp 半導体装置およびその製造方法
JP2008042189A (ja) * 2006-07-14 2008-02-21 Semiconductor Energy Lab Co Ltd 不揮発性メモリ
JP2008177492A (ja) * 2007-01-22 2008-07-31 Toshiba Corp 半導体装置およびその製造方法
WO2008123289A1 (ja) * 2007-03-26 2008-10-16 Tokyo Electron Limited 窒化珪素膜および不揮発性半導体メモリ装置
JP2008270706A (ja) * 2007-03-26 2008-11-06 Tokyo Electron Ltd 窒化珪素膜および不揮発性半導体メモリ装置
JP2007251204A (ja) * 2007-05-28 2007-09-27 Tokyo Electron Ltd 絶縁膜の形成方法
JP4562751B2 (ja) * 2007-05-28 2010-10-13 東京エレクトロン株式会社 絶縁膜の形成方法
JP2010538165A (ja) * 2007-09-07 2010-12-09 フジフィルム マニュファクチュアリング ヨーロッパ ビー.ヴィ. 大気圧グロー放電プラズマを用いる原子層堆積の方法及び装置
JP2009188349A (ja) * 2008-02-08 2009-08-20 Tokyo Electron Ltd 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
US8034179B2 (en) 2008-02-08 2011-10-11 Tokyo Electron Limited Method for insulating film formation, storage medium from which information is readable with computer, and processing system
JP2009188348A (ja) * 2008-02-08 2009-08-20 Tokyo Electron Ltd 絶縁膜の形成方法、コンピュータ読み取り可能な記憶媒体および処理システム
JPWO2009099252A1 (ja) * 2008-02-08 2011-06-02 東京エレクトロン株式会社 絶縁膜のプラズマ改質処理方法
JP2012227336A (ja) * 2011-04-19 2012-11-15 Mitsubishi Electric Corp 絶縁膜の製造方法
US10522343B2 (en) 2014-03-02 2019-12-31 Tokyo Electron Limited Method of enhancing high-k film nucleation rate and electrical mobility in a semiconductor device by microwave plasma treatment
CN109300790A (zh) * 2017-07-24 2019-02-01 格芯公司 具有牺牲多晶硅层的接触蚀刻停止层
CN109300790B (zh) * 2017-07-24 2021-11-02 格芯(美国)集成电路科技有限公司 具有牺牲多晶硅层的接触蚀刻停止层

Also Published As

Publication number Publication date
US20040152263A1 (en) 2004-08-05
US6838394B2 (en) 2005-01-04
US20040121538A1 (en) 2004-06-24
JP2011119747A (ja) 2011-06-16
EP1265279A1 (en) 2002-12-11
US7026681B2 (en) 2006-04-11
WO2001069673A1 (fr) 2001-09-20
US7109083B2 (en) 2006-09-19
JP5479437B2 (ja) 2014-04-23
EP1265276A4 (en) 2005-07-13
JP2013239749A (ja) 2013-11-28
ATE514181T1 (de) 2011-07-15
US7001855B2 (en) 2006-02-21
KR20020086638A (ko) 2002-11-18
EP1912253A2 (en) 2008-04-16
US20020025691A1 (en) 2002-02-28
KR100760078B1 (ko) 2007-09-18
EP1265276A1 (en) 2002-12-11
US6998355B2 (en) 2006-02-14
JP2013077823A (ja) 2013-04-25
EP1265276B1 (en) 2011-06-22
EP1265279B1 (en) 2009-10-14
JP5386515B2 (ja) 2014-01-15
EP1912253A3 (en) 2009-12-30
KR20030009386A (ko) 2003-01-29
KR100833406B1 (ko) 2008-05-28
US20040171216A1 (en) 2004-09-02
JP4987206B2 (ja) 2012-07-25
US20030148568A1 (en) 2003-08-07
TW498544B (en) 2002-08-11
US6846753B2 (en) 2005-01-25
TW527683B (en) 2003-04-11
JP4966466B2 (ja) 2012-07-04
DE60140179D1 (de) 2009-11-26
US20030148629A1 (en) 2003-08-07
JP2012089855A (ja) 2012-05-10
US6551948B2 (en) 2003-04-22
US6669825B2 (en) 2003-12-30
US20020040847A1 (en) 2002-04-11
US20030137007A1 (en) 2003-07-24
US20040150031A1 (en) 2004-08-05
EP1265279A4 (en) 2004-11-24
US6998354B2 (en) 2006-02-14

Similar Documents

Publication Publication Date Title
WO2001069665A1 (fr) Procede de formation de pellicule dielectrique
US7585729B2 (en) Method of manufacturing a non-volatile memory device
JP2002261097A (ja) 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
JP2002261091A (ja) 半導体装置およびその製造方法
TW201030172A (en) Method for depositing silicon nitride film, computer-readable storage medium, and plasma cvd device
JP4358503B2 (ja) 不揮発性半導体記憶装置の製造方法
JP4358504B2 (ja) 不揮発性半導体記憶装置の製造方法
KR20070058725A (ko) 불휘발성 메모리 소자의 제조 방법

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR US

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2001 567029

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 2001912316

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020027011935

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2001912316

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020027011935

Country of ref document: KR