WO2002003426A3 - Process for the post etch stripping of photoresist with hydrogen - Google Patents

Process for the post etch stripping of photoresist with hydrogen Download PDF

Info

Publication number
WO2002003426A3
WO2002003426A3 PCT/US2001/019175 US0119175W WO0203426A3 WO 2002003426 A3 WO2002003426 A3 WO 2002003426A3 US 0119175 W US0119175 W US 0119175W WO 0203426 A3 WO0203426 A3 WO 0203426A3
Authority
WO
WIPO (PCT)
Prior art keywords
photoresist
hydrogen
wafer
stripping
plasma
Prior art date
Application number
PCT/US2001/019175
Other languages
French (fr)
Other versions
WO2002003426A2 (en
Inventor
Ting Chien
Janet M Flanner
Ian Morey
Original Assignee
Lam Res Corp
Ting Chien
Janet M Flanner
Ian Morey
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp, Ting Chien, Janet M Flanner, Ian Morey filed Critical Lam Res Corp
Priority to JP2002507412A priority Critical patent/JP5183850B2/en
Priority to KR1020027018042A priority patent/KR100778260B1/en
Priority to AU2001271312A priority patent/AU2001271312A1/en
Priority to EP01950303A priority patent/EP1295315A2/en
Publication of WO2002003426A2 publication Critical patent/WO2002003426A2/en
Publication of WO2002003426A3 publication Critical patent/WO2002003426A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only

Abstract

Method for stripping photoresist from a semiconductor wafer including a layer of organosilicate dielectric. The method introduces a flow of hydrogen-containing gas to the wafer, and uses the hydrogen-containing gas to form a plasma in proximity with at least a portion of the wafer. The plasma is used to strip at least a portion of the photoresist from the wafer. Where the stripping of the photoresist from the semiconductor wafer is performed subsequent to an etching step performed on the wafer in an etch apparatus, the present invention in turn enables the stripping of the photoresist in situ within the etch apparatus. A surprising result of the present invention is that dramatically elevated concentrations of hydrogen gas not only enable high throughput strip rates, but that the utilization of these highly concentrated hydrogen gas mixtures can be performed in safety.
PCT/US2001/019175 2000-06-30 2001-06-13 Process for the post etch stripping of photoresist with hydrogen WO2002003426A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2002507412A JP5183850B2 (en) 2000-06-30 2001-06-13 Method for stripping a photoresist from a semiconductor wafer having a layer of an organosilicate dielectric
KR1020027018042A KR100778260B1 (en) 2000-06-30 2001-06-13 Process for the post etch stripping of photoresist with hydrogen
AU2001271312A AU2001271312A1 (en) 2000-06-30 2001-06-13 Post etch photoresist strip with hydrogen for organosilicate glass low-k etch applications
EP01950303A EP1295315A2 (en) 2000-06-30 2001-06-13 Process for the post etch stripping of photoresist with hydrogen

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/608,251 US6426304B1 (en) 2000-06-30 2000-06-30 Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US09/608,251 2000-06-30

Publications (2)

Publication Number Publication Date
WO2002003426A2 WO2002003426A2 (en) 2002-01-10
WO2002003426A3 true WO2002003426A3 (en) 2002-06-06

Family

ID=24435679

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/019175 WO2002003426A2 (en) 2000-06-30 2001-06-13 Process for the post etch stripping of photoresist with hydrogen

Country Status (8)

Country Link
US (1) US6426304B1 (en)
EP (1) EP1295315A2 (en)
JP (1) JP5183850B2 (en)
KR (1) KR100778260B1 (en)
CN (1) CN1319133C (en)
AU (1) AU2001271312A1 (en)
TW (1) TWI281688B (en)
WO (1) WO2002003426A2 (en)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6967173B2 (en) * 2000-11-15 2005-11-22 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup processs for low dielectric constant materials
US7179751B2 (en) * 2001-10-11 2007-02-20 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup process for low dielectric constant materials
US6951823B2 (en) * 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6849559B2 (en) * 2002-04-16 2005-02-01 Tokyo Electron Limited Method for removing photoresist and etch residues
CN1682353A (en) * 2002-09-18 2005-10-12 马特森技术公司 System and method for removing material
US6693043B1 (en) 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
US6913994B2 (en) * 2003-04-09 2005-07-05 Agency For Science, Technology And Research Method to form Cu/OSG dual damascene structure for high performance and reliable interconnects
TWI235455B (en) * 2003-05-21 2005-07-01 Semiconductor Leading Edge Tec Method for manufacturing semiconductor device
JP2005064037A (en) * 2003-08-12 2005-03-10 Shibaura Mechatronics Corp Plasma treatment apparatus and ashing method
US7202177B2 (en) * 2003-10-08 2007-04-10 Lam Research Corporation Nitrous oxide stripping process for organosilicate glass
JP2008502150A (en) * 2004-06-03 2008-01-24 エピオン コーポレーション Improved dual damascene integrated structure and method of manufacturing the same
US20070193602A1 (en) * 2004-07-12 2007-08-23 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7029992B2 (en) * 2004-08-17 2006-04-18 Taiwan Semiconductor Manufacturing Company Low oxygen content photoresist stripping process for low dielectric constant materials
US7598176B2 (en) * 2004-09-23 2009-10-06 Taiwan Semiconductor Manufacturing Co. Ltd. Method for photoresist stripping and treatment of low-k dielectric material
US7514725B2 (en) * 2004-11-30 2009-04-07 Spire Corporation Nanophotovoltaic devices
US7202176B1 (en) 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7700494B2 (en) * 2004-12-30 2010-04-20 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US20070032081A1 (en) 2005-08-08 2007-02-08 Jeremy Chang Edge ring assembly with dielectric spacer ring
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
US7585722B2 (en) * 2006-01-10 2009-09-08 International Business Machines Corporation Integrated circuit comb capacitor
KR100827435B1 (en) * 2006-01-31 2008-05-06 삼성전자주식회사 Method of fabricating gate with oxygen free ashing process in semiconductor device
JP5339679B2 (en) * 2006-09-28 2013-11-13 富士フイルム株式会社 High melting point compound removal method and equipment, solvent recovery method and equipment, solution casting method and equipment
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
JP2009049383A (en) * 2007-07-26 2009-03-05 Panasonic Corp Manufacturing apparatus of semiconductor device and manufacturing method for semiconductor device
CN101458463B (en) * 2007-12-13 2011-08-17 中芯国际集成电路制造(上海)有限公司 Ashing method
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
JP5770740B2 (en) 2009-12-11 2015-08-26 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Method and apparatus for improving the passivation process to protect silicon prior to high dose implant strips
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
JP5434970B2 (en) 2010-07-12 2014-03-05 セントラル硝子株式会社 Dry etchant
US8119522B1 (en) 2010-11-08 2012-02-21 International Business Machines Corporation Method of fabricating damascene structures
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
CN102709229A (en) * 2012-05-22 2012-10-03 上海华力微电子有限公司 Method for forming W plug
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US11164727B2 (en) 2019-07-18 2021-11-02 Beijing E-town Semiconductor Technology Co., Ltd. Processing of workpieces using hydrogen radicals and ozone gas

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5958798A (en) * 1997-12-18 1999-09-28 Advanced Micro Devices, Inc. Borderless vias without degradation of HSQ gap fill layers
WO2000010199A1 (en) * 1998-08-17 2000-02-24 Lam Research Corporation Low capacitance dielectric layer etching using hydrogen-nitrogen plasma
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6080529A (en) * 1997-12-12 2000-06-27 Applied Materials, Inc. Method of etching patterned layers useful as masking during subsequent etching or for damascene structures

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04120729A (en) * 1990-09-12 1992-04-21 Hitachi Ltd Method of resist etching
US5624582A (en) * 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
JPH10144633A (en) * 1996-11-08 1998-05-29 Mitsubishi Electric Corp Manufacture of semiconductor device
JPH11145241A (en) * 1997-11-06 1999-05-28 Toshiba Corp Multi-chamber system and wafer detection
JPH11150101A (en) * 1997-11-18 1999-06-02 Nec Corp Manufacture of semiconductor device
JPH11251294A (en) * 1998-02-27 1999-09-17 Sony Corp Manufacturing semiconductor device
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6235640B1 (en) * 1998-09-01 2001-05-22 Lam Research Corporation Techniques for forming contact holes through to a silicon layer of a substrate
JP2000106358A (en) * 1998-09-29 2000-04-11 Mitsubishi Electric Corp Semiconductor manufacturing apparatus and method for processing semiconductor substrate
JP2000150463A (en) * 1998-11-16 2000-05-30 Canon Inc Method for etching organic interlayer insulating film
JP2000164569A (en) * 1998-11-25 2000-06-16 Nec Corp Manufacture of semiconductor device
US6281135B1 (en) * 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6080529A (en) * 1997-12-12 2000-06-27 Applied Materials, Inc. Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US5958798A (en) * 1997-12-18 1999-09-28 Advanced Micro Devices, Inc. Borderless vias without degradation of HSQ gap fill layers
WO2000010199A1 (en) * 1998-08-17 2000-02-24 Lam Research Corporation Low capacitance dielectric layer etching using hydrogen-nitrogen plasma
US6030901A (en) * 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
LOUIS D ET AL: "Post etch cleaning of low-k dielectric materials for advanced interconnects: characterization and process optimization", MICROELECTRONIC ENGINEERING, ELSEVIER PUBLISHERS BV., AMSTERDAM, NL, vol. 41-42, 1 March 1998 (1998-03-01), pages 415 - 418, XP004111746, ISSN: 0167-9317 *
NGUYEN D ET AL: "FORMING GAS RESIST STRIP PROCESS FOR USE WITH NON-ETCHBACK LOW K SPIN-ON POLYMERS", VLSI MULTILEVEL INTERCONNECTION CONFERENCE PROCEEDINGS, XX, XX, 1996, pages 147, XP000923061 *

Also Published As

Publication number Publication date
AU2001271312A1 (en) 2002-01-14
TWI281688B (en) 2007-05-21
CN1449574A (en) 2003-10-15
JP5183850B2 (en) 2013-04-17
WO2002003426A2 (en) 2002-01-10
EP1295315A2 (en) 2003-03-26
KR20030024717A (en) 2003-03-26
US6426304B1 (en) 2002-07-30
CN1319133C (en) 2007-05-30
KR100778260B1 (en) 2007-11-22
JP2004502319A (en) 2004-01-22

Similar Documents

Publication Publication Date Title
WO2002003426A3 (en) Process for the post etch stripping of photoresist with hydrogen
US5611888A (en) Plasma etching of semiconductors
US8394722B2 (en) Bi-layer, tri-layer mask CD control
US6777344B2 (en) Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
JP2006013190A (en) Method of manufacturing semiconductor device
WO2006020344A1 (en) Method for stripping photoresist from etched wafer
JP2004096117A (en) Projecting spacers for self-aligning contact
WO2004109772A3 (en) Method and system for etching a high-k dielectric material
US5908735A (en) Method of removing polymer of semiconductor device
KR970063521A (en) Dry etching method for patterning high melting point metal layers with improved etch rate, anisotropy, and selectivity to silicon oxide
KR960035858A (en) Method for forming tapered openings in silicon
US6069087A (en) Highly selective dry etching process
WO2004073025A2 (en) Methods of reducing photoresist distortion while etching in a plasma processing system
US20020168865A1 (en) Etch of silicon nitride selective to silicon and silicon dioxide useful during the formation of a semiconductor device
KR101711647B1 (en) Method for dielectric material removal between conductive lines
US20030153193A1 (en) Etching method
TW200616063A (en) Low-k dielectric etch
KR100342393B1 (en) a removing method of a photoresist pattern for a semiconductor device
US20020132478A1 (en) Method for selectively etching silicon and/or metal silicides
KR970013027A (en) Gate electrode formation method of semiconductor device
KR980012091A (en) Method for forming polycides of semiconductor devices
KR950025875A (en) Method for manufacturing metal contact vias in semiconductor devices
KR100850082B1 (en) Method for forming bonding pad on fabricating a semiconductor device
US20060086690A1 (en) Dielectric etching method to prevent photoresist damage and bird's beak
JP2003007690A (en) Etching method and method for manufacturing semiconductor device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
AK Designated states

Kind code of ref document: A3

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWE Wipo information: entry into national phase

Ref document number: 1020027018042

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2001950303

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 018148913

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2001950303

Country of ref document: EP

Ref document number: 1020027018042

Country of ref document: KR