WO2002005281A9 - A high speed dram architecture with uniform access latency - Google Patents

A high speed dram architecture with uniform access latency

Info

Publication number
WO2002005281A9
WO2002005281A9 PCT/CA2001/000949 CA0100949W WO0205281A9 WO 2002005281 A9 WO2002005281 A9 WO 2002005281A9 CA 0100949 W CA0100949 W CA 0100949W WO 0205281 A9 WO0205281 A9 WO 0205281A9
Authority
WO
WIPO (PCT)
Prior art keywords
memory
read
write
word line
memory device
Prior art date
Application number
PCT/CA2001/000949
Other languages
French (fr)
Other versions
WO2002005281A3 (en
WO2002005281A2 (en
Inventor
Paul Demone
Original Assignee
Mosaid Technologies Inc
Paul Demone
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from CA002313954A external-priority patent/CA2313954A1/en
Priority to CA2414920A priority Critical patent/CA2414920C/en
Priority to AU2001270400A priority patent/AU2001270400A1/en
Priority to JP2002508799A priority patent/JP2004502267A/en
Priority to KR1020037000245A priority patent/KR100816915B1/en
Priority to CNB018124275A priority patent/CN1307647C/en
Priority to EP01949156A priority patent/EP1307884A2/en
Application filed by Mosaid Technologies Inc, Paul Demone filed Critical Mosaid Technologies Inc
Publication of WO2002005281A2 publication Critical patent/WO2002005281A2/en
Publication of WO2002005281A3 publication Critical patent/WO2002005281A3/en
Publication of WO2002005281A9 publication Critical patent/WO2002005281A9/en
Priority to US10/336,850 priority patent/US6711083B2/en
Priority to US10/804,182 priority patent/US6891772B2/en
Priority to US11/101,413 priority patent/US7012850B2/en
Priority to US11/367,589 priority patent/US7450444B2/en
Priority to US12/249,413 priority patent/US7751262B2/en
Priority to US12/785,051 priority patent/US8045413B2/en
Priority to US13/237,202 priority patent/US8503250B2/en

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/408Address circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/403Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells with charge regeneration common to a multiplicity of memory cells, i.e. external refresh
    • G11C11/405Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells with charge regeneration common to a multiplicity of memory cells, i.e. external refresh with three charge-transfer gates, e.g. MOS transistors, per cell
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/406Management or control of the refreshing or charge-regeneration cycles
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/4076Timing circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/408Address circuits
    • G11C11/4087Address decoders, e.g. bit - or word line decoders; Multiple line decoders
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/409Read-write [R-W] circuits 
    • G11C11/4091Sense or sense/refresh amplifiers, or associated sense circuitry, e.g. for coupled bit-line precharging, equalising or isolating
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/409Read-write [R-W] circuits 
    • G11C11/4096Input/output [I/O] data management or control circuits, e.g. reading or writing circuits, I/O drivers or bit-line switches 
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/409Read-write [R-W] circuits 
    • G11C11/4097Bit-line organisation, e.g. bit-line layout, folded bit lines
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1015Read-write modes for single port memories, i.e. having either a random port or a serial port
    • G11C7/1039Read-write modes for single port memories, i.e. having either a random port or a serial port using pipelining techniques, i.e. using latches between functional memory parts, e.g. row/column decoders, I/O buffers, sense amplifiers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/22Read-write [R-W] timing or clocking circuits; Read-write [R-W] control signal generators or management 
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/10Decoders
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/18Address timing or clocking circuits; Address control signal generation or management, e.g. for row address strobe [RAS] or column address strobe [CAS] signals
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2207/00Indexing scheme relating to arrangements for writing information into, or reading information out from, a digital store
    • G11C2207/22Control and timing of internal memory operations
    • G11C2207/2281Timing of a read operation
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2207/00Indexing scheme relating to arrangements for writing information into, or reading information out from, a digital store
    • G11C2207/22Control and timing of internal memory operations
    • G11C2207/229Timing of a write operation

Definitions

  • a HIGH SPEED DRAM ARCHITECTURE WITH UNIFORM ACCESS LATENCY The present invention relates generally to high-speed DRAM architectures, and specifically to timing of read, write and refresh operations.
  • DRAM Dynamic Random Access Memory
  • SRAM Static Random Access Memory
  • DRAM architectures minimize the number signal pins on memory devices by multiplexing address lines between the row and column components of the address.
  • the two dimensional nature of DRAM array organization has always been an inherent part of the interface between memory control or logic and DRAM memory devices.
  • a first class comprises bank accesses.
  • a bank access consists of a row open command followed by a column access. Referring to Figure la, a timing diagram for a bank access is illustrated.
  • a second class comprises page accesses.
  • a page access consists of a column access to a row left open by a previous row open or bank access command.
  • page accesses are typically faster than bank accesses.
  • Figure lb a timing diagram for a page access is illustrated.
  • the efficacy of page accesses in reducing average latency is due to the statistical spatial locality in the memory access patterns of many computing and communication applications. That is, there is a strong probability that consecutive memory accesses will target the same row.
  • DRAM architectures that explicitly divide each memory device into two or more equal size regions referred to as banks.
  • the intention of this architectural enhancement is to partially reduce the overhead of row accesses by allowing the overlap of memory accesses to one bank, while the other bank is engaged in a row open or close operation.
  • a system implementing a multi-bank architecture is well-known in the industry and is illustrated generally in Figure 2a by the numeral 200.
  • a timing diagram for such a system is illustrated in Figure 2b.
  • Each memory cell MC is connected to two bit lines, BL1 and BL2, through access transistors Nl and N2 respectively.
  • This cell architecture allows simultaneous access of memory cell MC through one access transistor and its associated bit line, for example Nl and BL1, while BL2, associated with the other access transistor N2, undergoes precharge and equalization. As a result, a second access can occur via N2 without any delay to precharge bit line BL2.
  • this architecture can completely hide the overhead associated with closing rows and precharging and equalizing the bit lines.
  • the main drawback of this scheme is the greatly reduced bit density within the DRAM array due to the doubling of the number of access transistors and bit lines per memory cell as compared to conventional DRAM designs.
  • such a system also uses an open bit line architecture which is undesirable due to its susceptibility to unmatched noise coupling to bit line pairs. • It is an object of the present invention to obviate and mitigate the above mentioned disadvantages.
  • a Dynamic Random Access Memory for performing read, write, and refresh operations.
  • the DRAM includes a plurality of sub-arrays, each having a plurality of memory cells, each of which is coupled with a complementary bit line pair and a word line.
  • the DRAM further includes a word line enable device for asserting a selected one of the word lines and a column select device for asserting a selected one of the bit line pairs.
  • a timing circuit is provided for controlling the word line enable device, the column select device, and the read, write, and refresh operations in response to a word line timing pulse. The read, write, and refresh operation are performed in the same amount of time
  • Figure la is a timing diagram for a memory bank access
  • Figure lb is a timing diagram for a memory page access
  • Figure 2a is a simplified block diagram illustrating a multi-bank memory architecture (prior art).
  • Figure 2b is a timing diagram for the system illustrated in Figure 2a;
  • Figure 3a is a schematic diagram of a dual-port memory architecture (prior art).
  • Figure 3b is a timing diagram illustrating read and write operations for the dual- port architecture illustrated in Figure 3 a;
  • Figure 4 is a graph comparing a conventional DRAM cell (prior art) with a
  • FIG. 5 is a block diagram of a general memory architecture in accordance with an embodiment of the present invention.
  • Figure 6 is a conceptual schematic illustrating the memory address fields and their coverage
  • Figure 7 is a timing and pipeline flow diagram illustrating the operation of the architecture illustrated in Figure 6;
  • Figure 8 is a timing and pipeline flow diagram illustrating the ability of the circuit illustrated in Figure 6 to both read and write on a single command
  • Figure 9 is a functional block diagram illustrating the memory architecture illustrated in Figure 6;
  • Figure 10a is a timing diagram illustrating the timing for the functional blocks illustrated in Figure 9;
  • Figure 10b is a timing diagram illustrating the activation of the word line timing pulse in cases where a sub-array is selected and unselected;
  • Figure 11a is a timing diagram illustrating the minimum timing requirements for bit line equalization and precharge and access time;
  • Figure lib is a timing diagram illustrating the benefit of a circuit operating at better than minimal conditions;
  • Figure 12a is a timing and pipeline flow diagram for an asynchronous embodiment of the memory architecture illustrated in Figure 6;
  • Figure 12b is a timing and pipeline flow diagram for an embodiment that requires two clock cycles for a sub-array access;
  • Figure 13a is a timing and pipeline flow diagram for an embodiment that requires one clock cycle for sub-array access and has a one clock cycle latency
  • Figure 13b is a timing and pipeline flow diagram for an embodiment that requires one clock cycle for sub-array access and has a three clock cycle latency.
  • a DRAM architecture is optimized for high speed performance regardless of the address pattern of consecutive memory access operations. Every read, write or refresh operation has the same timing. This differs from traditional DRAM architectures in which operation timing depends on the value of the target address as well as the history of previous memory operations.
  • Achieving the same access timing for all memory commands is accomplished by performing a complete row access operation for every read, write and refresh command received.
  • the complete row access operation includes word line assertion, memory cell readout, bit line sensing, cell content restoration, word line deassertion, and bit line equalization and precharge.
  • the following description illustrates the implementation details that permit memory devices or memory macro blocks fabricated using conventional DRAM process technology to perform data accesses with latency and cycle times similar to page accesses performed by conventionally architected DRAMs.
  • the present architecture is not dependent on the pattern in which the memory is accessed, as is the previous technology.
  • the key implementation details of the present embodiment of the invention include, but are not limited to, physical organization, operation sequencing and overlap, signal levels, clocking, and timing generation techniques.
  • the present embodiment describes an implementation that performs an entire DRAM array access within one period of a synchronous interface clock signal and can accept a new command every clock period.
  • a person skilled in the art will appreciate that other relationships between memory operations and interface clock timing are possible. Furthermore, under certain circumstances, other timing relationships may even be desirable, without departing from the scope of the present invention.
  • FIG. 500 the general architecture of a memory according to an embodiment of the invention is illustrated generally by numeral 500.
  • a magnified portion of one of the areas in the memory 500 is illustrated generally by numeral 501.
  • a DRAM device or memory macro block 502 comprises a plurality of equally sized, relatively small rectangular DRAM sub-arrays 504 built with a folded bit line architecture. To limit the delay introduced by bit line isolation devices, adjacent sub- arrays 504 do not share sense amplifier devices. Rather, adjacent subarrays 504 have separate, dedicated sense amplifiers 506.
  • the sub-array 504 is organized with approximately one quarter the number of physical memory cells per bit line than would conventionally be designed for a DRAM in the same process technology.
  • the use of fewer physical memory cells per bit line reduces bit line capacitance, which, in turn, reduces the ratio of bit line capacitance to memory cell capacitance.
  • the voltage differential on a bit line is given by the expression:
  • VBL (VcELL - V BL ) * CcELL / (C B L+C C ELL).
  • V CELL can also be attenuated while still achieving the same bit line voltage differential ⁇ V BL -
  • This ratio reduction permits memory cells storing attenuated charge levels to more rapidly achieve bit line voltage differentials similar to those of conventionally designed DRAMS, as will be described in detail below. This further permits memory cell restoration or a write portion of a row access to be terminated prior to the cell reaching a full voltage level of VDD or VSS under slow conditions (high temperature, low voltage, slow process) while achieving robust read sensing with standard sense amplifier circuit designs.
  • FIG. 400 and 450 graphs illustrating the time required to charge a conventional DRAM cell and a DRAM cell in accordance with the invention are illustrated generally by numerals 400 and 450 respectively.
  • the conventional DRAM has 256 cells per bit line segment.
  • V BL P of V DD /2 with a 95% charge level as a worst case scenario
  • the cell voltage is approximately 0.025 VDD for a storing logic "0".
  • the cell voltage is approximately 0.975 VDD.
  • bit line pairs per array The number of bit line pairs per array is limited in order to achieve very rapid signal propagation across the sub-array for a given word line, thereby limiting timing skew.
  • the architecture can use relatively wide sub-arrays if the word lines are appropriately strapped with metal interconnect at sufficiently close intervals. This limits the word line propagation delay introduced by RC parasitics.
  • the word lines and bit line pairs are interleaved. That is, the word lines are driven by word line drivers on alternate sides of a sub-array and the bit lines are connected to sense amplifier and equalization circuitry on alternate sides of the sub-array.
  • Each sub-array 602 comprises an array of word lines 604 and bit line pairs 606.
  • a row (or X) decoder 608 selects the word lines and a column (or Y) decoder 610 selects the bit line pairs.
  • the column (or Y) decoder 610 decodes the N least significant bits of a memory address 612 to select a column address.
  • the row (or X) decoder decodes the M next most significant bits of the memory address 612 to select a row address.
  • the LA most significant bits of the memory address 612 are used for generating local enable signals for appropriate sub-array selection.
  • a first segment 612a of the memory address 612 comprises the N least significant bits for addressing an individual word within a row. Therefore, there are 2 N words contained in each word line. The length of a word is denoted as W. Therefore, each word line controls access to W * 2 N bits in each row. For a refresh operation an entire row is selected, so the N least significant bits are essentially ignored or treated as "don't cares" for this command.
  • a second segment 612b of the memory address 612 comprises the next M more significant bits for addressing a word line within a sub-array.
  • the number of word lines per sub-array is 2 M .
  • M 7 and therefore each sub-array has 128 word lines, not including redundant row elements (not shown).
  • a third segment 612c of the memory address 612 comprises the LA most significant bits, which are used to address a particular sub-array within the memory.
  • a complete memory device or macro block consists of A sub-arrays.
  • the default quiescent state for all DRAM sub-arrays is all word lines kept at logic low and all bit lines and data lines equalized and precharged at a predetermined precharge voltage level.
  • Read, write and refresh operations affect only the sub-array addressed by the LA most significant bits 612c within the memory address 612.
  • the A sub-arrays within a memory device or macro block are addressed by the values 0,1,...A- 1. Only the addressed sub-array is accessed during an operation. All other sub-arrays remain in the default quiescent state.
  • Read, write and refresh commands cause a row operation within the addressed sub-array using the word line selected by the value of the M bits in the middle segment 612b of the memory address 612. Read and write operations access the word selected by the N least significant bits 612a of the memory address 612.
  • FIG. 7 a timing and pipeline flow diagram illustrating the general operation of two read commands and one write command for the above-described implementation of the memory architecture is shown, hi this particular implementation, the command, address, and write data inputs are sampled on the rising edge of a synchronous interface clock CLK and new commands can be issued on every consecutive clock rising edge.
  • a first read command RDl initiates a read READl on address Al on a first rising edge of the clock CLK.
  • a second read command RD2 initiates a read READ2 on address A2.
  • a write command WR3 initiates a write WRITE3 for writing the data WD3 present at the data input to the memory cell at address A3 on a third and subsequent clock rising edge.
  • Data READ DATA 1 and READ DATA 2 accessed by the read commands are output to a data output line after a two-cycle read latency.
  • a complete row access operation is performed in response to each command sampled.
  • the commands can be input on every rising edge of the clock by being overlapped.
  • the word line deassertion and bit line equalization and precharge of command READ 1 is overlapped with the address and command decoding, row redundancy address comparison, and signal propagation of command READ2, when the two commands are issued back to back on consecutive clock cycles.
  • the precharge portion of the READ 2 command operates concurrently with the decode portion of the write 3 command.
  • Each of the precharge and equalization operations are shown at the end of the operation for illustrating that it can overlap the setup for another command.
  • the precharge and equalize operation is shown conceptually tacked on to the previous read operation because logically, the precharge and equalize function is the final operation of the previous command required to bring the subarray back into a stand-by state.
  • the rising clock edge is synchronized with the appropriate precharge and equalize step for that particular command.
  • the READ2 command is sampled on the second clock edge and its associated precharge and equalize is also sampled at this same time, i.e. at the beginning of the second clock cycle.
  • a timing and pipeline flow diagram illustrating the capability of supporting simultaneous read and write operations to the same address within one system clock cycle is represented generally by numeral 800.
  • a simultaneous read and write operation is useful in some data processing applications as it allows data to be stored in memory to be forwarded to a subsequent load from the same address.
  • the prior art requires a separate, external bypass path from the memory data in and data out pins or pads.
  • data VALUE X presented at a data input is written to a selected address ADDR1.
  • ADDR1 Towards the end of the time allotted for a row access, the data VALUE X written to the address ADDR1 is sampled and presented at a data output.
  • the data VALUE X is available at the data output after a two-cycle latency, the same latency as for the read, write, and refresh operations.
  • control circuit elements and data path elements for a sub-array are illustrated generally by nume al 900.
  • the general timing of operations on a selected sub-array is based on a single master timing reference signal, referred to as a word line timing pulse (WTP;).
  • WTP word line timing pulse
  • a target address is input to an address register 902.
  • An operation command is input to a register/decoder 903.
  • Both the address register 902 and the register/decoder 903 are clocked by the synchronous interface clock signal CLK.
  • the register/decoder 903 generates a READ, WRITE, or REFRESH internal command signal depending on the external command received.
  • the output of the address register 902 is sent to a plurality of address decoders 904.
  • a first decoder 904a decodes the N least significant bits of the input address for generating a global column select signal or Y-address.
  • a second decoder 904b decodes the M next significant bits for generating a predecoded X-address.
  • a third decoder decodes the N least significant bits of the input address for generating a global column select signal or Y-address.
  • a fourth decoder 904d decodes a sub-array goup.
  • a sub-array group shares the same data lines, read data register/multiplexer and write buffer, which will be discussed in more detail below.
  • the LA most significant bits of the address select a group of sub- arrays and a sub-array within that group.
  • OR-gate 906 The read, write, and refresh signals are combined by OR-gate 906.
  • the output of OR- gate 906 is input to a plurality of AND-gates 908 for generating the word line timing pulse WTPj.
  • the word line timing pulse WTPj is generated locally for each sub-array. Therefore, the AND-gate 908 has the sub-array select signal as a further input and the output of the AND-gate 908 can only be asserted if the associated sub-array is selected by the sub-array select signal.
  • Another input to the AND-gate 908 is the clock signal CLK delayed by delay D 1.
  • the output of the AND-gate 908 is an S-input to an SR flip-flop 910.
  • An R-input to the SR flip-flop 910 is generated by combining the clock signal CLK with the inverse of the clock signal CLK delayed by delay Dl via an AND-gate 912.
  • the inverse of the signal provided at the R input of the SR flip-flop 910 is also used as an additional input to the AND-gate 908 for ensuring that the S and R inputs of the SR flip-flop are never both equal to one.
  • the output of the SR flip-flop 910 is the word line timing pulse WTPj for the i sub-array.
  • the word line timing pulse WTP is logically combined with the predecoded X addresses from predecoder 904b via a plurality of AND-gates 911.
  • the output of AND-gates 911 is a word line enable signal WL for enabling the selected word line.
  • the word line timing pulse WTPi is further coupled to a bit line equalization circuit 913 via an inverter 915 for equalizing and precharging the bit-line pairs to a bit line precharge voltage V BLP when the WTPj is low.
  • the inverted signal is referred to as bit line equalization signal BLEQ.
  • the word line timing pulse WTPj is further combined with a delayed version of itself via AND-gate 914 for providing a sense amplifier power supply enable signal 916.
  • the sense amplifier power supply enable signal 916 powers sense amplifiers SAP for providing power to the PMOS devices of bit-line sense amplifiers and SAN for providing power to the NMOS devices of bit-line sense amplifiers.
  • the word line timing pulse WTP* is delayed by delay element D3.
  • the sense amplifier enable signal 916 enables the sense amplifier power supply for powering the sense amplifiers across the bit line pairs for the selected sub-array.
  • the sense amplifier power supply enable signal 916 is further delayed by delay element D4 for generating a column select enable signal CSE.
  • the column select enable signal CSE is combined with the global column select address signals from column decoder 904a via an AND-gate 918 associated with that particular sub-array.
  • the output of AND-gate 918 provides a local column select signal LCSL.
  • the local column select signal LCSL enables the appropriate bit line pair via a column access device for either a read, write or refresh operation.
  • An AND-gate 920 combines the group select signal, the clock signal CLK, and the clock signal delayed by delay D2.
  • the output of AND-gate 920 is a read-write active signal RWACTTVE.
  • Signal RWACTIVE is inverted by inverter 922 for gating serially coupled data line precharge and equalize transistors 924 for precharging a pair of data lines 926 to a data line precharge voltage V DLP when the sub-array is not selected.
  • the RWACTTVE signal is also combined with the WRITE signal by AND-gate 928.
  • the output of AND-gate 928 enables a write buffer 930 for driving received input data onto the pair of data lines 926.
  • the input to the write buffer 930 is received from a D- type flip-flop 932, which receives external input data as its input and is clocked by the clock signal CLK.
  • the RW ACTIVE signal is further combined with the inverse of the read signal and the clock signal CLK via a NOR-gate 934.
  • the output of NOR-gate 934 is a read sample clock signal RSAMPCLK for enabling a differential D type flip- flop 936 for reading data present on the pair of data lines 926.
  • the output of the differential D type flip-flop 936 is coupled to a word-size multiplexer 938.
  • the multiplexer 938 is shown in a conceptual format, but in a physical implementation, it is constructed using a distributed multiplexer configuration.
  • An enable to the word-size multiplexer 938 is provided from the output of a D flip-flop 940.
  • the input to the D flip-flop 940 is the group select signal, and the D flip-flop 940 is clocked by clock signal
  • FIG 10a a timing diagram for the timing of associated signals in figure 9 for a read operation is illustrated generally by numeral 1000.
  • the operation of the circuit is described as follows with reference to both figures 9 and 10.
  • the word line timing pulse WTPi is held logic low when the memory is idle. When WTPi is low, all word lines are low and the bit lines and data lines within the sub-array are actively held in an equalized and precharged state.
  • Each sub-array has a dedicated WTP; signal which is selected through sub-array selection gates 908.
  • the WTP; signal associated with a selected sub-array is asserted after a fixed delay period from the rising edge of the clock that samples a valid command at the memory interface.
  • WTPj stays high during the remainder of the clock period until it is unconditionally reset by the next rising edge of the clock.
  • WTPi acts as a gating signal for the regular and redundant (not shown) word line drivers.
  • the word line within the sub-array selected by the sampled address rises and falls with it.
  • the rising edge of WTPi also drives self-timed circuitry for enabling the bit line sense amplifiers and local column select access devices. Referring once again to figure 10, after a programmable preset delay Dl, the word line timing pulse WTPi goes high, causing the bit line equalization signal BLEQ and the word line signal WL to go high.
  • delays Dl, D2, D3, D4 are all implemented using a novel delay circuit described in MOSAID co-pending application no. 09/616,973 (herein incorporated by reference).
  • the RW ACTIVE signal is asserted, causing the signal RSAMPCLK signal to go high, h response to the assertion of the word line signal WL, a voltage differential begins to develop across the bit line pair.
  • the sense amplifier power supply signals SAP, SAN are asserted, amplifying the voltage differential across the bit line pair.
  • the local column select signal LSCL is asserted, thereby selecting a column from which data is to be transferred.
  • the local column select signal LCSL data is transferred from the selected column to an associated pair of data lines.
  • a delayed version RSAMPCLK of the input clock signal CLK provides complementary sampling inputs to a set of H word-sized differential input D type flip-flops 936, which are also connected to the data lines 926 for a group of one or more sub-arrays.
  • the D type flip-flops are preferably those described in co-pending MOSAID patent application no. PCT/CA00/00879 filed on My 30, 2000 and herein incorporated by reference.
  • RSAMPCLK latches the sampling clock inputs to the read data flip flops 936 which capture the read data at the end of the row access operation.
  • the output of the read data flip-flops 936 for the sub-array group containing the accessed sub-array is routed through a multiplexer network 938 for selecting the final output data from the appropriate sub-array group before being presented to the device pins or macro pins.
  • a multiplexer network 938 for selecting the final output data from the appropriate sub-array group before being presented to the device pins or macro pins.
  • the self-timed circuitry turns off the data line equalization and precharge circuitry 924 through the logic low output from inverter 922. It enables the write buffer 930 by providing a logic high from the output of AND-gate 928 to drive the write data sampled at the interface to the data lines 926.
  • Column access devices within a sub- array are controlled by local column select signals LCSL generated by AND-gates 918 as previously mentioned.
  • bit line sensing and enabling of the column access devices Precise control of the relative timing between bit line sensing and enabling of the column access devices is important for performing write operations.
  • all memory cells associated with that particular word line will be accessed and the stored data will be transferred via word line access transistors to the respective bit lines.
  • all sense amplifiers associated with the selected sub- array will begin to sense the data on all of their associated bit lines (for ensuring data integrity within unselected bit lines within the row), hi conventional DRAMs, for a write operation, once a particular column has been selected, the write drivers will overwrite the bit line sense amplifier sensed data.
  • the self-timed nature of the present invention allows for a very tight control between the timing of the word line activation, the bit line sense amplifier activation, the write driver activation and the column select activation.
  • the WTP; signal is self-timed from the clock signal CLK, through delay Dl, gate 912 and flip/flop 910.
  • the same self-timed signal 916 generated by gate 914 is then used to drive delay D4 and gates 918 which are therefore self-timed from the activation of the sense amplifiers and will be activated precisely at the same time after the bit line sense amplifiers have been activated.
  • write drivers 930 are also activated through self-timed circuitry formed by delay D2 and gate 920 and 928. In this manner, write drivers can more rapidly reverse an opposite phase logic state on bit lines to which they are writing to than in conventional DRAM implementations.
  • a timing diagram for generating the WTP is illustrated generally by numeral 1050. If the sub-array is active, or selected, the S input of the SR flip-flop 910 goes high. Consequently, the WTPj goes high and begins the sequence of control operations required for the command. The WTPi is reset to low at the next rising edge of the clock. This situation is illustrated as case 1.
  • Refreshing the memory contents of the device or macro block 502 is controlled by an external memory controller.
  • the external memory controller organizes the refresh pattern and timing in an optimum manner for a particular application. However, each cell should be refreshed at least once in a predefined refresh interval. The refresh interval is dependent on the implementation and technology used.
  • the memory controller issues A * 2 M refresh commands, one to each row address, no less than once every maximum refresh interval.
  • Refresh commands operate on an entire row of cells at one time within one sub-array and treat the N least significant bits 612a of the memory address 612 as "don't cares".
  • the DRAM architecture and circuits which embody the present invention described above are targeted for a plurality of high performance applications.
  • the architecture and circuits of the present invention replace the dual access class model of traditional DRAM architectures.
  • the memory interface does not include a concept of row state.
  • Without a row state there is no subdivision of memory capacity into banks, nor are there commands to explicitly open and close rows.
  • the architecture supports and requires read, write, and refresh commands. The latency and cycle-time of these operations are therefore constant and do not depend on the value of the input address.
  • the initial conditions for all operations are all word lines precharged low and all bit lines and data lines equalized and precharged to a precharge voltage. Each memory operation performs a complete row access operation and subsequent bit line and data line equalization and precharge. This greatly simplifies the design of the external memory controller since it no longer needs to track open banks.
  • the external memory controller does not need to check the address of each read or write operation to choose the appropriate DRAM command sequence to carry out the operation.
  • the memory controller has to determine if the memory address it wants to access will hit an open page of a bank, a closed bank, or a bank open to a different page.
  • a very large capacity DRAM implemented using the architecture described above may employ one or more extra pipeline register stages in the read data or write data internal paths within the memory. This may be done in order to increase the maximum clock of the memory or to increase the read data to clock set up time available to the external memory controller. The situation is similar for a DRAM with a very high degree of decimation into many sub- arrays.
  • the present embodiment of the invention provides extra row and column elements within each memory cell sub-array for redundancy-based repair of some types of manufacturing defects.
  • this practice slightly increases the size of a sub-array and introduces small delays in memory access. This is due to slower sub-array operations and the need to compare an input address against a list of defective addresses before asserting a word line driver in the case of row redundancy or a column in the case of column redundancy.
  • the timing sequences described in the present embodiment can remove some or all of the row address redundancy comparison delay component of the memory cycle time by overlapping it with the bit line equalization and pre-charge at the beginning of a row cycle.
  • an alternate possibility is to exclude redundant elements from a sub-array altogether and instead equip the memory device or macro block with a surplus of sub-arrays for the purpose of repair by redundant substitution of defective sub-arrays.
  • Column redundancy is implemented by placing multiplexers (not shown in Figure 9) between the sub-array group data lines 926 and sampling flip flops 936/write buffers 930 for allowing substitution of redundant column elements for regular data elements, hi addition, complementary redundant element data line pairs can be substituted for complementary regular data line pairs either singularly or as part of larger groups.
  • Data line equalization and precharge circuitry is located on the memory array side of the data line redundancy multiplexers for minimizing the time necessary for performing this operation.
  • the practice of performing bit line pre-charge and equalization in a first portion of a row cycle followed by a WTP; initiated timing sequence for accessing a selected row has several advantages over conventional embodiments.
  • the delay element Dl used for delaying the assertion of the word line timing pulse (WTPj) after the rising edge of the input clock, is designed to generate the minimum necessary duration during which WTPi is low. This minimum necessary low duration of the WTPi is designed to ensure adequate bit line equalization and pre-charge under worst case conditions of process variation and supply voltage and device temperature. As a result, the word line timing pulse WTPj is as precise as possible.
  • FIG. 1 la a timing diagram illustrating this correlation between the delay element Dl and bit line equalization is illustrated.
  • the maximum clock rate of the memory is set by the necessary WTPi high duration under worst case conditions to reliably perform a row access and read or write operation.
  • the fraction of the clock period consumed by the WTPj low period, and therefore bit line equalization and precharge between consecutive operations, is a maximum for memory operation at a maximum clock rate under worst case delay conditions of process, voltage and temperature.
  • the present embodiment also describes a system using a synchronous interface that accepts and performs commands at a rate of one command per period of the interface input clock.
  • a synchronous interface that accepts and performs commands at a rate of one command per period of the interface input clock.
  • FIG. 12a A timing diagram for an asynchronous interface is illustrated in Figure 12a.
  • a synchronous interface that stretches sub-array access across two or more periods of the interface clock is also possible. Referring to Figure 12b, a timing diagram for such an embodiment is illustrated. In yet another alternate embodiment, a synchronous interface that performs operations at the rate of one per clock cycle with read data latency of one clock cycle is possible. Such an embodiment is illustrated in Figure 13 a.
  • a synchronous interface that performs operations at the rate of one per clock cycle with read data latency of three or more clock cycles is implemented. Such an embodiment is illustrated in Figure 13B.

Abstract

A Dynamic Random Access Memory (DRAM) performs read, write, and refresh operations. The DRAM includes a plurality of sub-arrays, each having a plurality of memory cells, each of which is coupled with a complementary bit line pair and a word line. The DRAM further includes a word line enable device for asserting a selected one of the word lines and a column select device for asserting a selected one of the bit line pairs. A timing circuit is provided for controlling the word line enable device, the column select device, and the read, write, and refresh operations in response to a word line timing pulse. The read, write, and refresh operation are performed in the same amount of time.

Description

A HIGH SPEED DRAM ARCHITECTURE WITH UNIFORM ACCESS LATENCY The present invention relates generally to high-speed DRAM architectures, and specifically to timing of read, write and refresh operations.
BACKGROUND OF THE INVENTION
Traditionally, the design of commodity of Dynamic Random Access Memory (DRAM) devices is more focused on achieving low cost-per-bit through high aggregate bit density than on achieving higher memory performance. The reason for this is the cell capacity of a two dimensional memory array increases quadratically with scaling, while the overhead area of bit line sense amplifiers, word line drivers, and row address (or x- address) and column address (or y-address) decoders increase linearly with scaling. Therefore, the design emphasis focus on memory density has resulted in commodity DRAMs being designed having sub-arrays as large as practically possible, despite its strongly deleterious effect on the time needed to perform cell readout, bit line sensing, cell restoration and bit line equalization and precharge. As a result, the relatively low performance of traditional DRAM architectures as compared to Static Random Access Memory (SRAM) has generally limited its use to large capacity, high density, cost sensitive applications where performance is secondary.
Furthermore, traditional DRAM architectures minimize the number signal pins on memory devices by multiplexing address lines between the row and column components of the address. As a result, the two dimensional nature of DRAM array organization has always been an inherent part of the interface between memory control or logic and DRAM memory devices.
The advent of synchronous interface DRAM technologies such as SDRAM, direct RAMBUS, and double data rate (DDR) SDRAM has replaced the separate row and column control signals of asynchronous interface DRAM technologies, such as fast page mode (FPM) and extended data output (EDO), with encoded commands. However, the traditional two-dimensional logical addressing organization of previous architectures has been retained. An early attempt at increasing DRAM performance by minimizing the latency and cycle time impact of slow row access operations due to the use of large cell arrays led to the creation of two different classes of memory operations, both of which are well-known in the industry. A first class comprises bank accesses. A bank access consists of a row open command followed by a column access. Referring to Figure la, a timing diagram for a bank access is illustrated. A second class comprises page accesses. A page access consists of a column access to a row left open by a previous row open or bank access command. As a result, page accesses are typically faster than bank accesses. Referring to Figure lb, a timing diagram for a page access is illustrated. The efficacy of page accesses in reducing average latency is due to the statistical spatial locality in the memory access patterns of many computing and communication applications. That is, there is a strong probability that consecutive memory accesses will target the same row.
A further refinement of such a dual memory access class scheme is the creation of
DRAM architectures that explicitly divide each memory device into two or more equal size regions referred to as banks. The intention of this architectural enhancement is to partially reduce the overhead of row accesses by allowing the overlap of memory accesses to one bank, while the other bank is engaged in a row open or close operation. A system implementing a multi-bank architecture is well-known in the industry and is illustrated generally in Figure 2a by the numeral 200. A timing diagram for such a system is illustrated in Figure 2b.
A fundamental problem with all of these schemes is the retention of the system of two classes of memory accesses to partially compensate for the slow row access associated with large DRAM arrays. Many real time applications, such as digital signal processors, are limited by worst-case memory performance. These systems cannot tolerate differences in memory access timing as a function of the particular address patterns of consecutive accesses. Even performance optimized embedded DRAM macro block designs strongly tend to retain the dual access class paradigm of commodity DRAM architectures. Referring to figure 3 a, an additional attempt at increasing the performance of DRAM with the use of a dual-port architecture is illustrated generally by numeral 300. The dual ported architecture is a more recent advancement in DRAM architecture for achieving higher performance. Each memory cell MC is connected to two bit lines, BL1 and BL2, through access transistors Nl and N2 respectively. This cell architecture allows simultaneous access of memory cell MC through one access transistor and its associated bit line, for example Nl and BL1, while BL2, associated with the other access transistor N2, undergoes precharge and equalization. As a result, a second access can occur via N2 without any delay to precharge bit line BL2.
By alternating back and forth between the two access transistors and their respective bit lines, this architecture can completely hide the overhead associated with closing rows and precharging and equalizing the bit lines. However, the main drawback of this scheme is the greatly reduced bit density within the DRAM array due to the doubling of the number of access transistors and bit lines per memory cell as compared to conventional DRAM designs. Furthermore, such a system also uses an open bit line architecture which is undesirable due to its susceptibility to unmatched noise coupling to bit line pairs. • It is an object of the present invention to obviate and mitigate the above mentioned disadvantages.
SUMMARY OF THE INVENTION hi accordance with an aspect of the present invention, there is provided a Dynamic Random Access Memory (DRAM) for performing read, write, and refresh operations. The DRAM includes a plurality of sub-arrays, each having a plurality of memory cells, each of which is coupled with a complementary bit line pair and a word line. The DRAM further includes a word line enable device for asserting a selected one of the word lines and a column select device for asserting a selected one of the bit line pairs. A timing circuit is provided for controlling the word line enable device, the column select device, and the read, write, and refresh operations in response to a word line timing pulse. The read, write, and refresh operation are performed in the same amount of time
BRIEF DESCRIPTION OF THE DRAWINGS Embodiments of the present invention will now be described by way of example only with reference to the following drawing in which:
Figure la is a timing diagram for a memory bank access;
Figure lb is a timing diagram for a memory page access;
Figure 2a is a simplified block diagram illustrating a multi-bank memory architecture (prior art);
Figure 2b is a timing diagram for the system illustrated in Figure 2a;
Figure 3a is a schematic diagram of a dual-port memory architecture (prior art);
Figure 3b is a timing diagram illustrating read and write operations for the dual- port architecture illustrated in Figure 3 a; Figure 4 is a graph comparing a conventional DRAM cell (prior art) with a
DRAM cell in accordance with an embodiment of the present invention;
Figure 5 is a block diagram of a general memory architecture in accordance with an embodiment of the present invention;
Figure 6 is a conceptual schematic illustrating the memory address fields and their coverage;
Figure 7 is a timing and pipeline flow diagram illustrating the operation of the architecture illustrated in Figure 6;
Figure 8 is a timing and pipeline flow diagram illustrating the ability of the circuit illustrated in Figure 6 to both read and write on a single command; Figure 9 is a functional block diagram illustrating the memory architecture illustrated in Figure 6;
Figure 10a is a timing diagram illustrating the timing for the functional blocks illustrated in Figure 9;
Figure 10b is a timing diagram illustrating the activation of the word line timing pulse in cases where a sub-array is selected and unselected; Figure 11a is a timing diagram illustrating the minimum timing requirements for bit line equalization and precharge and access time; Figure lib is a timing diagram illustrating the benefit of a circuit operating at better than minimal conditions; Figure 12a is a timing and pipeline flow diagram for an asynchronous embodiment of the memory architecture illustrated in Figure 6; Figure 12b is a timing and pipeline flow diagram for an embodiment that requires two clock cycles for a sub-array access;
Figure 13a is a timing and pipeline flow diagram for an embodiment that requires one clock cycle for sub-array access and has a one clock cycle latency; and
Figure 13b is a timing and pipeline flow diagram for an embodiment that requires one clock cycle for sub-array access and has a three clock cycle latency.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A DRAM architecture is optimized for high speed performance regardless of the address pattern of consecutive memory access operations. Every read, write or refresh operation has the same timing. This differs from traditional DRAM architectures in which operation timing depends on the value of the target address as well as the history of previous memory operations.
Achieving the same access timing for all memory commands is accomplished by performing a complete row access operation for every read, write and refresh command received. The complete row access operation includes word line assertion, memory cell readout, bit line sensing, cell content restoration, word line deassertion, and bit line equalization and precharge. The following description illustrates the implementation details that permit memory devices or memory macro blocks fabricated using conventional DRAM process technology to perform data accesses with latency and cycle times similar to page accesses performed by conventionally architected DRAMs. However, the present architecture is not dependent on the pattern in which the memory is accessed, as is the previous technology. The key implementation details of the present embodiment of the invention include, but are not limited to, physical organization, operation sequencing and overlap, signal levels, clocking, and timing generation techniques. The present embodiment describes an implementation that performs an entire DRAM array access within one period of a synchronous interface clock signal and can accept a new command every clock period. However, a person skilled in the art will appreciate that other relationships between memory operations and interface clock timing are possible. Furthermore, under certain circumstances, other timing relationships may even be desirable, without departing from the scope of the present invention.
Referring to Figure 5, the general architecture of a memory according to an embodiment of the invention is illustrated generally by numeral 500. A magnified portion of one of the areas in the memory 500 is illustrated generally by numeral 501. A DRAM device or memory macro block 502 comprises a plurality of equally sized, relatively small rectangular DRAM sub-arrays 504 built with a folded bit line architecture. To limit the delay introduced by bit line isolation devices, adjacent sub- arrays 504 do not share sense amplifier devices. Rather, adjacent subarrays 504 have separate, dedicated sense amplifiers 506.
Additionally, in the present embodiment the sub-array 504 is organized with approximately one quarter the number of physical memory cells per bit line than would conventionally be designed for a DRAM in the same process technology. The use of fewer physical memory cells per bit line reduces bit line capacitance, which, in turn, reduces the ratio of bit line capacitance to memory cell capacitance. The voltage differential on a bit line is given by the expression:
ΔVBL = (VcELL - VBL) * CcELL / (CBL+CCELL).
Therefore, if the bit line capacitance CBL is decreased, then VCELL can also be attenuated while still achieving the same bit line voltage differential ΔVBL- This ratio reduction permits memory cells storing attenuated charge levels to more rapidly achieve bit line voltage differentials similar to those of conventionally designed DRAMS, as will be described in detail below. This further permits memory cell restoration or a write portion of a row access to be terminated prior to the cell reaching a full voltage level of VDD or VSS under slow conditions (high temperature, low voltage, slow process) while achieving robust read sensing with standard sense amplifier circuit designs.
Referring to figure 4a and 4b, graphs illustrating the time required to charge a conventional DRAM cell and a DRAM cell in accordance with the invention are illustrated generally by numerals 400 and 450 respectively. For the purpose of this example, the conventional DRAM has 256 cells per bit line segment. For precharge voltage VBLP of VDD/2 with a 95% charge level as a worst case scenario, the cell voltage is approximately 0.025 VDD for a storing logic "0". For storing a logic "1", the cell voltage is approximately 0.975 VDD. Alternately, using partial charge storage levels in accordance with the invention allows for a worst case scenario of 60%, yielding a voltage of 0.20VDD for storing a logic "0", and 0.80VDD for a storing logic "1". Therefore, for a cell restoration or write, using 60% of the charge level as an acceptable charge storage level, only one time constant τ is necessary to reach the required level vs. approximately 3 time constants 3τ required for conventional DRAM.
The number of bit line pairs per array is limited in order to achieve very rapid signal propagation across the sub-array for a given word line, thereby limiting timing skew. In order to compensate for this relatively small number of bit lines per array, the architecture can use relatively wide sub-arrays if the word lines are appropriately strapped with metal interconnect at sufficiently close intervals. This limits the word line propagation delay introduced by RC parasitics. Although not specifically shown in figure 5 for simplicity, the word lines and bit line pairs are interleaved. That is, the word lines are driven by word line drivers on alternate sides of a sub-array and the bit lines are connected to sense amplifier and equalization circuitry on alternate sides of the sub-array.
Referring to figure 6, a memory address field and its mapping are illustrated generally by numeral 600. Each sub-array 602 comprises an array of word lines 604 and bit line pairs 606. A row (or X) decoder 608 selects the word lines and a column (or Y) decoder 610 selects the bit line pairs. The column (or Y) decoder 610 decodes the N least significant bits of a memory address 612 to select a column address. The row (or X) decoder decodes the M next most significant bits of the memory address 612 to select a row address. The LA most significant bits of the memory address 612 are used for generating local enable signals for appropriate sub-array selection. A first segment 612a of the memory address 612 comprises the N least significant bits for addressing an individual word within a row. Therefore, there are 2N words contained in each word line. The length of a word is denoted as W. Therefore, each word line controls access to W * 2N bits in each row. For a refresh operation an entire row is selected, so the N least significant bits are essentially ignored or treated as "don't cares" for this command.
A second segment 612b of the memory address 612 comprises the next M more significant bits for addressing a word line within a sub-array. The number of word lines per sub-array is 2M. According to one embodiment of the invention, M = 7 and therefore each sub-array has 128 word lines, not including redundant row elements (not shown).
A third segment 612c of the memory address 612 comprises the LA most significant bits, which are used to address a particular sub-array within the memory. A complete memory device or macro block consists of A sub-arrays. LA is the smallest integer such that 2LA is greater than or equal to A. Therefore, the total capacity of the memory is (W*2N)*(2M)*A = A * W * 2(M + N) bits. Furthermore, the memory interface uses an address size of LA + M + N bits. According to one embodiment of the invention, N = 3, M = 7, A = 104, LA = 7, and W = 24. Therefore, 17 address bits are used for identifying one out of 106,496 24-bit words and the memory has a total capacity of 2,555,904 bits.
The default quiescent state for all DRAM sub-arrays is all word lines kept at logic low and all bit lines and data lines equalized and precharged at a predetermined precharge voltage level. Read, write and refresh operations affect only the sub-array addressed by the LA most significant bits 612c within the memory address 612. The A sub-arrays within a memory device or macro block are addressed by the values 0,1,...A- 1. Only the addressed sub-array is accessed during an operation. All other sub-arrays remain in the default quiescent state. Read, write and refresh commands cause a row operation within the addressed sub-array using the word line selected by the value of the M bits in the middle segment 612b of the memory address 612. Read and write operations access the word selected by the N least significant bits 612a of the memory address 612.
Referring to Figure 7, a timing and pipeline flow diagram illustrating the general operation of two read commands and one write command for the above-described implementation of the memory architecture is shown, hi this particular implementation, the command, address, and write data inputs are sampled on the rising edge of a synchronous interface clock CLK and new commands can be issued on every consecutive clock rising edge. A first read command RDl initiates a read READl on address Al on a first rising edge of the clock CLK. Similarly, on a second and subsequent clock rising edge, a second read command RD2 initiates a read READ2 on address A2. Subsequently, a write command WR3 initiates a write WRITE3 for writing the data WD3 present at the data input to the memory cell at address A3 on a third and subsequent clock rising edge. Data READ DATA 1 and READ DATA 2 accessed by the read commands are output to a data output line after a two-cycle read latency.
As can be seen from Figure 7, in accordance with one embodiment of the invention, a complete row access operation is performed in response to each command sampled. Although the row access operation takes longer than a single system clock period, the commands can be input on every rising edge of the clock by being overlapped. For example, the word line deassertion and bit line equalization and precharge of command READ 1 is overlapped with the address and command decoding, row redundancy address comparison, and signal propagation of command READ2, when the two commands are issued back to back on consecutive clock cycles. Similarly, the precharge portion of the READ 2 command operates concurrently with the decode portion of the write 3 command.
Each of the precharge and equalization operations are shown at the end of the operation for illustrating that it can overlap the setup for another command. The precharge and equalize operation is shown conceptually tacked on to the previous read operation because logically, the precharge and equalize function is the final operation of the previous command required to bring the subarray back into a stand-by state. However, in the actual implementation, the rising clock edge is synchronized with the appropriate precharge and equalize step for that particular command. For example in Figure 7, the READ2 command is sampled on the second clock edge and its associated precharge and equalize is also sampled at this same time, i.e. at the beginning of the second clock cycle.
Referring to Figure 8, a timing and pipeline flow diagram illustrating the capability of supporting simultaneous read and write operations to the same address within one system clock cycle is represented generally by numeral 800. A simultaneous read and write operation is useful in some data processing applications as it allows data to be stored in memory to be forwarded to a subsequent load from the same address. Typically, the prior art requires a separate, external bypass path from the memory data in and data out pins or pads. On the rising edge of the clock signal CLK, data VALUE X presented at a data input is written to a selected address ADDR1. Towards the end of the time allotted for a row access, the data VALUE X written to the address ADDR1 is sampled and presented at a data output. The data VALUE X is available at the data output after a two-cycle latency, the same latency as for the read, write, and refresh operations.
Referring to Figure 9, control circuit elements and data path elements for a sub-array according to one embodiment of the invention are illustrated generally by nume al 900. The general timing of operations on a selected sub-array is based on a single master timing reference signal, referred to as a word line timing pulse (WTP;). A target address is input to an address register 902. An operation command is input to a register/decoder 903. Both the address register 902 and the register/decoder 903 are clocked by the synchronous interface clock signal CLK. The register/decoder 903 generates a READ, WRITE, or REFRESH internal command signal depending on the external command received.
The output of the address register 902 is sent to a plurality of address decoders 904. A first decoder 904a decodes the N least significant bits of the input address for generating a global column select signal or Y-address. A second decoder 904b decodes the M next significant bits for generating a predecoded X-address. A third decoder
904c decodes the LA most significant bits of the memory address for generating a sub- array select signal. The sub-array select signal enables one of the plurality of sub-arrays in the memory device or macro block. A fourth decoder 904d decodes a sub-array goup. Within the memory there are groups of sub-arrays. A sub-array group shares the same data lines, read data register/multiplexer and write buffer, which will be discussed in more detail below. The LA most significant bits of the address select a group of sub- arrays and a sub-array within that group.
The read, write, and refresh signals are combined by OR-gate 906. The output of OR- gate 906 is input to a plurality of AND-gates 908 for generating the word line timing pulse WTPj. The word line timing pulse WTPj is generated locally for each sub-array. Therefore, the AND-gate 908 has the sub-array select signal as a further input and the output of the AND-gate 908 can only be asserted if the associated sub-array is selected by the sub-array select signal. Another input to the AND-gate 908 is the clock signal CLK delayed by delay D 1.
The output of the AND-gate 908 is an S-input to an SR flip-flop 910. An R-input to the SR flip-flop 910 is generated by combining the clock signal CLK with the inverse of the clock signal CLK delayed by delay Dl via an AND-gate 912. The inverse of the signal provided at the R input of the SR flip-flop 910 is also used as an additional input to the AND-gate 908 for ensuring that the S and R inputs of the SR flip-flop are never both equal to one. The output of the SR flip-flop 910 is the word line timing pulse WTPj for the i sub-array. The word line timing pulse WTP; is logically combined with the predecoded X addresses from predecoder 904b via a plurality of AND-gates 911. The output of AND-gates 911 is a word line enable signal WL for enabling the selected word line. The word line timing pulse WTPi is further coupled to a bit line equalization circuit 913 via an inverter 915 for equalizing and precharging the bit-line pairs to a bit line precharge voltage VBLP when the WTPj is low. The inverted signal is referred to as bit line equalization signal BLEQ.
The word line timing pulse WTPj is further combined with a delayed version of itself via AND-gate 914 for providing a sense amplifier power supply enable signal 916. The sense amplifier power supply enable signal 916 powers sense amplifiers SAP for providing power to the PMOS devices of bit-line sense amplifiers and SAN for providing power to the NMOS devices of bit-line sense amplifiers. The word line timing pulse WTP* is delayed by delay element D3. The sense amplifier enable signal 916 enables the sense amplifier power supply for powering the sense amplifiers across the bit line pairs for the selected sub-array.
The sense amplifier power supply enable signal 916 is further delayed by delay element D4 for generating a column select enable signal CSE. The column select enable signal CSE is combined with the global column select address signals from column decoder 904a via an AND-gate 918 associated with that particular sub-array. The output of AND-gate 918 provides a local column select signal LCSL. The local column select signal LCSL enables the appropriate bit line pair via a column access device for either a read, write or refresh operation.
An AND-gate 920 combines the group select signal, the clock signal CLK, and the clock signal delayed by delay D2. The output of AND-gate 920 is a read-write active signal RWACTTVE. Signal RWACTIVE is inverted by inverter 922 for gating serially coupled data line precharge and equalize transistors 924 for precharging a pair of data lines 926 to a data line precharge voltage VDLP when the sub-array is not selected. The RWACTTVE signal is also combined with the WRITE signal by AND-gate 928. The output of AND-gate 928 enables a write buffer 930 for driving received input data onto the pair of data lines 926. The input to the write buffer 930 is received from a D- type flip-flop 932, which receives external input data as its input and is clocked by the clock signal CLK. The RW ACTIVE signal is further combined with the inverse of the read signal and the clock signal CLK via a NOR-gate 934. The output of NOR-gate 934 is a read sample clock signal RSAMPCLK for enabling a differential D type flip- flop 936 for reading data present on the pair of data lines 926. The output of the differential D type flip-flop 936 is coupled to a word-size multiplexer 938. The multiplexer 938 is shown in a conceptual format, but in a physical implementation, it is constructed using a distributed multiplexer configuration. An enable to the word-size multiplexer 938 is provided from the output of a D flip-flop 940. The input to the D flip-flop 940 is the group select signal, and the D flip-flop 940 is clocked by clock signal CLK.
Referring to figure 10a, a timing diagram for the timing of associated signals in figure 9 for a read operation is illustrated generally by numeral 1000. The operation of the circuit is described as follows with reference to both figures 9 and 10. The word line timing pulse WTPi is held logic low when the memory is idle. When WTPi is low, all word lines are low and the bit lines and data lines within the sub-array are actively held in an equalized and precharged state. Each sub-array has a dedicated WTP; signal which is selected through sub-array selection gates 908. The WTP; signal associated with a selected sub-array is asserted after a fixed delay period from the rising edge of the clock that samples a valid command at the memory interface. WTPj stays high during the remainder of the clock period until it is unconditionally reset by the next rising edge of the clock. WTPi acts as a gating signal for the regular and redundant (not shown) word line drivers. As WTPj rises and falls, the word line within the sub-array selected by the sampled address rises and falls with it. The rising edge of WTPi also drives self-timed circuitry for enabling the bit line sense amplifiers and local column select access devices. Referring once again to figure 10, after a programmable preset delay Dl, the word line timing pulse WTPi goes high, causing the bit line equalization signal BLEQ and the word line signal WL to go high. It should be noted that delays Dl, D2, D3, D4 are all implemented using a novel delay circuit described in MOSAID co-pending application no. 09/616,973 (herein incorporated by reference). After a programmable preset delay D2 from the rising edge of the clock signal, the RW ACTIVE signal is asserted, causing the signal RSAMPCLK signal to go high, h response to the assertion of the word line signal WL, a voltage differential begins to develop across the bit line pair. After a combined delay Dl + D3, the sense amplifier power supply signals SAP, SAN are asserted, amplifying the voltage differential across the bit line pair. After a combined delay Dl + D3 + D4, the local column select signal LSCL is asserted, thereby selecting a column from which data is to be transferred. In response to the assertion of the local column select signal LCSL, data is transferred from the selected column to an associated pair of data lines.
It is important to note that each of the steps described above were initiated by self- timed signals derived from the master word line timing pulse WTPi thereby allowing fine-tuning precision of the timing of each signal. It should also be noted that although the above description referred generically to one selected column and associated data line pair, one skilled in the art would appreciate that in fact multiple columns can be selected by a column select signal, each having associated data lines.
For read operations, a delayed version RSAMPCLK of the input clock signal CLK provides complementary sampling inputs to a set of H word-sized differential input D type flip-flops 936, which are also connected to the data lines 926 for a group of one or more sub-arrays. The D type flip-flops are preferably those described in co-pending MOSAID patent application no. PCT/CA00/00879 filed on My 30, 2000 and herein incorporated by reference. On the next rising edge of the clock CLK, RSAMPCLK latches the sampling clock inputs to the read data flip flops 936 which capture the read data at the end of the row access operation. The output of the read data flip-flops 936 for the sub-array group containing the accessed sub-array is routed through a multiplexer network 938 for selecting the final output data from the appropriate sub-array group before being presented to the device pins or macro pins. Employing such a self-referenced timing scheme to control read operations results in read commands that can be issued to the memory on every clock cycle and have an effective latency of two cycles. That is, a read command sampled on a rising clock edge N will present its output data at the interface with sufficient set-up time to allow the memory controller to latch it using rising clock edge N+2.
Write operations also make use of the self-timed circuitry for generating RW ACTIVE, which is referenced to a delayed version of the input clock signal CLK as shown in Figure 9. The self-timed circuitry turns off the data line equalization and precharge circuitry 924 through the logic low output from inverter 922. It enables the write buffer 930 by providing a logic high from the output of AND-gate 928 to drive the write data sampled at the interface to the data lines 926. Column access devices within a sub- array are controlled by local column select signals LCSL generated by AND-gates 918 as previously mentioned.
Precise control of the relative timing between bit line sensing and enabling of the column access devices is important for performing write operations. Typically, once a word line is selected, all memory cells associated with that particular word line will be accessed and the stored data will be transferred via word line access transistors to the respective bit lines. Subsequently, all sense amplifiers associated with the selected sub- array will begin to sense the data on all of their associated bit lines (for ensuring data integrity within unselected bit lines within the row), hi conventional DRAMs, for a write operation, once a particular column has been selected, the write drivers will overwrite the bit line sense amplifier sensed data. In accordance with the invention, however, there is a short interval at the beginning of a write operation between when the sense amplifiers begin to increase the bit line voltage split in response to a word line being activated and the bit line split approaching full rail voltage levels. During this interval, a write operation can be performed through precise control of the timing between bit line sense amplifier activation and column access device activation. If the column devices are enabled too late, then a write operation intended to overwrite opposite phase data on the bit lines will take longer because the write drivers have to overcome a full voltage split of opposite phase. If the column access devices are enabled too early, there is a risk of data corruption occurring from noise coupling between the local data bus (which in this embodiment runs parallel to bit lines) and bit lines unselected for the write operation. The unselected lines are performing essentially a sense and restore operation only.
For this reason, the self-timed nature of the present invention allows for a very tight control between the timing of the word line activation, the bit line sense amplifier activation, the write driver activation and the column select activation. Specifically, the WTP; signal is self-timed from the clock signal CLK, through delay Dl, gate 912 and flip/flop 910. The sense amplifiers and then activated based on the self-timed circuit comprising delay D3 and gate 914. The same self-timed signal 916 generated by gate 914 is then used to drive delay D4 and gates 918 which are therefore self-timed from the activation of the sense amplifiers and will be activated precisely at the same time after the bit line sense amplifiers have been activated. Meanwhile, the write drivers 930 are also activated through self-timed circuitry formed by delay D2 and gate 920 and 928. In this manner, write drivers can more rapidly reverse an opposite phase logic state on bit lines to which they are writing to than in conventional DRAM implementations. Referring to figure 10b, a timing diagram for generating the WTP; is illustrated generally by numeral 1050. If the sub-array is active, or selected, the S input of the SR flip-flop 910 goes high. Consequently, the WTPj goes high and begins the sequence of control operations required for the command. The WTPi is reset to low at the next rising edge of the clock. This situation is illustrated as case 1. However, if the sub-array is inactive, or unselected, the S input to the SR flip-flop 910 remains low and, therefore, the WTPj remains low. This situation is illustrated as case 2. Referring back to Figure 9, in relation to the pipelining of commands and the group select role, if a read operation is performed within a given sub-array group in cycle N, then its group select will be asserted during cycle N. The register 940 latches the group select siganl on the rising clock edge that separates clock periods N and N+l . The output of 940 controls the selection of the multiplexer 938 during clock period N+l .
Refreshing the memory contents of the device or macro block 502 is controlled by an external memory controller. The external memory controller organizes the refresh pattern and timing in an optimum manner for a particular application. However, each cell should be refreshed at least once in a predefined refresh interval. The refresh interval is dependent on the implementation and technology used.
In order to periodically refresh all the memory cells, the memory controller issues A * 2M refresh commands, one to each row address, no less than once every maximum refresh interval. Refresh commands operate on an entire row of cells at one time within one sub-array and treat the N least significant bits 612a of the memory address 612 as "don't cares".
When performing read and write operations, the contents of the entire row containing the addressed word are refreshed. Therefore, applications that can guarantee at least one word within every row will be the target of a read or write command at intervals less than or equal to the maximum refresh interval do not need to perform explicit refresh commands.
The DRAM architecture and circuits which embody the present invention described above are targeted for a plurality of high performance applications. The architecture and circuits of the present invention replace the dual access class model of traditional DRAM architectures. As a result, there is no longer an explicit division of memory addresses into row and column components and the memory interface does not include a concept of row state. Without a row state, there is no subdivision of memory capacity into banks, nor are there commands to explicitly open and close rows. The architecture supports and requires read, write, and refresh commands. The latency and cycle-time of these operations are therefore constant and do not depend on the value of the input address.
Because a visible row state is not supported, the state of all DRAM arrays appears the same at the start of every operation. The initial conditions for all operations are all word lines precharged low and all bit lines and data lines equalized and precharged to a precharge voltage. Each memory operation performs a complete row access operation and subsequent bit line and data line equalization and precharge. This greatly simplifies the design of the external memory controller since it no longer needs to track open banks.
Furthermore, the external memory controller does not need to check the address of each read or write operation to choose the appropriate DRAM command sequence to carry out the operation. By comparison, in conventional DRAM systems, the memory controller has to determine if the memory address it wants to access will hit an open page of a bank, a closed bank, or a bank open to a different page.
Although the above implementation has been described with reference to a specific embodiment, various modifications will be apparent to a person skilled in the art. For example, replacing the differential sampling flip-flop 936 with a differential amplifier can reduce the read latency from two to one clock cycles given sufficient reduction in the maximum operating clock rate. Conversely, a very large capacity DRAM implemented using the architecture described above may employ one or more extra pipeline register stages in the read data or write data internal paths within the memory. This may be done in order to increase the maximum clock of the memory or to increase the read data to clock set up time available to the external memory controller. The situation is similar for a DRAM with a very high degree of decimation into many sub- arrays. The present embodiment of the invention provides extra row and column elements within each memory cell sub-array for redundancy-based repair of some types of manufacturing defects. Generally, this practice slightly increases the size of a sub-array and introduces small delays in memory access. This is due to slower sub-array operations and the need to compare an input address against a list of defective addresses before asserting a word line driver in the case of row redundancy or a column in the case of column redundancy. The timing sequences described in the present embodiment can remove some or all of the row address redundancy comparison delay component of the memory cycle time by overlapping it with the bit line equalization and pre-charge at the beginning of a row cycle. However, an alternate possibility is to exclude redundant elements from a sub-array altogether and instead equip the memory device or macro block with a surplus of sub-arrays for the purpose of repair by redundant substitution of defective sub-arrays.
Column redundancy is implemented by placing multiplexers (not shown in Figure 9) between the sub-array group data lines 926 and sampling flip flops 936/write buffers 930 for allowing substitution of redundant column elements for regular data elements, hi addition, complementary redundant element data line pairs can be substituted for complementary regular data line pairs either singularly or as part of larger groups. Data line equalization and precharge circuitry is located on the memory array side of the data line redundancy multiplexers for minimizing the time necessary for performing this operation.
The practice of performing bit line pre-charge and equalization in a first portion of a row cycle followed by a WTP; initiated timing sequence for accessing a selected row has several advantages over conventional embodiments. The delay element Dl used for delaying the assertion of the word line timing pulse (WTPj) after the rising edge of the input clock, is designed to generate the minimum necessary duration during which WTPi is low. This minimum necessary low duration of the WTPi is designed to ensure adequate bit line equalization and pre-charge under worst case conditions of process variation and supply voltage and device temperature. As a result, the word line timing pulse WTPj is as precise as possible.
Referring to Figure 1 la, a timing diagram illustrating this correlation between the delay element Dl and bit line equalization is illustrated. The maximum clock rate of the memory is set by the necessary WTPi high duration under worst case conditions to reliably perform a row access and read or write operation. The fraction of the clock period consumed by the WTPj low period, and therefore bit line equalization and precharge between consecutive operations, is a maximum for memory operation at a maximum clock rate under worst case delay conditions of process, voltage and temperature.
For operation at a slower clock rate, or under conditions better than the worst case logic delay, the fraction of the clock period during which WTPi is low between consecutive operations is reduced. This increases the time a selected word line is asserted during a sub-array row access. Thus, the quality of memory cell restoration for all operations and the split voltage on the data lines for read operations is increased. Referring to Figure 1 lb, a timing diagram illustrating a memory operating at a slower than maximum clock rate or under conditions better than worst case logic delay is illustrated.
The present embodiment also describes a system using a synchronous interface that accepts and performs commands at a rate of one command per period of the interface input clock. However, it will be apparent to a person skilled in the art to implement the DRAM architecture described above using an asynchronous interface. A timing diagram for an asynchronous interface is illustrated in Figure 12a.
In yet another alternate embodiment, a synchronous interface that stretches sub-array access across two or more periods of the interface clock is also possible. Referring to Figure 12b, a timing diagram for such an embodiment is illustrated. In yet another alternate embodiment, a synchronous interface that performs operations at the rate of one per clock cycle with read data latency of one clock cycle is possible. Such an embodiment is illustrated in Figure 13 a.
In yet an another alternate embodiment, a synchronous interface that performs operations at the rate of one per clock cycle with read data latency of three or more clock cycles is implemented. Such an embodiment is illustrated in Figure 13B.
Although the invention has been described with reference to certain specific embodiments, various modifications thereof will be apparent to those skilled in the art without departing from the spirit and scope of the invention as outlined in the claims appended hereto. Furthermore, the invention is applicable to any type of electronic memory that utilizes redundant storage elements for increasing efficient yield. These include, but are not limited to SRAM and various non- volatile memories such EPROM, EEPROM, flash EPROM, and FRAM.

Claims

THE EMBODIMENTS OF THE INVENTION LN WHICH AN EXCLUSIVE PROPERTY OR PRIVILEGE IS CLAIMED ARE DEFINED AS FOLLOWS:
1. A Dynamic Random Access Memory (DRAM) for performing read, write, and refresh operations, said DRAM comprising:
(a) a plurality of sub-arrays, each having a plurality of memory cells, each of which is coupled with a complementary bit line pair and a word line;
(b) a word line enable device for asserting a selected one of said word lines;
(c) a column select device for asserting a selected one of said bit line pairs; (d) a timing circuit for controlling said word line enable device, said column select device, and said read, write, and refresh operations in response to a word line timing pulse, wherein said read, write, and refresh operation are performed in the same amount of time.
2. A memory device for storing data in address locations specified input addresses, said memory device responsive only to read, write and refresh commands, each of said commands having a uniform latency independent of said input addresses.
3. A memory device as defined in claim 2 wherein said memory device comprises a dynamic random access memory (DRAM).
4. A memory device as defined in claim 2 wherein said memory device comprises an embedded dynamic random access memory (DRAM) macrocell.
5. A memory device as in claim 2 wherein independent of input address said read command includes a full row access operation comprising the steps of:
(a) bit line pre-charge and equalization;
(b) word line address decoding and word line assertion;
(c) memory cell access to an associated bit line pair; (d) bit line sensing;
(e) memory cell restoration; and (f) word line de-assertion.
6. A memory device as defined in claim 2 wherein said memory device is capable of receiving a new command on every leading edge of a system clock.
7. A memory device as in claim 2 wherein said memory device is capable of performing a read and write operation in a single system clock cycle in response to a simultaneous read/write command.
8. A memory device as in claim 7 wherein said simultaneous read/write operation comprises performing a write operation during a first portion of row cycle while bit line sense amplifiers are amplifying differential voltage on selected bit lines and before full differential voltage levels are established on said bit lines.
9. A memory device as in claim 5 wherein said steps of word line address decoding and bit line precharge and equalizing are performed substantailly simultaneously during a first portion of a row cycle.
10. A method for performing a read command in a memory device in synchronization with a system clock comprising the steps of:
(a) generating a main self-timed pulse derived from the system clock; and
(b) generating a plurality of self-timed pulses activated in cascade based on said main self-timed pulse for controlling operation of address and data circuits.
11. A method for performing a read command as in claim 9 wherein said plurality of self-timed pulses comprises a first self-timed pulse for activating a selected sense amplifier power supply and and a second self-timed pulse generated from said first self-timed pulse for activating a local memory column.
PCT/CA2001/000949 2000-07-07 2001-06-29 A high speed dram architecture with uniform access latency WO2002005281A2 (en)

Priority Applications (13)

Application Number Priority Date Filing Date Title
CA2414920A CA2414920C (en) 2000-07-07 2001-06-29 A high speed dram architecture with uniform access latency
AU2001270400A AU2001270400A1 (en) 2000-07-07 2001-06-29 A high speed dram architecture with uniform access latency
JP2002508799A JP2004502267A (en) 2000-07-07 2001-06-29 High-speed DRAM architecture with uniform access latency
KR1020037000245A KR100816915B1 (en) 2000-07-07 2001-06-29 A high speed dram and a memory device with uniform access latency
CNB018124275A CN1307647C (en) 2000-07-07 2001-06-29 Dynamic radom access memory, memory device and read command execution method thereof
EP01949156A EP1307884A2 (en) 2000-07-07 2001-06-29 A high speed dram architecture with uniform access latency
US10/336,850 US6711083B2 (en) 2000-07-07 2003-01-06 High speed DRAM architecture with uniform access latency
US10/804,182 US6891772B2 (en) 2000-07-07 2004-03-19 High speed DRAM architecture with uniform access latency
US11/101,413 US7012850B2 (en) 2000-07-07 2005-04-08 High speed DRAM architecture with uniform access latency
US11/367,589 US7450444B2 (en) 2000-07-07 2006-03-06 High speed DRAM architecture with uniform access latency
US12/249,413 US7751262B2 (en) 2000-07-07 2008-10-10 High speed DRAM architecture with uniform access latency
US12/785,051 US8045413B2 (en) 2000-07-07 2010-05-21 High speed DRAM architecture with uniform access latency
US13/237,202 US8503250B2 (en) 2000-07-07 2011-09-20 High speed DRAM architecture with uniform access latency

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US21667900P 2000-07-07 2000-07-07
US60/216,679 2000-07-07
CA002313954A CA2313954A1 (en) 2000-07-07 2000-07-07 High speed dram architecture with uniform latency
CA2,313,954 2000-07-07

Related Child Applications (3)

Application Number Title Priority Date Filing Date
US10/336,850 Continuation US6711083B2 (en) 2000-07-07 2003-01-06 High speed DRAM architecture with uniform access latency
US13/261,687 A-371-Of-International US20140126755A1 (en) 2010-08-26 2011-08-25 Multimodal headset
US15/090,329 Continuation US20170180862A1 (en) 2010-08-26 2016-04-04 Multimodal Headset

Publications (3)

Publication Number Publication Date
WO2002005281A2 WO2002005281A2 (en) 2002-01-17
WO2002005281A3 WO2002005281A3 (en) 2002-05-30
WO2002005281A9 true WO2002005281A9 (en) 2002-11-28

Family

ID=25681959

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/CA2001/000949 WO2002005281A2 (en) 2000-07-07 2001-06-29 A high speed dram architecture with uniform access latency

Country Status (7)

Country Link
US (7) US6711083B2 (en)
EP (2) EP1307884A2 (en)
JP (1) JP2004502267A (en)
KR (3) KR100869870B1 (en)
CN (1) CN1307647C (en)
AU (1) AU2001270400A1 (en)
WO (1) WO2002005281A2 (en)

Families Citing this family (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69923769T2 (en) * 1998-04-01 2006-02-02 Mosaid Technologies Incorporated, Kanata ASYNCHRONES SEMICONDUCTOR MEMBER TAPE
JP5087200B2 (en) * 2000-07-07 2012-11-28 モサイド・テクノロジーズ・インコーポレーテッド Method and apparatus for synchronizing row and column access operations
CN1307647C (en) * 2000-07-07 2007-03-28 睦塞德技术公司 Dynamic radom access memory, memory device and read command execution method thereof
DE10143033A1 (en) * 2001-09-01 2003-04-03 Infineon Technologies Ag Method for accessing memory cells of a DRAM memory chip
KR100532454B1 (en) * 2003-07-24 2005-11-30 삼성전자주식회사 Integrated circuit having temporary memory and data storing method thereof
US7860172B2 (en) * 2004-05-13 2010-12-28 International Business Machines Corporation Self clock generation structure for low power local clock buffering decoder
JP4827399B2 (en) * 2004-05-26 2011-11-30 ルネサスエレクトロニクス株式会社 Semiconductor memory device
US7176714B1 (en) * 2004-05-27 2007-02-13 Altera Corporation Multiple data rate memory interface architecture
FR2874734A1 (en) * 2004-08-26 2006-03-03 St Microelectronics Sa METHOD FOR READING MEMORY CELLS PROGRAMMABLE AND EFFECTIVELY ELECTRICALLY, WITH ANTICIPATED PRECHARGE OF BIT LINES
KR100645049B1 (en) * 2004-10-21 2006-11-10 삼성전자주식회사 Non-volatile memory device capable of improving program characteristic and program method thereof
KR100618870B1 (en) * 2004-10-23 2006-08-31 삼성전자주식회사 A method for data training
US7272060B1 (en) * 2004-12-01 2007-09-18 Spansion Llc Method, system, and circuit for performing a memory related operation
JP4791733B2 (en) * 2005-01-14 2011-10-12 株式会社東芝 Semiconductor integrated circuit device
JP4982711B2 (en) * 2005-03-31 2012-07-25 エスケーハイニックス株式会社 Memory chip structure for high-speed operation
KR100670665B1 (en) * 2005-06-30 2007-01-17 주식회사 하이닉스반도체 Latency control circuit of semiconductor memory device
KR100665232B1 (en) * 2005-12-26 2007-01-09 삼성전자주식회사 Synchronous semiconductor memory device
US7359265B2 (en) * 2006-01-04 2008-04-15 Etron Technology, Inc. Data flow scheme for low power DRAM
KR100835279B1 (en) 2006-09-05 2008-06-05 삼성전자주식회사 Semiconductor memory device comprising transistor of vertical channel structure
US7280398B1 (en) * 2006-08-31 2007-10-09 Micron Technology, Inc. System and memory for sequential multi-plane page memory operations
KR100873617B1 (en) * 2007-04-12 2008-12-12 주식회사 하이닉스반도체 Active Driver Control Circuit of Semiconductor Memory Apparatus
US7668037B2 (en) * 2007-11-06 2010-02-23 International Business Machines Corporation Storage array including a local clock buffer with programmable timing
US8601205B1 (en) 2008-12-31 2013-12-03 Synopsys, Inc. Dynamic random access memory controller
US8856579B2 (en) * 2010-03-15 2014-10-07 International Business Machines Corporation Memory interface having extended strobe burst for read timing calibration
US8635487B2 (en) * 2010-03-15 2014-01-21 International Business Machines Corporation Memory interface having extended strobe burst for write timing calibration
US8583710B2 (en) * 2010-09-17 2013-11-12 Infineon Technologies Ag Identification circuit and method for generating an identification bit using physical unclonable functions
CN103514956B (en) * 2012-06-15 2016-04-13 晶豪科技股份有限公司 Semiconductor memery device and method of testing thereof
CN103632708B (en) * 2012-08-28 2016-08-10 珠海全志科技股份有限公司 The self refresh control apparatus of synchronous DRAM and method
KR102023487B1 (en) 2012-09-17 2019-09-20 삼성전자주식회사 Semiconductor memory device capable of performing refresh operation without auto refresh command and memory system including the same
US9519531B2 (en) 2012-11-27 2016-12-13 Samsung Electronics Co., Ltd. Memory devices and memory systems having the same
US8964493B2 (en) 2013-01-04 2015-02-24 International Business Machines Corporation Defective memory column replacement with load isolation
US20140219007A1 (en) * 2013-02-07 2014-08-07 Nvidia Corporation Dram with segmented page configuration
US9158667B2 (en) 2013-03-04 2015-10-13 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
EP2989559A2 (en) 2013-04-22 2016-03-02 Bacula Systems SA Creating a universally deduplicatable archive volume
US9524771B2 (en) 2013-07-12 2016-12-20 Qualcomm Incorporated DRAM sub-array level autonomic refresh memory controller optimization
US8964496B2 (en) 2013-07-26 2015-02-24 Micron Technology, Inc. Apparatuses and methods for performing compare operations using sensing circuitry
US8971124B1 (en) 2013-08-08 2015-03-03 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
TWI493568B (en) * 2013-08-19 2015-07-21 Ind Tech Res Inst Memory device
US9153305B2 (en) 2013-08-30 2015-10-06 Micron Technology, Inc. Independently addressable memory array address spaces
US9019785B2 (en) 2013-09-19 2015-04-28 Micron Technology, Inc. Data shifting via a number of isolation devices
US9449675B2 (en) 2013-10-31 2016-09-20 Micron Technology, Inc. Apparatuses and methods for identifying an extremum value stored in an array of memory cells
US9430191B2 (en) 2013-11-08 2016-08-30 Micron Technology, Inc. Division operations for memory
US9934856B2 (en) 2014-03-31 2018-04-03 Micron Technology, Inc. Apparatuses and methods for comparing data patterns in memory
US9449674B2 (en) 2014-06-05 2016-09-20 Micron Technology, Inc. Performing logical operations using sensing circuitry
US9910787B2 (en) 2014-06-05 2018-03-06 Micron Technology, Inc. Virtual address table
US10074407B2 (en) 2014-06-05 2018-09-11 Micron Technology, Inc. Apparatuses and methods for performing invert operations using sensing circuitry
US9786335B2 (en) 2014-06-05 2017-10-10 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US9496023B2 (en) * 2014-06-05 2016-11-15 Micron Technology, Inc. Comparison operations on logical representations of values in memory
US9711206B2 (en) 2014-06-05 2017-07-18 Micron Technology, Inc. Performing logical operations using sensing circuitry
US9779019B2 (en) 2014-06-05 2017-10-03 Micron Technology, Inc. Data storage layout
US9711207B2 (en) 2014-06-05 2017-07-18 Micron Technology, Inc. Performing logical operations using sensing circuitry
US9455020B2 (en) 2014-06-05 2016-09-27 Micron Technology, Inc. Apparatuses and methods for performing an exclusive or operation using sensing circuitry
US9704540B2 (en) 2014-06-05 2017-07-11 Micron Technology, Inc. Apparatuses and methods for parity determination using sensing circuitry
US9830999B2 (en) 2014-06-05 2017-11-28 Micron Technology, Inc. Comparison operations in memory
US10068652B2 (en) 2014-09-03 2018-09-04 Micron Technology, Inc. Apparatuses and methods for determining population count
US9740607B2 (en) 2014-09-03 2017-08-22 Micron Technology, Inc. Swap operations in memory
US9747961B2 (en) 2014-09-03 2017-08-29 Micron Technology, Inc. Division operations in memory
US9847110B2 (en) 2014-09-03 2017-12-19 Micron Technology, Inc. Apparatuses and methods for storing a data value in multiple columns of an array corresponding to digits of a vector
US9898252B2 (en) 2014-09-03 2018-02-20 Micron Technology, Inc. Multiplication operations in memory
US9904515B2 (en) 2014-09-03 2018-02-27 Micron Technology, Inc. Multiplication operations in memory
US9589602B2 (en) 2014-09-03 2017-03-07 Micron Technology, Inc. Comparison operations in memory
US9836218B2 (en) 2014-10-03 2017-12-05 Micron Technology, Inc. Computing reduction and prefix sum operations in memory
US9940026B2 (en) 2014-10-03 2018-04-10 Micron Technology, Inc. Multidimensional contiguous memory allocation
US10163467B2 (en) 2014-10-16 2018-12-25 Micron Technology, Inc. Multiple endianness compatibility
US10147480B2 (en) 2014-10-24 2018-12-04 Micron Technology, Inc. Sort operation in memory
US9779784B2 (en) 2014-10-29 2017-10-03 Micron Technology, Inc. Apparatuses and methods for performing logical operations using sensing circuitry
US9747960B2 (en) 2014-12-01 2017-08-29 Micron Technology, Inc. Apparatuses and methods for converting a mask to an index
US10073635B2 (en) 2014-12-01 2018-09-11 Micron Technology, Inc. Multiple endianness compatibility
US10032493B2 (en) 2015-01-07 2018-07-24 Micron Technology, Inc. Longest element length determination in memory
US10061590B2 (en) 2015-01-07 2018-08-28 Micron Technology, Inc. Generating and executing a control flow
US9583163B2 (en) 2015-02-03 2017-02-28 Micron Technology, Inc. Loop structure for operations in memory
EP3254287A4 (en) 2015-02-06 2018-08-08 Micron Technology, INC. Apparatuses and methods for memory device as a store for program instructions
WO2016126472A1 (en) 2015-02-06 2016-08-11 Micron Technology, Inc. Apparatuses and methods for scatter and gather
EP3254286B1 (en) 2015-02-06 2019-09-11 Micron Technology, INC. Apparatuses and methods for parallel writing to multiple memory device locations
WO2016144724A1 (en) 2015-03-10 2016-09-15 Micron Technology, Inc. Apparatuses and methods for shift decisions
US9741399B2 (en) 2015-03-11 2017-08-22 Micron Technology, Inc. Data shift by elements of a vector in memory
US9898253B2 (en) 2015-03-11 2018-02-20 Micron Technology, Inc. Division operations on variable length elements in memory
US10365851B2 (en) 2015-03-12 2019-07-30 Micron Technology, Inc. Apparatuses and methods for data movement
US10146537B2 (en) 2015-03-13 2018-12-04 Micron Technology, Inc. Vector population count determination in memory
US10049054B2 (en) 2015-04-01 2018-08-14 Micron Technology, Inc. Virtual register file
US10140104B2 (en) 2015-04-14 2018-11-27 Micron Technology, Inc. Target architecture determination
US9959923B2 (en) 2015-04-16 2018-05-01 Micron Technology, Inc. Apparatuses and methods to reverse data stored in memory
KR20160138690A (en) * 2015-05-26 2016-12-06 에스케이하이닉스 주식회사 Memory device
US10073786B2 (en) 2015-05-28 2018-09-11 Micron Technology, Inc. Apparatuses and methods for compute enabled cache
US9704541B2 (en) 2015-06-12 2017-07-11 Micron Technology, Inc. Simulating access lines
US9921777B2 (en) 2015-06-22 2018-03-20 Micron Technology, Inc. Apparatuses and methods for data transfer from sensing circuitry to a controller
US9996479B2 (en) 2015-08-17 2018-06-12 Micron Technology, Inc. Encryption of executables in computational memory
US9905276B2 (en) 2015-12-21 2018-02-27 Micron Technology, Inc. Control of sensing components in association with performing operations
US9952925B2 (en) 2016-01-06 2018-04-24 Micron Technology, Inc. Error code calculation on sensing circuitry
US9865316B2 (en) 2016-01-21 2018-01-09 Qualcomm Incorporated Memory with a word line assertion delayed by a bit line discharge for write operations with improved write time and reduced write power
US10048888B2 (en) 2016-02-10 2018-08-14 Micron Technology, Inc. Apparatuses and methods for partitioned parallel data movement
US9892767B2 (en) 2016-02-12 2018-02-13 Micron Technology, Inc. Data gathering in memory
US9971541B2 (en) 2016-02-17 2018-05-15 Micron Technology, Inc. Apparatuses and methods for data movement
US10956439B2 (en) 2016-02-19 2021-03-23 Micron Technology, Inc. Data transfer with a bit vector operation device
US9899070B2 (en) 2016-02-19 2018-02-20 Micron Technology, Inc. Modified decode for corner turn
US9697876B1 (en) 2016-03-01 2017-07-04 Micron Technology, Inc. Vertical bit vector shift in memory
US10262721B2 (en) 2016-03-10 2019-04-16 Micron Technology, Inc. Apparatuses and methods for cache invalidate
US9997232B2 (en) 2016-03-10 2018-06-12 Micron Technology, Inc. Processing in memory (PIM) capable memory device having sensing circuitry performing logic operations
US10379772B2 (en) 2016-03-16 2019-08-13 Micron Technology, Inc. Apparatuses and methods for operations using compressed and decompressed data
US9910637B2 (en) 2016-03-17 2018-03-06 Micron Technology, Inc. Signed division in memory
US11074988B2 (en) 2016-03-22 2021-07-27 Micron Technology, Inc. Apparatus and methods for debugging on a host and memory device
US10388393B2 (en) 2016-03-22 2019-08-20 Micron Technology, Inc. Apparatus and methods for debugging on a host and memory device
US10120740B2 (en) 2016-03-22 2018-11-06 Micron Technology, Inc. Apparatus and methods for debugging on a memory device
US10474581B2 (en) 2016-03-25 2019-11-12 Micron Technology, Inc. Apparatuses and methods for cache operations
US10977033B2 (en) 2016-03-25 2021-04-13 Micron Technology, Inc. Mask patterns generated in memory from seed vectors
US10430244B2 (en) 2016-03-28 2019-10-01 Micron Technology, Inc. Apparatuses and methods to determine timing of operations
US10074416B2 (en) 2016-03-28 2018-09-11 Micron Technology, Inc. Apparatuses and methods for data movement
US10453502B2 (en) 2016-04-04 2019-10-22 Micron Technology, Inc. Memory bank power coordination including concurrently performing a memory operation in a selected number of memory regions
US10607665B2 (en) 2016-04-07 2020-03-31 Micron Technology, Inc. Span mask generation
US9818459B2 (en) 2016-04-19 2017-11-14 Micron Technology, Inc. Invert operations using sensing circuitry
US9659605B1 (en) 2016-04-20 2017-05-23 Micron Technology, Inc. Apparatuses and methods for performing corner turn operations using sensing circuitry
US10153008B2 (en) 2016-04-20 2018-12-11 Micron Technology, Inc. Apparatuses and methods for performing corner turn operations using sensing circuitry
US10042608B2 (en) 2016-05-11 2018-08-07 Micron Technology, Inc. Signed division in memory
US9659610B1 (en) 2016-05-18 2017-05-23 Micron Technology, Inc. Apparatuses and methods for shifting data
US10049707B2 (en) 2016-06-03 2018-08-14 Micron Technology, Inc. Shifting data
US10387046B2 (en) 2016-06-22 2019-08-20 Micron Technology, Inc. Bank to bank data transfer
US10037785B2 (en) 2016-07-08 2018-07-31 Micron Technology, Inc. Scan chain operation in sensing circuitry
US10388360B2 (en) 2016-07-19 2019-08-20 Micron Technology, Inc. Utilization of data stored in an edge section of an array
US10387299B2 (en) 2016-07-20 2019-08-20 Micron Technology, Inc. Apparatuses and methods for transferring data
US10733089B2 (en) 2016-07-20 2020-08-04 Micron Technology, Inc. Apparatuses and methods for write address tracking
US9972367B2 (en) 2016-07-21 2018-05-15 Micron Technology, Inc. Shifting data in sensing circuitry
US9767864B1 (en) 2016-07-21 2017-09-19 Micron Technology, Inc. Apparatuses and methods for storing a data value in a sensing circuitry element
US10303632B2 (en) 2016-07-26 2019-05-28 Micron Technology, Inc. Accessing status information
US10468087B2 (en) 2016-07-28 2019-11-05 Micron Technology, Inc. Apparatuses and methods for operations in a self-refresh state
US9990181B2 (en) 2016-08-03 2018-06-05 Micron Technology, Inc. Apparatuses and methods for random number generation
US11029951B2 (en) 2016-08-15 2021-06-08 Micron Technology, Inc. Smallest or largest value element determination
US10606587B2 (en) 2016-08-24 2020-03-31 Micron Technology, Inc. Apparatus and methods related to microcode instructions indicating instruction types
US10466928B2 (en) 2016-09-15 2019-11-05 Micron Technology, Inc. Updating a register in memory
US10387058B2 (en) 2016-09-29 2019-08-20 Micron Technology, Inc. Apparatuses and methods to change data category values
US10014034B2 (en) 2016-10-06 2018-07-03 Micron Technology, Inc. Shifting data in sensing circuitry
US10529409B2 (en) 2016-10-13 2020-01-07 Micron Technology, Inc. Apparatuses and methods to perform logical operations using sensing circuitry
US9805772B1 (en) 2016-10-20 2017-10-31 Micron Technology, Inc. Apparatuses and methods to selectively perform logical operations
US10373666B2 (en) 2016-11-08 2019-08-06 Micron Technology, Inc. Apparatuses and methods for compute components formed over an array of memory cells
US10423353B2 (en) 2016-11-11 2019-09-24 Micron Technology, Inc. Apparatuses and methods for memory alignment
US9761300B1 (en) 2016-11-22 2017-09-12 Micron Technology, Inc. Data shift apparatuses and methods
US10402340B2 (en) 2017-02-21 2019-09-03 Micron Technology, Inc. Memory array page table walk
US10403352B2 (en) 2017-02-22 2019-09-03 Micron Technology, Inc. Apparatuses and methods for compute in data path
US10268389B2 (en) 2017-02-22 2019-04-23 Micron Technology, Inc. Apparatuses and methods for in-memory operations
US10838899B2 (en) 2017-03-21 2020-11-17 Micron Technology, Inc. Apparatuses and methods for in-memory data switching networks
US10185674B2 (en) 2017-03-22 2019-01-22 Micron Technology, Inc. Apparatus and methods for in data path compute operations
US11222260B2 (en) 2017-03-22 2022-01-11 Micron Technology, Inc. Apparatuses and methods for operating neural networks
US10049721B1 (en) 2017-03-27 2018-08-14 Micron Technology, Inc. Apparatuses and methods for in-memory operations
US10043570B1 (en) 2017-04-17 2018-08-07 Micron Technology, Inc. Signed element compare in memory
US10147467B2 (en) 2017-04-17 2018-12-04 Micron Technology, Inc. Element value comparison in memory
US9997212B1 (en) 2017-04-24 2018-06-12 Micron Technology, Inc. Accessing data in memory
US10942843B2 (en) 2017-04-25 2021-03-09 Micron Technology, Inc. Storing data elements of different lengths in respective adjacent rows or columns according to memory shapes
US10236038B2 (en) 2017-05-15 2019-03-19 Micron Technology, Inc. Bank to bank data transfer
US10068664B1 (en) 2017-05-19 2018-09-04 Micron Technology, Inc. Column repair in memory
US10013197B1 (en) 2017-06-01 2018-07-03 Micron Technology, Inc. Shift skip
US10262701B2 (en) 2017-06-07 2019-04-16 Micron Technology, Inc. Data transfer between subarrays in memory
US10152271B1 (en) 2017-06-07 2018-12-11 Micron Technology, Inc. Data replication
US10318168B2 (en) 2017-06-19 2019-06-11 Micron Technology, Inc. Apparatuses and methods for simultaneous in data path compute operations
US10162005B1 (en) 2017-08-09 2018-12-25 Micron Technology, Inc. Scan chain operations
US10534553B2 (en) 2017-08-30 2020-01-14 Micron Technology, Inc. Memory array accessibility
US10741239B2 (en) 2017-08-31 2020-08-11 Micron Technology, Inc. Processing in memory device including a row address strobe manager
US10416927B2 (en) 2017-08-31 2019-09-17 Micron Technology, Inc. Processing in memory
US10346092B2 (en) 2017-08-31 2019-07-09 Micron Technology, Inc. Apparatuses and methods for in-memory operations using timing circuitry
US10409739B2 (en) 2017-10-24 2019-09-10 Micron Technology, Inc. Command selection policy
US10360956B2 (en) 2017-12-07 2019-07-23 Micron Technology, Inc. Wave pipeline
US10410698B2 (en) 2017-12-07 2019-09-10 Micron Technology, Inc. Skew reduction of a wave pipeline in a memory device
US10522210B2 (en) 2017-12-14 2019-12-31 Micron Technology, Inc. Apparatuses and methods for subarray addressing
US10332586B1 (en) 2017-12-19 2019-06-25 Micron Technology, Inc. Apparatuses and methods for subrow addressing
US10614875B2 (en) 2018-01-30 2020-04-07 Micron Technology, Inc. Logical operations using memory cells
US11194477B2 (en) 2018-01-31 2021-12-07 Micron Technology, Inc. Determination of a match between data values stored by three or more arrays
US10437557B2 (en) 2018-01-31 2019-10-08 Micron Technology, Inc. Determination of a match between data values stored by several arrays
US10725696B2 (en) 2018-04-12 2020-07-28 Micron Technology, Inc. Command selection policy with read priority
US10440341B1 (en) 2018-06-07 2019-10-08 Micron Technology, Inc. Image processor formed in an array of memory cells
US10431281B1 (en) * 2018-08-17 2019-10-01 Micron Technology, Inc. Access schemes for section-based data protection in a memory device
US10991411B2 (en) 2018-08-17 2021-04-27 Micron Technology, Inc. Method and apparatuses for performing a voltage adjustment operation on a section of memory cells based on a quantity of access operations
US10769071B2 (en) 2018-10-10 2020-09-08 Micron Technology, Inc. Coherent memory access
US11175915B2 (en) 2018-10-10 2021-11-16 Micron Technology, Inc. Vector registers implemented in memory
US10483978B1 (en) 2018-10-16 2019-11-19 Micron Technology, Inc. Memory device processing
US11184446B2 (en) 2018-12-05 2021-11-23 Micron Technology, Inc. Methods and apparatus for incentivizing participation in fog networks
US10991414B2 (en) * 2019-04-12 2021-04-27 Western Digital Technologies, Inc. Granular refresh rate control for memory devices based on bit position
US11061836B2 (en) * 2019-06-21 2021-07-13 Micron Technology, Inc. Wave pipeline including synchronous stage
US10867655B1 (en) 2019-07-08 2020-12-15 Micron Technology, Inc. Methods and apparatus for dynamically adjusting performance of partitioned memory
US11360768B2 (en) 2019-08-14 2022-06-14 Micron Technolgy, Inc. Bit string operations in memory
US11449577B2 (en) 2019-11-20 2022-09-20 Micron Technology, Inc. Methods and apparatus for performing video processing matrix operations within a memory array
US11164613B2 (en) * 2019-12-02 2021-11-02 Micron Technology, Inc. Processing multi-cycle commands in memory devices, and related methods, devices, and systems
US11853385B2 (en) 2019-12-05 2023-12-26 Micron Technology, Inc. Methods and apparatus for performing diversity matrix operations within a memory array
US11347504B2 (en) * 2020-07-10 2022-05-31 Korea Electronics Technology Institute Memory management method and apparatus for processing-in-memory
US11227641B1 (en) 2020-07-21 2022-01-18 Micron Technology, Inc. Arithmetic operations in memory
US11922051B2 (en) 2020-11-02 2024-03-05 Deepx Co., Ltd. Memory controller, processor and system for artificial neural network
US20230282268A1 (en) * 2022-03-03 2023-09-07 Changxin Memory Technologies, Inc. Circuit for reading out data, method for reading out data and memory
US20230386578A1 (en) * 2022-05-26 2023-11-30 Micron Technology, Inc. Partial block handling protocol in a non-volatile memory device

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2084361B (en) * 1980-09-19 1984-11-21 Sony Corp Random access memory arrangements
JPS58207152A (en) * 1982-05-28 1983-12-02 Nec Corp Test system for pipeline arithmetic device
JPS598192A (en) * 1982-07-07 1984-01-17 Toshiba Corp Semiconductor storage device
JPS5928766A (en) * 1982-08-10 1984-02-15 Sony Corp Delay circuit
JPS6072020A (en) * 1983-09-29 1985-04-24 Nec Corp Dual port memory circuit
US4533441A (en) * 1984-03-30 1985-08-06 Burlington Industries, Inc. Practical amorphous iron electroform and method for achieving same
EP0179605B1 (en) 1984-10-17 1992-08-19 Fujitsu Limited Semiconductor memory device having a serial data input circuit and a serial data output circuit
JPS61144795A (en) * 1984-12-17 1986-07-02 Mitsubishi Electric Corp Semiconductor storage device
JPS61239491A (en) 1985-04-13 1986-10-24 Fujitsu Ltd Electronic equipment
JPH0778993B2 (en) * 1985-11-05 1995-08-23 株式会社日立製作所 Semiconductor memory
US4823302A (en) 1987-01-30 1989-04-18 Rca Licensing Corporation Block oriented random access memory able to perform a data read, a data write and a data refresh operation in one block-access time
US5222047A (en) * 1987-05-15 1993-06-22 Mitsubishi Denki Kabushiki Kaisha Method and apparatus for driving word line in block access memory
JPH01269294A (en) * 1988-04-20 1989-10-26 Nec Eng Ltd Memory refresh control system
JPH02158997A (en) * 1988-12-09 1990-06-19 Matsushita Electric Ind Co Ltd Storage device
KR940008295B1 (en) * 1989-08-28 1994-09-10 가부시기가이샤 히다찌세이사꾸쇼 Semiconductor memory
JP3179793B2 (en) * 1990-05-30 2001-06-25 三菱電機株式会社 Semiconductor memory device and reading method therefor
JP2658533B2 (en) * 1990-08-27 1997-09-30 三菱電機株式会社 Semiconductor storage device
JPH04147492A (en) * 1990-10-11 1992-05-20 Hitachi Ltd Semiconductor memory
JP3178859B2 (en) 1991-06-05 2001-06-25 株式会社東芝 Random access memory device and pipeline / page mode control method thereof
US5294842A (en) * 1991-09-23 1994-03-15 Digital Equipment Corp. Update synchronizer
JP3186204B2 (en) * 1992-05-13 2001-07-11 日本電気株式会社 Semiconductor dynamic RAM
WO1994003901A1 (en) * 1992-08-10 1994-02-17 Monolithic System Technology, Inc. Fault-tolerant, high-speed bus system and bus interface for wafer-scale integration
JPH06267275A (en) * 1993-03-10 1994-09-22 Fujitsu Ltd Circuit and method for controlling sense amplifier
JPH06290582A (en) * 1993-04-02 1994-10-18 Nec Corp Semiconductor memory
US5402388A (en) * 1993-12-16 1995-03-28 Mosaid Technologies Incorporated Variable latency scheme for synchronous memory
JP3013714B2 (en) * 1994-09-28 2000-02-28 日本電気株式会社 Semiconductor storage device
JP2616567B2 (en) * 1994-09-28 1997-06-04 日本電気株式会社 Semiconductor storage device
JPH08102187A (en) 1994-09-29 1996-04-16 Toshiba Microelectron Corp Dynamic memory
TW358907B (en) * 1994-11-22 1999-05-21 Monolithic System Tech Inc A computer system and a method of using a DRAM array as a next level cache memory
US5713005A (en) * 1995-02-10 1998-01-27 Townsend And Townsend And Crew Llp Method and apparatus for pipelining data in an integrated circuit
US5544124A (en) * 1995-03-13 1996-08-06 Micron Technology, Inc. Optimization circuitry and control for a synchronous memory device with programmable latency period
JPH08263985A (en) * 1995-03-24 1996-10-11 Nec Corp Semiconductor memory
US6128700A (en) * 1995-05-17 2000-10-03 Monolithic System Technology, Inc. System utilizing a DRAM array as a next level cache memory and method for operating same
US5655105A (en) * 1995-06-30 1997-08-05 Micron Technology, Inc. Method and apparatus for multiple latency synchronous pipelined dynamic random access memory
US5598374A (en) * 1995-07-14 1997-01-28 Cirrus Logic, Inc. Pipeland address memories, and systems and methods using the same
JP2817679B2 (en) * 1995-09-20 1998-10-30 日本電気株式会社 Semiconductor memory
JP3843145B2 (en) * 1995-12-25 2006-11-08 株式会社ルネサステクノロジ Synchronous semiconductor memory device
US5748551A (en) * 1995-12-29 1998-05-05 Micron Technology, Inc. Memory device with multiple internal banks and staggered command execution
JP4084428B2 (en) * 1996-02-02 2008-04-30 富士通株式会社 Semiconductor memory device
US5666324A (en) * 1996-03-15 1997-09-09 Mitsubishi Denki Kabushiki Kaisha Clock synchronous semiconductor memory device having current consumption reduced
US5822772A (en) * 1996-03-22 1998-10-13 Industrial Technology Research Institute Memory controller and method of memory access sequence recordering that eliminates page miss and row miss penalties
US6108229A (en) 1996-05-24 2000-08-22 Shau; Jeng-Jye High performance embedded semiconductor memory device with multiple dimension first-level bit-lines
US5784705A (en) * 1996-07-15 1998-07-21 Mosys, Incorporated Method and structure for performing pipeline burst accesses in a semiconductor memory
JP3579205B2 (en) * 1996-08-06 2004-10-20 株式会社ルネサステクノロジ Semiconductor storage device, semiconductor device, data processing device, and computer system
US5808959A (en) 1996-08-07 1998-09-15 Alliance Semiconductor Corporation Staggered pipeline access scheme for synchronous random access memory
US5787457A (en) * 1996-10-18 1998-07-28 International Business Machines Corporation Cached synchronous DRAM architecture allowing concurrent DRAM operations
US5901086A (en) * 1996-12-26 1999-05-04 Motorola, Inc. Pipelined fast-access floating gate memory architecture and method of operation
JP3608597B2 (en) * 1996-12-27 2005-01-12 東燃ゼネラル石油株式会社 Lubricating oil composition for internal combustion engines
JPH10233091A (en) * 1997-02-21 1998-09-02 Hitachi Ltd Semiconductor storage device and data processor
KR100268429B1 (en) * 1997-03-18 2000-11-01 윤종용 Synchronous memory device
JP3504104B2 (en) * 1997-04-03 2004-03-08 富士通株式会社 Synchronous DRAM
TW378330B (en) * 1997-06-03 2000-01-01 Fujitsu Ltd Semiconductor memory device
US5856940A (en) * 1997-08-15 1999-01-05 Silicon Aquarius, Inc. Low latency DRAM cell and method therefor
JPH1186557A (en) 1997-09-11 1999-03-30 Mitsubishi Electric Corp Synchronous storage device and data reading method therefor
JP4039532B2 (en) * 1997-10-02 2008-01-30 株式会社ルネサステクノロジ Semiconductor integrated circuit device
JP3565474B2 (en) * 1997-11-14 2004-09-15 シャープ株式会社 Semiconductor storage device
US6072743A (en) 1998-01-13 2000-06-06 Mitsubishi Denki Kabushiki Kaisha High speed operable semiconductor memory device with memory blocks arranged about the center
FR2773635B1 (en) * 1998-01-15 2003-01-10 St Microelectronics Sa DEVICE AND METHOD FOR READING REWRITE OF A DYNAMIC LIVE MEMORY CELL
CA2805213A1 (en) * 1998-04-01 1999-10-01 Mosaid Technologies Incorporated Semiconductor memory asynchronous pipeline
TW430815B (en) * 1998-06-03 2001-04-21 Fujitsu Ltd Semiconductor integrated circuit memory and, bus control method
US6178517B1 (en) * 1998-07-24 2001-01-23 International Business Machines Corporation High bandwidth DRAM with low operating power modes
US6510503B2 (en) * 1998-07-27 2003-01-21 Mosaid Technologies Incorporated High bandwidth memory interface
JP2000137983A (en) * 1998-08-26 2000-05-16 Toshiba Corp Semiconductor storage
JP4043151B2 (en) * 1998-08-26 2008-02-06 富士通株式会社 High speed random accessible memory device
KR100523180B1 (en) * 1998-08-26 2005-10-24 후지쯔 가부시끼가이샤 High-speed random access memory device
JP2000163969A (en) * 1998-09-16 2000-06-16 Fujitsu Ltd Semiconductor storage
JP4106782B2 (en) 1998-12-21 2008-06-25 船井電機株式会社 TV phone equipment
JP3267259B2 (en) * 1998-12-22 2002-03-18 日本電気株式会社 Semiconductor storage device
US6208577B1 (en) * 1999-04-16 2001-03-27 Micron Technology, Inc. Circuit and method for refreshing data stored in a memory cell
US6151236A (en) * 2000-02-29 2000-11-21 Enhanced Memory Systems, Inc. Enhanced bus turnaround integrated circuit dynamic random access memory device
JP3376998B2 (en) * 2000-03-08 2003-02-17 日本電気株式会社 Semiconductor storage device
CA2313948A1 (en) 2000-07-07 2002-01-07 Mosaid Technologies Incorporated Low delay, conditional differential data sense and capture scheme for a high speed dram
JP5087200B2 (en) * 2000-07-07 2012-11-28 モサイド・テクノロジーズ・インコーポレーテッド Method and apparatus for synchronizing row and column access operations
CN1307647C (en) * 2000-07-07 2007-03-28 睦塞德技术公司 Dynamic radom access memory, memory device and read command execution method thereof
US6356509B1 (en) * 2000-12-05 2002-03-12 Sonicblue, Incorporated System and method for efficiently implementing a double data rate memory architecture
US6650573B2 (en) * 2001-03-29 2003-11-18 International Business Machines Corporation Data input/output method
JP4246971B2 (en) * 2002-07-15 2009-04-02 富士通マイクロエレクトロニクス株式会社 Semiconductor memory
JP4229674B2 (en) * 2002-10-11 2009-02-25 Necエレクトロニクス株式会社 Semiconductor memory device and control method thereof
US6853602B2 (en) * 2003-05-09 2005-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Hiding error detecting/correcting latency in dynamic random access memory (DRAM)
JP2011086557A (en) 2009-10-16 2011-04-28 Zippertubing (Japan) Ltd Jumper for surface mounting

Also Published As

Publication number Publication date
CN1307647C (en) 2007-03-28
KR20030028544A (en) 2003-04-08
AU2001270400A1 (en) 2002-01-21
CN1446358A (en) 2003-10-01
EP2056301A3 (en) 2009-06-17
US6891772B2 (en) 2005-05-10
KR20070114851A (en) 2007-12-04
US20050180246A1 (en) 2005-08-18
KR100869870B1 (en) 2008-11-24
US8503250B2 (en) 2013-08-06
JP2004502267A (en) 2004-01-22
EP1307884A2 (en) 2003-05-07
US20030151966A1 (en) 2003-08-14
WO2002005281A3 (en) 2002-05-30
US20040202036A1 (en) 2004-10-14
US7450444B2 (en) 2008-11-11
US20100232237A1 (en) 2010-09-16
KR100816915B1 (en) 2008-03-26
EP2056301B1 (en) 2011-11-30
EP2056301A2 (en) 2009-05-06
US7751262B2 (en) 2010-07-06
WO2002005281A2 (en) 2002-01-17
US8045413B2 (en) 2011-10-25
US20090034347A1 (en) 2009-02-05
US6711083B2 (en) 2004-03-23
US20120008426A1 (en) 2012-01-12
KR20080077292A (en) 2008-08-21
KR100872213B1 (en) 2008-12-05
US20060146641A1 (en) 2006-07-06
US7012850B2 (en) 2006-03-14

Similar Documents

Publication Publication Date Title
CA2805048C (en) A high speed dram achitecture with uniform access latency
EP2056301B1 (en) A high speed dram architecture with uniform access latency
JP4226686B2 (en) Semiconductor memory system, semiconductor memory access control method, and semiconductor memory
US6826104B2 (en) Synchronous semiconductor memory
US6741515B2 (en) DRAM with total self refresh and control circuit
US9116781B2 (en) Memory controller and memory device command protocol
US20070195627A1 (en) Dynamic semiconductor memory with improved refresh mechanism
US20010036122A1 (en) Semiconductor device
US5923604A (en) Method and apparatus for anticipatory selection of external or internal addresses in a synchronous memory device
US20010030900A1 (en) Synchronous semiconductor memory
US7082049B2 (en) Random access memory having fast column access
US7154795B2 (en) Clock signal initiated precharge technique for active memory subarrays in dynamic random access memory (DRAM) devices and other integrated circuit devices incorporating embedded DRAM
US6404689B1 (en) Method and structure for hiding a refresh operation in a DRAM having an interlocked pipeline
EP0468135B1 (en) A high speed dynamic, random access memory with extended reset/precharge time

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
AK Designated states

Kind code of ref document: A3

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

AK Designated states

Kind code of ref document: C2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: C2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

ENP Entry into the national phase

Ref document number: 2002 508799

Country of ref document: JP

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 2414920

Country of ref document: CA

WWE Wipo information: entry into national phase

Ref document number: 10336850

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 2001949156

Country of ref document: EP

Ref document number: 1020037000245

Country of ref document: KR

Ref document number: 018124275

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020037000245

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2001949156

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642