WO2002008487A1 - Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation - Google Patents

Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation Download PDF

Info

Publication number
WO2002008487A1
WO2002008487A1 PCT/US2001/023137 US0123137W WO0208487A1 WO 2002008487 A1 WO2002008487 A1 WO 2002008487A1 US 0123137 W US0123137 W US 0123137W WO 0208487 A1 WO0208487 A1 WO 0208487A1
Authority
WO
WIPO (PCT)
Prior art keywords
segments
gas
showerhead
substrate
wafer
Prior art date
Application number
PCT/US2001/023137
Other languages
French (fr)
Inventor
Raymond A. Adomaitis
John N. Kidder, Jr.
Gary W. Rubloff
Original Assignee
The University Of Maryland, College Park
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by The University Of Maryland, College Park filed Critical The University Of Maryland, College Park
Priority to AU2001288225A priority Critical patent/AU2001288225A1/en
Priority to US10/333,784 priority patent/US6821910B2/en
Publication of WO2002008487A1 publication Critical patent/WO2002008487A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Definitions

  • the invention relates to processes which are used to deposit thin films of materials by processes such as chemical vapor deposition (CND), in which chemically reactive gaseous species are introduced into the processing environment under controlled conditions of temperatures, gas flow and pressure, and in some cases additional plasma or optical excitation to cause the deposition of desired materials in thin film form on a substrate surface such as a semiconductor wafer.
  • CND chemical vapor deposition
  • the deposition occurs because of chemical reactions between the gaseous species, usually involving reactions on the surface where deposition is desired, but sometimes involving reactions which occur in the gas phase and lead to formation of new species which then deposit on the surface.
  • CVD is a widely used unit operation in the semiconductor manufacturing industry for thin film device production.
  • the continuing reduction of device feature size and the development of new microelectronic devices have increased the demand for new electronic materials which meet specific materials performance objectives.
  • Common modes of operation include (1) thermal CVD, in which the reaction requires only thermal energy (heating) to proceed, (2) plasma CVD, where a plasma discharge in the gas phase promotes the deposition reaction, and (3) others such as photo-CVD, where the deposition reaction is stimulated by optical excitation.
  • thermal CVD in which the reaction requires only thermal energy (heating) to proceed
  • plasma CVD where a plasma discharge in the gas phase promotes the deposition reaction
  • others such as photo-CVD, where the deposition reaction is stimulated by optical excitation.
  • various combinations of gases and process parameters are required.
  • the various CVD and plasma process modes, described above, have been and are regularly employed in the manufacturing of advanced products. Where the products entail a large area, as in the case of large silicon wafers for semiconductor chips or large glass panels for flat panel displays, the materials performance requirements must be met across a wide spatial extent (8-15 inches) and specified spatial uniformity demands for manufacturing performance.
  • the conventional approach to achieving simultaneous materials performance and across-wafer uniformity for manufacturing is to design the CVD equipment for single- wafer processing so that gas fluxes impinge as uniformly as possible across the wafer.
  • a showerhead consisting of a flat plate parallel to and near the wafer surface.
  • reactor design components - including chamber, wafer position (and rotation), pumping, heating, and gas inlet - are constructed to attempt to maximize uniformity in terms of 2-D cylindrical symmetry about the wafer.
  • the power distribution means used to generate the plasma must also be designed to attempt to produce uniform effects across the wafer.
  • Much of plasma processing equipment today is single- wafer.
  • gas is introduced through a showerhead parallel to and near the wafer surface. This showerhead serves to distribute the reactant gas species in a relatively uniform manner and also as a counterelectrode for the plasma discharge, with the wafer attached to the other electrode.
  • showerhead gas delivery provides a reasonably high degree of symmetry to the process.
  • the deposition symmetry is radial, so that nonuniformities are experienced primarily in the radial direction, e.g., thicker films result in the wafer center region relative to the edges.
  • the deposition reaction consumes the impinging reactant species, the flow of gases radially across the wafer leads to radial nonuniformities, the extent of which depend on the particular chemical species in use.
  • a more flexible design to achieve increased radial uniformity for complex CVD chemistries involves a three-zone showerhead as disclosed in U.S. Patent No. 5,453,124 to Moslehi et al. which has been used for tungsten CVD.
  • gas is introduced from three independently controlled concentric annular rings, each of which features individual zone feed gas mass flow controllers with potential for real-time control of process gas flows to each annular segment.
  • the center region is circular, while the outer two are doughnut-shaped.
  • RTP rapid thermal processing
  • wafers are heated rapidly to reaction temperatures and maintained at these temperatures briefly to accomplish annealing, thermal oxidation, or CVD.
  • CVD thermal oxidation
  • the key issue is temperature uniformity, both during the reaction and during temperature ramp-up.
  • multizone lamp heating arrays have been employed. Radial nonuniformities present a problem in plasma processes as well.
  • Radially symmetric, tunable electrode elements such as those disclosed in U.S. Patent No. 5,716,486 have been proposed to control both process uniformity and the steady-state particle traps which are formed in plasma processes. In all these cases, the equipment design advances have addressed the compensation of only radial nonuniformities .
  • the first problem is to achieve such uniformity in the product (silicon wafer, flat panel display, etc.) by appropriate design and operation of the processing equipment, so that desired product performance is attained simultaneously with the uniformity needed for efficient and economical manufacturing.
  • This problem is exacerbated not only by the continuous reduction of microfeature sizes to be manufactured on substrates (e.g., wafers, panels) of increasing overall size, but also by important technology trends and manufacturing considerations in the industry.
  • interzone mixing sharply diminishes the spatial control which is achievable.
  • the three-zone CVD showerhead design disclosed in U.S. Patent No. 5,453,124 involves the flow of gas from the wafer center across the outer regions of the wafer.
  • the impinging fluxes in the outer wafer radial positions are directly influenced by the extent of reaction and the impinging gas flow at the center of the wafer.
  • This mixing also has the effect of reducing the resolution capabilities of gas composition sensing techniques that rely on gas sampling at discrete locations in the gas phase.
  • an object of the present invention to produce highly controlled spatial distributions of impinging gas fluxes for CVD, plasma and other processes in microelectronics manufacturing equipment. It is another object to enable process uniformity across the wafer/substrate over a broad range of desired process design points, thereby achieving compatible co-optimization of both materials and manufacturing performance. It is yet another object of the present invention to achieve accelerated experimentation and process development by enabling controlled nonuniformity across the wafer/substrate, so that combinatorial methods provide information on multiple experimental design points in each actual experiment on a single wafer. It is a further object to facilitate sensing by gas sampling and installation of other wafer and process state sensors directly above the wafer.
  • each segment of the showerhead is provided with both a gas inlet and a gas exhaust capability that significantly minimizes intersegment mixing.
  • each segment is provided with both a gas inlet and a gas exhaust capability that significantly minimizes intersegment mixing.
  • Further preferred embodiments include modular selectively connected showerhead segments and real time gas and optical sampling mechanism associated with each segment which permit collection of real time data concerning processing.
  • Fig. 1 is an elevation schematic showing in cross section a prior art multizone programmable gas injector showerhead design.
  • Fig. 2 A is a schematic drawing of the prior art showerhead design illustrated in
  • Fig. 2B is a schematic drawing of the flow field of the prior art showerhead design illustrated in Fig. 1.
  • Fig. 3 A is a schematic drawing illustrating a preferred embodiment of one segment of a showerhead design of the present invention.
  • Fig. 3B is a schematic drawing illustrating the flow field for two neighboring showerhead segments of the type illustrated in Fig. 3 A.
  • Fig. 3C is a schematic drawing illustrating the temperature field for neighboring showerhead segments of the type illustrated in Fig. 3 A.
  • Fig. 4 is a top view schematic drawing illustrating a preferred embodiment of a showerhead design of the present invention.
  • Fig. 5 is a cross-sectional schematic view of a preferred embodiment of a segmented showerhead system for CVD and plasma process applications of the present invention.
  • Fig. 6 is a flow diagram illustrating the methodology for using the segmented showerhead design to incorporate intentional nonuniformity across a substrate.
  • Fig. 7 is a schematic drawing illustrating a preferred embodiment of a pre- segment showerhead system of the present invention.
  • Fig. 8 A is a portion of the computer program listing for simulating the effects of using the design of the present invention on a substrate.
  • Fig. 8B is a continuation of the computer program listing in Fig. 8 A.
  • Fig. 8C is a continuation of the computer program listing in Fig. 8B.
  • Fig. 8D is a continuation of the computer program listing in Fig. 8C.
  • Fig. 8E is a continuation of the computer program listing in Fig. 8D.
  • Fig. 9 is a graphical illustration of example of the output of the simulation program illustrated in Figs. 8A-8E.
  • Fig. 10 is an illustration of a typical simulation screen display that can be generated by the present invention.
  • Fig. 11 is a schematic drawing illustrating a single segment showerhead and system model described in the onscreen display illustrated in Fig. 10.
  • Fig. 12 is a schematic drawing of an alternative embodiment of the present invention that utilizes optical sensing.
  • the key to achieving highly controlled spatial distributions of impinging gas fluxes is to prevent or at least substantially minimize intersegment mixing of gas flows.
  • Such mixing of gas flows has been a common problem encountered in prior known devices such as illustrated in Fig. 1.
  • the device illustrated is a three-zone showerhead for CVD processing using concentric annular gas inlet zones (from below) impinging on a wafer held above.
  • the difficulty is that in order for the impinging gas to exit, it all must traverse the wafer surface before being pumped out around the edges of the wafer.
  • Fig. 2 A is a schematic diagram of the prior art device illustrated in Fig. 1 (depicting the right half of a symmetric system) in a wafer-below/showerhead-above arrangement.
  • the gas inlet and outlet configuration in Fig. 2A is the basis for the model used to calculate the resulting flow patterns in this device as illustrated in Fig. 2B. While gas emerges from all three zones of the showerhead, the gas from the center zone (on left in the figures) must flow radially across the entire wafer surface before being pumped around the wafer edge and out of the reactor.
  • Fig. 2B shows the results of calculations for the flow patterns associated with the structure in Figs.
  • the present invention is directed to gas delivery showerhead assembly and related methods for CVD, plasma and other processing of microelectronics. It is designed as a multizone segmented structure which enables impinging gas fluxes and compositions to be varied independently as a function of position over the wafer/substrate. Segment-to- segment variations in partial or total pressure/gas delivery rates can be made to adjust the impingement distribution in linear, radial, or more complex x-y patterns as desired. These patterns may be chosen to achieve process uniformity at a desired design point or to introduce intentional across-wafer nonuniformity in order to carry out combinatorial experiments in which regions of the wafer represent individual experiments at different process design points.
  • Each segment of the showerhead includes not only a gas inlet, but also gas exhaust capability, so that a significant fraction of the exhaust gases may be drawn up and pumped out through the showerhead itself. This minimizes intersegment mixing prevalent in prior known devices and enables a high degree of spatial distribution control of the gas flux seen by the wafer/substrate.
  • the present invention also provides a mechanism for spatially-resolved sensing of inlet and exhaust gas streams for chemical sensing, process metrology and control, and process/equipment model validation.
  • the present invention also relates to plasma processes, in which an electrical discharge is employed to excite a plasma involving the gases introduced into the process reactor in order to accomplish material deposition, etching, oxidation/nitridation, or other modification.
  • a particularly preferred embodiment of the present invention will be specifically discussed in connection with semiconductor chip manufacturing from silicon wafers, but it should be understood that corresponding considerations and conclusions apply to flat panel displays, data storage disks and heads, optoelectronic systems, and other microelectromechanical devices
  • embodiments of the present invention also involve supplementary features, including but not limited to: mechanisms to adjust the dimensions of the showerhead elements and spacing with respect to the wafer/substrate surface; gas distribution and pumping manifolds for the showerhead; chemical and pressure sensors, as well as flow actuation devices; modeling and simulation methods for showerhead design, combinatorial process development, and process learning from data acquired; mechanical designs which enable efficient showerhead fabrication and assembly; and design scaling strategies to achieve showerhead designs with higher spatial resolution (more segments) and
  • Figs. 3A, 5 and 7 Shown in Figs. 3A, 5 and 7 and generally designated by the reference character 10, is a single- wafer process chamber that is utilized for performing various fabrication processes on semiconductor wafers.
  • chamber 10 is only partially illustrated as encompassing the multizone programmable showerhead 12.
  • the chamber includes a process energy source 14 which is preferably a heat or a plasma generation source that is designed to perform uniform process over a wafer 16.
  • a heat source is usually used in thermally activated processes such as chemical vapor deposition processes.
  • Other process energy sources such as plasma may be employed during other fabrication processes, such as plasma etch and plasma enhanced chemical vapor deposition.
  • the wafer 16 is supported in an appropriate manner on a stage 18 that is selectively movable to position the wafer 16 a desired distance from the showerhead 12.
  • the stage 18 may incorporate the previously described energy source 14.
  • the process chamber 10 is further provided with a gate valve 20 that can be selectively utilized to exit gases from the chamber 10.
  • the wafer 16 may be clamped against a heated and/or radio frequency powered chuck or it may be supported on several pins without any clamping.
  • the process chamber is well known in its structure and is therefore not shown or described in detail herein.
  • the multizone showerhead 12 consists of a number of zones or segments 22.
  • Each of the segments 22 has a peripheral wall 24 defining its shape and an interior cavity 28.
  • Each segment 22 is preferably open at its top and bottom as illustrated in figs. 4 and 5.
  • a plate 25 can be provided over a portion of the cavity 28 as illustrated in Fig. 3A.
  • Each segment further includes at least one gas inlet 30 and one gas outlet 32.
  • the gas inlet can be provided with the ability to deliver one or multiple flows of gas simultaneously to the cavity 28. This is accomplished by providing a plurality of conduits 26 that extend into the cavity of each segment 22, with the length of each segment is less than that of the wall 24.
  • the conduits 26 may be positioned against one another, as illustrated in Fig. 5, or spaced from one another, as illustrated in Fig. 7.
  • the geometry of the conduits can be tubular or can replicate the geometry of the peripheral wall 24 of the segment 22.
  • the conduits are part of a fluid control and distribution network associated with the showerhead 13.
  • the flow control and distribution system provides a way of connecting the showerhead 12 with a variety of process gases.
  • the conduits 26 extend from the interior 28 of each segment 12 to a feed gas manifold 15 that contains a plurality of control valve assembly 17 for regulating the flow for each of the respective conduits 26.
  • the manifold 54 is in turn preferably connected to mass flow controllers 19 which selectively regulate the flow of each particular gas to each of the conduits 26 and segments 22. Gas is supplied to the mass flow controllers 19 from a gas storage 21.
  • the control and distribution network 13 can provide separate supplies of multiple process gases to each of the segments 22.
  • the amount of gas supplied to each segments 22 can be the same or can be intentionally varied.
  • Typical process gases which might be utilized would be, for example, hydrogen, argon, silane (SiH ), tungsten hexaflouride (WF 6 ).
  • the number of input process gas channels and the number and type of gases may vary.
  • the desired gas flow rates through the system 13 can be varied both prior to and during the execution of any process within the chamber 10. Gas types and volume can be supplied equally to each segment or in any disparate ratio desired.
  • the flow of gases through the system can either be controlled manually or automatically using known methods. Additionally, the operation of the system 13 can also be dependent upon gas analysis data collected from sensors in the showerhead 12 which will be described below.
  • the gas outlet 32 can take the form of one or more openings in the outer surface, preferably at or near the top of the wall 24, of each segment 22.
  • the gas outlet 32 of each segment 22 extends around the top of the segment from the outer periphery of the inlet 30 to the gas inlet 30 peripheral wall 24 of the segment 22 as illustrated in Figs. 4, 5 and 7.
  • the gas exiting the cavity 28 of each segment through the gas outlet 32 exits preferably enters into a central exhaust chamber 34.
  • the chamber 34 in turn exhausts gases from the system through one or more ports 35.
  • the gas outlet 32 of each segment 22 can exhaust the gas into one or more conduits (not shown) rather than the chamber 34.
  • exhaust gas pumping as well as reactant gas inlet are implemented within each of the segments 22.
  • the flow pattern of the overall showerhead brings a substantial portion of the gases back from the reaction region at the surface of the water through the showerhead 12.
  • This has the effect of significantly reducing intersegment mixing, in that a much smaller amount of exhaust gas traverses the wafer surface below the dividing walls of neighboring segments, compared to the behavior of the prior art devices illustrated in Figs. 1, 2A and 2B.
  • exhaust gas may be selectively extracted through the showerhead 12 using only some of the segments 22, achieving a portion of the full advantage.
  • Fig. 3 A illustrates an exemplary structure of an individual showerhead segment 22, which includes a gas inlet and the exhausting of gas from the region above the wafer 16 back through the showerhead segment 12.
  • One primary advantage of the proposed showerhead design is the increased actuator resolution attainable with this configuration. Gas fed and exhausted in each segment effectively results in the creation of periodic boundary conditions for the flow field at each segment wall 24.
  • the computed flow field for two neighboring showerhead segments 12 is illustrated in Fig. 3B.
  • This flow field further demonstrates that intersegment transport of reacting species by diffusion can be controlled by adjusting the height of the showerhead assembly from the wafer surface.
  • the controllability of intersegment mixing afforded by the segments 22 of the showerhead 12 is exploited in achieving across-wafer uniformity. It will be appreciated by those of skill in the art that the benefits of the recirculating showerhead design equally apply in CVD equipment configurations where the wafer is located either above (Fig. 1) or below (Figs. 3 and 5) the showerhead assembly
  • each showerhead segment 22 preferably has a shape that permits close packing of the segments 22 across the showerhead.
  • a particularly preferred geometry utilizes hexagonal shaped segments.
  • inlet gas is delivered to the wafer surface region at or near the center of the segment 22, while exhaust gases from the reaction region just at or above the wafer surface are pumped back up through the segment 22 to a chamber 34 with a showerhead by an external pumping system.
  • the spacing between the bottom of the segment perimeters and the wafer surface determines the relative role of pumping through the showerhead compared to pumping around the wafer edge.
  • the segmented design of the showerhead 12 optimizes the density of segments 22 in an x-y addressable array, making possible spatial programmability of impinging gas flux in radial, linear, and more complex patterns across the wafer 16.
  • the showerhead segment 12 is designed as a fundamental modular building block that can be readily expanded and assembled to meet the lateral dimensionality required by the product, whether an 8 inch wafer, a 12 inch wafer, or a larger flat panel display.
  • the segmented design of the showerhead can extend equipment lifetime and usage of the showerhead.
  • Some or all of the segments 22 can be preformed as an integral unit from stainless steel or similar materials.
  • each segment 22 can be completely modular with an appropriate connecting mechanism on the outer portion of the wall 24 to permit segments to be selectively attached to or uncoupled from neighboring segments. Optional spacers can also be provided between neighboring segments if desired.
  • Fig. 3C illustrates exemplary temperature field for the present invention that corresponds to the operating conditions that produce the flow field illustrated in Fig. 3B.
  • the complete showerhead assembly may also optionally incorporate active heating/cooling mechanisms to prevent reactant gas condensation/reaction.
  • Fig. 5 illustrates three segments 22 of a seven segment system arrangement which are combined to form a multisegment showerhead 12 as previously described, that also analyzes exhaust gases from segment 22 to obtain a measure of the reaction rate as a function of position.
  • Downstream gas sampling using chemical sensing techniques like mass spectrometry have already demonstrated an ability to deliver deposition rate and thickness metrologies for CVD and plasma CVD processes.
  • Any showerhead segment 12 that it is desired to analyze exhaust gases from is provided with a sampling gas exit 40. The exit is preferably located within the cavity 28 of the segment 22 in close proximity to the wafer 16.
  • Each exit 40 is connected to a gas sampling capillary 42.
  • the gas to be sampled is pumped through the sampling capillaries 42 and to a gas analyzer 44 using a multiplexing device 46.
  • a wide variety of known multiplexers and gas analyzers can be effectively utilized in connection with the showerhead 12.
  • a Leybold Inficon Composer and mass spectrometer have been found to be effective for use in connection with the present invention.
  • each of the segments 22 of the showerhead 12 is provided with both an illumination source 36 and an optical sensing element 38.
  • This information can be combined with the data from a gas pumping and sensing system referred to generally as 41, as previously described.
  • the optical sensing data from that system can be provided to a control computer for analysis and modeling as will be described below.
  • the final step makes use of both in situ (gas sampling and temperature measurements) and ex situ data (deposition thickness, sheet resistance, material phase composition).
  • in situ gas sampling and temperature measurements
  • ex situ data deposition thickness, sheet resistance, material phase composition
  • Figs. 8A-8E illustrate a preferred example of a computer program listing for analyzing the segment by segment data that can be realized from the design of the present invention and converts that into a system model.
  • Fig. 9 represents a typical example of a graphical output of the simulation program illustrated in Figs. 8A-8E that can be generated. This output maps the effect of various processing parameters in a plurality of segments across a single wafer on the thickness and uniformity of the film deposited on the wafer thereon in three dimensions.
  • FIG. 10 illustrates a typical screen display that can be generated utilizing a computer simulation program and data from the present invention.
  • Fig. 11 is a schematic drawing of a single showerhead segment and system model of the type that is referred to in the simulator screen illustrated in Fig. 10. It will be understood by those of skill in the art that the modeling and simulation program and output illustrated and described herein is merely exemplary and that many variations are possible and are also within the scope of the present invention.
  • the highly controllable design enables significantly increased process uniformity across the substrate or wafer over a broad range, of desired process design points, thereby achieving compatible co-optimization of both materials and manufacturing performance.
  • the showerhead 12 is provided with seven hexagonally shaped segments 22 (designated as A-G in Fig. 6) that are arranged in order to maximize coverage over a circular wafer 16. Due to the significant reduction or elimination of intersegment mixing between the segments, some or all of the respective segments A, B, C, D, E, F and G, can be intentionally programmed to have nonuniform processing parameters as indicated in box 44, that are not affected by the processing parameters of neighboring segments.
  • a library wafer 46 is created which reveals the materials consequences of various process parameters present in the segments A, B, C, D, E, F and G respectfully, which are at different positions on the single wafer 46.
  • This functionality along with the ability to adjust and achieve close wafer/showerhead spacing permits the granularity of the library wafer 46 to be controlled within a range spanning sharply defined structures such as the hexagonal region depicted in Fig. 6.
  • the showerhead assembly and reactor are reprogrammed to produce uniform films, as indicated in box 48, that have the desired materials properties from the selected segment from the library wafer 46 across the entire wafer surface.
  • This ability is illustrated in Fig. 6 in creation of production wafers 50A, 50B and 50C, respectively, which represent wafers having uniform thin film across the entire wafer surface corresponding to the process conditions of the corresponding segments A, B and C, respectively, of the library wafer 46.
  • This programmed nonuniformity scenario represents a major advance beyond the conventional approach to process development, particularly well suited to the complexity challenge of new materials and processes and leveraged by both the technology innovation described here and by the combinatorial approach to materials and process discovery.
  • the capabilities of the present invention illustrated in Fig. 6 also represent a major benefit of the segmented showerhead of the present invention for existing processes.
  • individual processes must often be adjusted and reoptimized to raise yields for the revised process flows, a requirement of process integration.
  • the process design point desired for enhancing product performance may be known, but uniformity is inadequate to achieve manufacturing yield. In this case, returning of the gas flux distribution from the segmented showerhead may be the only step required to obtain adequate uniformity at the desired process design point.
  • embodiments have been described herein employing conventional gas flow components, other components and system arrangements may be more efficient and cost- effective in more sophisticated embodiments which achieve higher spatial resolution across the wafer and/or larger wafer/substrate sizes.
  • Other embodiments of the present invention also have additional characteristics which will further facilitate scaleup to larger numbers of independently controllable showerhead segments, larger wafer or substrate size, and higher spatial resolution and control. Spatial distribution of inlet and exhaust gas channels, as well as sensor and control means, may be accomplished through a more integrated assembly such as using vertical and horizontal channels in a mechanical structure.
  • MEMS microelectromechanical systems
  • another embodiment of the present invention incorporates MEMS devices into the showerhead, in the form of chemical and optical sensors, pressure sensors, temperature sensors, actuators for flow control, and integrated control systems.
  • Scaleup to larger wafer or substrate size is further facilitated by the use of independent modular showerhead segments which can be readily interconnected and to achieve showerheads as large as required, with each segment incorporating gas inlet and exhaust, sensors, actuators, and control means, along with interconnections automatically made to the corresponding facilities in neighboring segments.
  • the preferred embodiments described herein relate primarily to two-dimensional distributions of programmable showerhead segments. Such designs are appropriate for the preponderance of practice in current single- afer processing tools. However, in some cases a one-dimension (linear) distribution of segments may be useful as well, in which the wafer or substrate may pass under the linear showerhead during the process. Although such linear designs have seen limited use, they may have advantages particularly for producing uniform coatings on large substrates.
  • the programmable nonuniformity feature of the present invention may provide benefit in other situations as well, even where the process technology is well known.
  • Many chemical processes exhibit dependence on pattern factors, where process rates or topography vary with the density of patterns on the wafer, chip designs which involve relatively large regions of one pattern (e.g., memory chips), it may be possible to produce a first-order correction for pattern factor dependence utilizing programmable nonuniformity.
  • Another possibility is that programmable nonuniformity could be used to manufacture wafers with different chip designs at different positions on the wafer, e.g., needing different layer thicknesses on different chips.
  • the present invention is also believed to be applicable to atomic layer deposition (ALD), as well as to conventional CVD and plasma processes.
  • ALD atomic layer deposition
  • ALD is drawing great interest because of its ability to achieve high materials quality and process reproducibility. It exploits the self-limiting adsorption of reactants on the surface, exposing the surface to reactants sequentially rather than in parallel.
  • the spatially programmable showerhead described herein is relevant to ALD in several ways. First, while ALD may improve across-wafer uniformity (as well as conformality over 3-D microfeatures), the spatially programmable showerhead could add the benefit of rapid experimentation through use of intentional nonuniform ALD across the wafer.
  • the dominance of exhaust gas pumping through the showerhead segments may provide the rapid gas exchange needed between reactant exposure steps to achieve high throughput in ALD, which is normally a low rate process.

Abstract

A multizone, segmented showerhead provides a gas impingement flux distribution which is controllable in two lateral dimensions to achieve programmable uniformity in chemical vapor deposition, in plasma deposition and etching and other processes. Recirculation (pumping) of exhaust gases back through the showerhead reduces intersegment mixing to achieve a high degree of spatial control of the process. This spatial control of the impinging gas flux distribution assures that uniformity can be achieved at process design points selected to optimize materials performance. Spatial control also permits rapid experimentation by enabling the introduction of intentional nonuniformities so that combinatorial data from across the wafer/substrate provides results of simultaneous experiments at different process design points This ability is useful for process tuning and optimization in manufacturing or for rapid materials and process discovery and optimization in research and development.

Description

FIELD OF THE INVENTION
The invention relates to processes which are used to deposit thin films of materials by processes such as chemical vapor deposition (CND), in which chemically reactive gaseous species are introduced into the processing environment under controlled conditions of temperatures, gas flow and pressure, and in some cases additional plasma or optical excitation to cause the deposition of desired materials in thin film form on a substrate surface such as a semiconductor wafer. The deposition occurs because of chemical reactions between the gaseous species, usually involving reactions on the surface where deposition is desired, but sometimes involving reactions which occur in the gas phase and lead to formation of new species which then deposit on the surface.
BACKGROUND OF THE INVENTION
CVD is a widely used unit operation in the semiconductor manufacturing industry for thin film device production. The continuing reduction of device feature size and the development of new microelectronic devices have increased the demand for new electronic materials which meet specific materials performance objectives. Common modes of operation include (1) thermal CVD, in which the reaction requires only thermal energy (heating) to proceed, (2) plasma CVD, where a plasma discharge in the gas phase promotes the deposition reaction, and (3) others such as photo-CVD, where the deposition reaction is stimulated by optical excitation. To obtain the desired properties in the deposited thin films of metal, insulator, or semiconductor materials, various combinations of gases and process parameters are required. While selecting these optimal combinations has long been a challenge, to meet the demands of feature size reduction and device performance, the challenge is even greater today as fundamentally new and more complex materials and CVD chemistries are required, both for active devices (e.g., high dielectric constant insulators for FET gates) and for advanced interconnections (including low dielectric constant insulators, copper metallurgy, and metal nitride diffusion barrier layers).
Competitive manufacturing of semiconductors imposes a major additional requirement on CVD processes in the form of manufacturing performance. Silicon wafer sizes are being increased from eight inches to twelve inches diameter in order to reduce the cost per chip, where chips are of order 1 cm2 area each. This increase in wafer size means more than twice the number of chips are now produced per wafer processed. However, the properties of each chip on the wafer must be virtually identical, requiring each process to exhibit uniformity of its metrics across the wafer, e.g., to within 1%. Furthermore, the processing rates must be sufficiently high for rapid deposition and high throughput, as needed for cost minimization. Similar considerations apply in other CVD application areas, such as plasma CVD processing of flat panel displays. Besides plasma- enhanced CVD, plasma etch processes are widely exploited for etching of materials, especially directional etching as needed for the fabrication of submicron device and interconnect structures and present similar problems and consideration.
The various CVD and plasma process modes, described above, have been and are regularly employed in the manufacturing of advanced products. Where the products entail a large area, as in the case of large silicon wafers for semiconductor chips or large glass panels for flat panel displays, the materials performance requirements must be met across a wide spatial extent (8-15 inches) and specified spatial uniformity demands for manufacturing performance. The conventional approach to achieving simultaneous materials performance and across-wafer uniformity for manufacturing is to design the CVD equipment for single- wafer processing so that gas fluxes impinge as uniformly as possible across the wafer. To attempt to obtain maximum uniformity of gas impingement, some or all of the gases are delivered to the wafer through a showerhead, consisting of a flat plate parallel to and near the wafer surface. The gas passes through a high density of uniformly spaced small holes in the showerhead, thus distributing the gas flow as uniformly as possible across a large diameter wafer. In addition, reactor design components - including chamber, wafer position (and rotation), pumping, heating, and gas inlet - are constructed to attempt to maximize uniformity in terms of 2-D cylindrical symmetry about the wafer.
Various showerhead designs have been developed to attempt to generate uniform gas flow patterns over the wafer surface or for uniform film deposition. The requirement of across-wafer process uniformity has been a major driving force for the industry trend to single-wafer processing and the delivery of gases through a showerhead in relatively close proximity to the wafer surface (from about 2 to 20 mm).
For plasma processing equipment, the power distribution means used to generate the plasma must also be designed to attempt to produce uniform effects across the wafer. Much of plasma processing equipment today is single- wafer. For reactive ion etching and for plasma CVD, gas is introduced through a showerhead parallel to and near the wafer surface. This showerhead serves to distribute the reactant gas species in a relatively uniform manner and also as a counterelectrode for the plasma discharge, with the wafer attached to the other electrode. Known single-wafer CVD and plasma process equipment using showerhead gas delivery provides a reasonably high degree of symmetry to the process. However, because the gas is introduced as uniform flux but is pumped away at the edges of the wafer, the deposition symmetry is radial, so that nonuniformities are experienced primarily in the radial direction, e.g., thicker films result in the wafer center region relative to the edges. Because the deposition reaction consumes the impinging reactant species, the flow of gases radially across the wafer leads to radial nonuniformities, the extent of which depend on the particular chemical species in use.
A more flexible design to achieve increased radial uniformity for complex CVD chemistries involves a three-zone showerhead as disclosed in U.S. Patent No. 5,453,124 to Moslehi et al. which has been used for tungsten CVD. In this system, gas is introduced from three independently controlled concentric annular rings, each of which features individual zone feed gas mass flow controllers with potential for real-time control of process gas flows to each annular segment. The center region is circular, while the outer two are doughnut-shaped. By changing the gas flows in one zone relative to another, one can attempt to alter radial profile of deposition rate.
i practice, this has seen limited use for depositing metal compound barrier layers, using a single feed gas and with manually switched flow conductance elements to shorten development cycle time for new process equipment. Although this design has been able to achieve some improved radial uniformity, it still presents significant drawbacks in that it presents a single fixed rather than modular construction, it does not provide for exhaust gas sampling through or real-time sensing in the showerhead, it only permits control of processed gas flows to fixed annular segments, and due to the fact that the gas is pumped away at the edges of the wafer, significant intersegment convective mixing occurs.
Other approaches to controlling process uniformity have been directed to attempting to control spatial distribution of process variables other than gas flow, rapid thermal processing (RTP), wafers are heated rapidly to reaction temperatures and maintained at these temperatures briefly to accomplish annealing, thermal oxidation, or CVD. In RTP, the key issue is temperature uniformity, both during the reaction and during temperature ramp-up. To compensate for radial temperature nonuniformities during RTP (associated primarily with different heat loss rates at the wafer edge cf. its center), multizone lamp heating arrays have been employed. Radial nonuniformities present a problem in plasma processes as well. Radially symmetric, tunable electrode elements such as those disclosed in U.S. Patent No. 5,716,486 have been proposed to control both process uniformity and the steady-state particle traps which are formed in plasma processes. In all these cases, the equipment design advances have addressed the compensation of only radial nonuniformities .
Despite prior advances, CVD and plasma processes continue to face a major challenge in achieving uniformity of thin film layers and microstructures across the wafer. The first problem is to achieve such uniformity in the product (silicon wafer, flat panel display, etc.) by appropriate design and operation of the processing equipment, so that desired product performance is attained simultaneously with the uniformity needed for efficient and economical manufacturing. This problem is exacerbated not only by the continuous reduction of microfeature sizes to be manufactured on substrates (e.g., wafers, panels) of increasing overall size, but also by important technology trends and manufacturing considerations in the industry.
One such trend is the prominence of new materials and processes in the industry. For silicon chips, ultrasmall devices now require insulators with dielectric constants much larger than that of conventional silicon dioxide. The solutions now being widely pursued are complex multicomponent materials such as barium strontium titanate, strontium bismuth titanate, or tantalum oxide, materials which may require doping as well. These materials require CVD processes for manufacturability, but their intrinsic complexity (three to five chemical elements) exacerbates the challenge in both process development and manufacturability. For interconnection technology, low dielectric constant materials are being heavily pursued, in part through CVD processes, with similar challenges, along with new materials (metal nitrides for barrier layers, copper for wiring) for the metallic components. The materials complexity involved in deposition reappears in the challenge of etching these materials using plasma processes.
Another trend is the difficulty in co-optimizing materials and manufacturing performance since they often present competing considerations. Given a process chemistry, the design point which is best for materials performance may yield poor uniformity in a specific reactor (or indeed in most or all reactor configurations), while process parameters which achieve high uniformity may produce poor materials performance. Hence it is a common problem that materials performance must be compromised to achieve acceptable manufacturing performance (uniformity). Another trend is the escalating cost of manufacturing process equipment, which now dominates the cost of manufacturing facilities. In the face of this, it is crucial to use the equipment as efficiently, as possible, and in particular to minimize the time in which the equipment must be dedicated to testing process development and refinement as opposed to production of completed products. However, the challenge of new materials places an even heavier burden on experimentation to identify suitable process parameters and recipes to use these new materials. Given these strongly competing considerations, rapid materials and process development, therefore, is increasingly important from a cost perspective. In addition, enterprise costs escalate because the lifetime of equipment is limited to only one or two technology generations since they can be readily or economically be modified after the time an entire new equipment design cycle must be carried out and underwritten.
The use of spatially-programmable process parameters within equipment design for CVD and plasma processes has the ability to significantly improve this situation because spatially-tunable process parameters could be exploited to assure uniformity over a wide range of nominal process design points. In particular, multizone showerheads can ensure that uniformity is obtained at CVD or plasma process conditions desired. However, as embodied in prior known multizone showerheads, several important problems have not yet been solved, or explicitly recognized.
First, interzone mixing sharply diminishes the spatial control which is achievable. For example, the three-zone CVD showerhead design disclosed in U.S. Patent No. 5,453,124 involves the flow of gas from the wafer center across the outer regions of the wafer. As a result, the impinging fluxes in the outer wafer radial positions are directly influenced by the extent of reaction and the impinging gas flow at the center of the wafer. This mixing also has the effect of reducing the resolution capabilities of gas composition sensing techniques that rely on gas sampling at discrete locations in the gas phase.
Second, spatial programmability of the process is only accomplished in the radial direction, i reality, other sources cause non-radial nonuniformities as well, from the asymmetries of gas flow due to upstream and downstream equipment geometry, to pattern-dependent reaction and depletion caused by the fact that the patterns and pattern density of microstructures on the wafer vary with position.
Lastly, rapid materials and process experimentation is not achieved. Although three zones in the showerhead may allow better control of uniformity, substantial experimentation is still required, and the information contained from varying the relationship of the three zones will not substantially accelerate process learning (in the analysis of both real time sensing and post processing metrology data). Only three zones are involved and the interzone mixing affects the information content in a way which depends on the unknown process chemistry.
The shortened time scales for products in these industries demand more rapid process and product development, h an environment of new materials and processes, this presents a major difficulty, because much experimentation is required, and little fundamental knowledge exists to guide the materials and process development activities. No matter how efficient the design of such experiments may be, the complexity of the new materials combinations to be considered places a heavy burden on comprehensive experimentation which is costly and time-consuming. And even for conventional materials and processes, significant experimentation is required both in development and in manufacturing in order to optimize individual processes for materials performance and manufacturing uniformity, and to adjust the design points for several processes to a system-level optimum as required for process integration and yield.
A fundamental limitation in known conventional experimentation, both in development and in manufacturing, is that many wafers must be processed to acquire an adequate picture of materials and process performance. With single- wafer processing already a dominant trend, industry has begun to show great interest in the development and deployment of advanced process control methods which can assure wafer-to-wafer repeatability in manufacturing. Given this concern, it is clear that sequential processing of multiple wafers incurs inaccuracies associated with wafer-to- wafer variation of process and equipment, presenting a further obstacle to rapid experimentation. The demand for new, more complex materials and processes further exacerbates this problem, but at the same time it opens the door to thinking about strategies for major improvement. One example is that of combinatorial methods, in which many versions of a material are produced in parallel, with gradients of stoichiometry intentionally created across an array of samples. Additionally, few solutions have been proposed to measure uniformity through in-situ and/or real-time sensors, and none for CVD.
It is, therefore, apparent that there is a substantial need in the art to achieve a substantially higher degree of control of process uniformity and to accelerate the process development and optimization cycle by minimizing the experimentation required.
SUMMARY OF THE INVENTION
It is, therefore, an object of the present invention to produce highly controlled spatial distributions of impinging gas fluxes for CVD, plasma and other processes in microelectronics manufacturing equipment. It is another object to enable process uniformity across the wafer/substrate over a broad range of desired process design points, thereby achieving compatible co-optimization of both materials and manufacturing performance. It is yet another object of the present invention to achieve accelerated experimentation and process development by enabling controlled nonuniformity across the wafer/substrate, so that combinatorial methods provide information on multiple experimental design points in each actual experiment on a single wafer. It is a further object to facilitate sensing by gas sampling and installation of other wafer and process state sensors directly above the wafer. It is still a further object to enable the modular design of process gas delivery showerhead devices. It is another object of the present invention to provide each segment of the showerhead with both a gas inlet and a gas exhaust capability that significantly minimizes intersegment mixing. These and other objects of the present invention are realized by a multizone programmable showerhead and method for use in microelectronics processing that allows gas flow rates and compositions to be independently controlled with in each zone or segment without any significant intersegment mixing of gases. In preferred embodiments, each segment is provided with both a gas inlet and a gas exhaust capability that significantly minimizes intersegment mixing. Further preferred embodiments include modular selectively connected showerhead segments and real time gas and optical sampling mechanism associated with each segment which permit collection of real time data concerning processing.
BRIEF DESCRIPTION OF THE DRAWINGS
The foregoing and additional objects and advantages of the invention will become more apparent as the following detailed description is read in conjunction with the accompanying drawing wherein like reference characters denote like parts in all views and wherein:
Fig. 1 is an elevation schematic showing in cross section a prior art multizone programmable gas injector showerhead design.
Fig. 2 A is a schematic drawing of the prior art showerhead design illustrated in
Fig. 1.
Fig. 2B is a schematic drawing of the flow field of the prior art showerhead design illustrated in Fig. 1.
Fig. 3 A is a schematic drawing illustrating a preferred embodiment of one segment of a showerhead design of the present invention.
Fig. 3B is a schematic drawing illustrating the flow field for two neighboring showerhead segments of the type illustrated in Fig. 3 A.
Fig. 3C is a schematic drawing illustrating the temperature field for neighboring showerhead segments of the type illustrated in Fig. 3 A.
Fig. 4 is a top view schematic drawing illustrating a preferred embodiment of a showerhead design of the present invention.
Fig. 5 is a cross-sectional schematic view of a preferred embodiment of a segmented showerhead system for CVD and plasma process applications of the present invention.
Fig. 6 is a flow diagram illustrating the methodology for using the segmented showerhead design to incorporate intentional nonuniformity across a substrate. Fig. 7 is a schematic drawing illustrating a preferred embodiment of a pre- segment showerhead system of the present invention.
Fig. 8 A is a portion of the computer program listing for simulating the effects of using the design of the present invention on a substrate.
Fig. 8B is a continuation of the computer program listing in Fig. 8 A.
Fig. 8C is a continuation of the computer program listing in Fig. 8B.
Fig. 8D is a continuation of the computer program listing in Fig. 8C.
Fig. 8E is a continuation of the computer program listing in Fig. 8D.
Fig. 9 is a graphical illustration of example of the output of the simulation program illustrated in Figs. 8A-8E.
Fig. 10 is an illustration of a typical simulation screen display that can be generated by the present invention.
Fig. 11 is a schematic drawing illustrating a single segment showerhead and system model described in the onscreen display illustrated in Fig. 10.
Fig. 12 is a schematic drawing of an alternative embodiment of the present invention that utilizes optical sensing.
DETAILED DESCRIPTION OF CERTAIN PREFERRED EMBODIMENTS
The key to achieving highly controlled spatial distributions of impinging gas fluxes is to prevent or at least substantially minimize intersegment mixing of gas flows. Such mixing of gas flows has been a common problem encountered in prior known devices such as illustrated in Fig. 1. The device illustrated is a three-zone showerhead for CVD processing using concentric annular gas inlet zones (from below) impinging on a wafer held above. The difficulty is that in order for the impinging gas to exit, it all must traverse the wafer surface before being pumped out around the edges of the wafer.
Fig. 2 A is a schematic diagram of the prior art device illustrated in Fig. 1 (depicting the right half of a symmetric system) in a wafer-below/showerhead-above arrangement. The gas inlet and outlet configuration in Fig. 2A is the basis for the model used to calculate the resulting flow patterns in this device as illustrated in Fig. 2B. While gas emerges from all three zones of the showerhead, the gas from the center zone (on left in the figures) must flow radially across the entire wafer surface before being pumped around the wafer edge and out of the reactor. Fig. 2B shows the results of calculations for the flow patterns associated with the structure in Figs. 1 and 2B, computed using (global) spectral methods to solve the reacting species component conservation equations. When the total flow velocity through the showerhead is held constant across the showerhead (to minimize mixing from shear-induced flow instabilities) and when the reactant species are introduced through a single segment (with inert gas introduced through the other segments) it has been found that the reactant concentration plume in the vicinity of the wafer surface is shifted considerably from the radial location of the source segment, as shown in Fig. 2B. The extent of this shifting effect depends on the total gas feed rate, the showerhead/wafer spacing, and other operating parameters, resulting in an inherent unpredictability of the effects of this design on film deposition properties.
The present invention is directed to gas delivery showerhead assembly and related methods for CVD, plasma and other processing of microelectronics. It is designed as a multizone segmented structure which enables impinging gas fluxes and compositions to be varied independently as a function of position over the wafer/substrate. Segment-to- segment variations in partial or total pressure/gas delivery rates can be made to adjust the impingement distribution in linear, radial, or more complex x-y patterns as desired. These patterns may be chosen to achieve process uniformity at a desired design point or to introduce intentional across-wafer nonuniformity in order to carry out combinatorial experiments in which regions of the wafer represent individual experiments at different process design points.
Each segment of the showerhead includes not only a gas inlet, but also gas exhaust capability, so that a significant fraction of the exhaust gases may be drawn up and pumped out through the showerhead itself. This minimizes intersegment mixing prevalent in prior known devices and enables a high degree of spatial distribution control of the gas flux seen by the wafer/substrate. The present invention also provides a mechanism for spatially-resolved sensing of inlet and exhaust gas streams for chemical sensing, process metrology and control, and process/equipment model validation.
The present invention also relates to plasma processes, in which an electrical discharge is employed to excite a plasma involving the gases introduced into the process reactor in order to accomplish material deposition, etching, oxidation/nitridation, or other modification. A particularly preferred embodiment of the present invention will be specifically discussed in connection with semiconductor chip manufacturing from silicon wafers, but it should be understood that corresponding considerations and conclusions apply to flat panel displays, data storage disks and heads, optoelectronic systems, and other microelectromechanical devices As will be described in more detail to follow, embodiments of the present invention also involve supplementary features, including but not limited to: mechanisms to adjust the dimensions of the showerhead elements and spacing with respect to the wafer/substrate surface; gas distribution and pumping manifolds for the showerhead; chemical and pressure sensors, as well as flow actuation devices; modeling and simulation methods for showerhead design, combinatorial process development, and process learning from data acquired; mechanical designs which enable efficient showerhead fabrication and assembly; and design scaling strategies to achieve showerhead designs with higher spatial resolution (more segments) and lateral extent (for larger wafers/substrates) using meso-scale and microscale components.
Referring specifically to Figs. 3A, 4, 5 and 7, certain preferred embodiments of the present invention will now be described. Shown in Figs. 3A, 5 and 7 and generally designated by the reference character 10, is a single- wafer process chamber that is utilized for performing various fabrication processes on semiconductor wafers. As illustrated, chamber 10 is only partially illustrated as encompassing the multizone programmable showerhead 12. The chamber includes a process energy source 14 which is preferably a heat or a plasma generation source that is designed to perform uniform process over a wafer 16. A heat source is usually used in thermally activated processes such as chemical vapor deposition processes. Other process energy sources such as plasma may be employed during other fabrication processes, such as plasma etch and plasma enhanced chemical vapor deposition.
The wafer 16 is supported in an appropriate manner on a stage 18 that is selectively movable to position the wafer 16 a desired distance from the showerhead 12. The stage 18 may incorporate the previously described energy source 14. The process chamber 10 is further provided with a gate valve 20 that can be selectively utilized to exit gases from the chamber 10. The wafer 16 may be clamped against a heated and/or radio frequency powered chuck or it may be supported on several pins without any clamping. The process chamber is well known in its structure and is therefore not shown or described in detail herein.
h preferred embodiments, the multizone showerhead 12 consists of a number of zones or segments 22. Each of the segments 22 has a peripheral wall 24 defining its shape and an interior cavity 28. Each segment 22 is preferably open at its top and bottom as illustrated in figs. 4 and 5. Alternatively, a plate 25 can be provided over a portion of the cavity 28 as illustrated in Fig. 3A. Each segment further includes at least one gas inlet 30 and one gas outlet 32. The gas inlet can be provided with the ability to deliver one or multiple flows of gas simultaneously to the cavity 28. This is accomplished by providing a plurality of conduits 26 that extend into the cavity of each segment 22, with the length of each segment is less than that of the wall 24. The conduits 26 may be positioned against one another, as illustrated in Fig. 5, or spaced from one another, as illustrated in Fig. 7. The geometry of the conduits can be tubular or can replicate the geometry of the peripheral wall 24 of the segment 22.
As illustrated in Fig. 5, the conduits are part of a fluid control and distribution network associated with the showerhead 13. As generally indicated by the reference number 13, the flow control and distribution system provides a way of connecting the showerhead 12 with a variety of process gases. The conduits 26 extend from the interior 28 of each segment 12 to a feed gas manifold 15 that contains a plurality of control valve assembly 17 for regulating the flow for each of the respective conduits 26. The manifold 54 is in turn preferably connected to mass flow controllers 19 which selectively regulate the flow of each particular gas to each of the conduits 26 and segments 22. Gas is supplied to the mass flow controllers 19 from a gas storage 21. The control and distribution network 13 can provide separate supplies of multiple process gases to each of the segments 22.
The amount of gas supplied to each segments 22 can be the same or can be intentionally varied. Typical process gases which might be utilized would be, for example, hydrogen, argon, silane (SiH ), tungsten hexaflouride (WF6). Depending on the process, the number of input process gas channels and the number and type of gases may vary. The desired gas flow rates through the system 13 can be varied both prior to and during the execution of any process within the chamber 10. Gas types and volume can be supplied equally to each segment or in any disparate ratio desired. The flow of gases through the system can either be controlled manually or automatically using known methods. Additionally, the operation of the system 13 can also be dependent upon gas analysis data collected from sensors in the showerhead 12 which will be described below.
The gas outlet 32 can take the form of one or more openings in the outer surface, preferably at or near the top of the wall 24, of each segment 22. In preferred embodiments, the gas outlet 32 of each segment 22 extends around the top of the segment from the outer periphery of the inlet 30 to the gas inlet 30 peripheral wall 24 of the segment 22 as illustrated in Figs. 4, 5 and 7. The gas exiting the cavity 28 of each segment through the gas outlet 32 exits preferably enters into a central exhaust chamber 34. The chamber 34 in turn exhausts gases from the system through one or more ports 35. In an alternative embodiment, the gas outlet 32 of each segment 22 can exhaust the gas into one or more conduits (not shown) rather than the chamber 34.
hi the present invention, exhaust gas pumping as well as reactant gas inlet are implemented within each of the segments 22. In this way the flow pattern of the overall showerhead brings a substantial portion of the gases back from the reaction region at the surface of the water through the showerhead 12. This has the effect of significantly reducing intersegment mixing, in that a much smaller amount of exhaust gas traverses the wafer surface below the dividing walls of neighboring segments, compared to the behavior of the prior art devices illustrated in Figs. 1, 2A and 2B. In other embodiments of the present invention, exhaust gas may be selectively extracted through the showerhead 12 using only some of the segments 22, achieving a portion of the full advantage.
Fig. 3 A illustrates an exemplary structure of an individual showerhead segment 22, which includes a gas inlet and the exhausting of gas from the region above the wafer 16 back through the showerhead segment 12. One primary advantage of the proposed showerhead design is the increased actuator resolution attainable with this configuration. Gas fed and exhausted in each segment effectively results in the creation of periodic boundary conditions for the flow field at each segment wall 24. The computed flow field for two neighboring showerhead segments 12 is illustrated in Fig. 3B. This flow field further demonstrates that intersegment transport of reacting species by diffusion can be controlled by adjusting the height of the showerhead assembly from the wafer surface. The controllability of intersegment mixing afforded by the segments 22 of the showerhead 12 is exploited in achieving across-wafer uniformity. It will be appreciated by those of skill in the art that the benefits of the recirculating showerhead design equally apply in CVD equipment configurations where the wafer is located either above (Fig. 1) or below (Figs. 3 and 5) the showerhead assembly.
The peripheral wall 24 of each showerhead segment 22 preferably has a shape that permits close packing of the segments 22 across the showerhead. A wide variety of geometries, including square or circular shaped segments, can be utilized. A particularly preferred geometry utilizes hexagonal shaped segments. For each segment 22, inlet gas is delivered to the wafer surface region at or near the center of the segment 22, while exhaust gases from the reaction region just at or above the wafer surface are pumped back up through the segment 22 to a chamber 34 with a showerhead by an external pumping system. With the segments 22 in close proximity to the surface of the wafer, as in conventional showerhead designs, the spacing between the bottom of the segment perimeters and the wafer surface determines the relative role of pumping through the showerhead compared to pumping around the wafer edge.
The segmented design of the showerhead 12 optimizes the density of segments 22 in an x-y addressable array, making possible spatial programmability of impinging gas flux in radial, linear, and more complex patterns across the wafer 16. The showerhead segment 12 is designed as a fundamental modular building block that can be readily expanded and assembled to meet the lateral dimensionality required by the product, whether an 8 inch wafer, a 12 inch wafer, or a larger flat panel display. As an extendable structure built from a fundamental unit, the segmented design of the showerhead can extend equipment lifetime and usage of the showerhead. Some or all of the segments 22 can be preformed as an integral unit from stainless steel or similar materials. Alternatively, each segment 22 can be completely modular with an appropriate connecting mechanism on the outer portion of the wall 24 to permit segments to be selectively attached to or uncoupled from neighboring segments. Optional spacers can also be provided between neighboring segments if desired.
Under typical operating conditions, heat transfer up through the segmented showerhead 12 introduces no additional temperature-induced convection or other adverse effects compared to known conventional showerhead designs. Fig. 3C illustrates exemplary temperature field for the present invention that corresponds to the operating conditions that produce the flow field illustrated in Fig. 3B. Furthermore, the complete showerhead assembly may also optionally incorporate active heating/cooling mechanisms to prevent reactant gas condensation/reaction.
The modular design of the showerhead 12 provides the additional benefits of accommodating the use of in situ process sensors and thereby simplifying spatial monitoring of residual gas composition. Fig. 5 illustrates three segments 22 of a seven segment system arrangement which are combined to form a multisegment showerhead 12 as previously described, that also analyzes exhaust gases from segment 22 to obtain a measure of the reaction rate as a function of position. Downstream gas sampling using chemical sensing techniques like mass spectrometry have already demonstrated an ability to deliver deposition rate and thickness metrologies for CVD and plasma CVD processes. Any showerhead segment 12 that it is desired to analyze exhaust gases from is provided with a sampling gas exit 40. The exit is preferably located within the cavity 28 of the segment 22 in close proximity to the wafer 16. Each exit 40 is connected to a gas sampling capillary 42. The gas to be sampled is pumped through the sampling capillaries 42 and to a gas analyzer 44 using a multiplexing device 46. A wide variety of known multiplexers and gas analyzers can be effectively utilized in connection with the showerhead 12. A Leybold Inficon Composer and mass spectrometer have been found to be effective for use in connection with the present invention.
Turning now to Fig. 12, another embodiment of the present invention is disclosed where the showerhead 12 is provided with an integrated in situ optical sensing capability, h this embodiment, each of the segments 22 of the showerhead 12 is provided with both an illumination source 36 and an optical sensing element 38. This permits multipoint optical sensing such as full wafer inferometry and spatially resolved process measurements from each segment 22 can be evaluated in real time. This information can be combined with the data from a gas pumping and sensing system referred to generally as 41, as previously described. The optical sensing data from that system can be provided to a control computer for analysis and modeling as will be described below.
The ability to monitor across-wafer uniformity and real time sensing of processing effects is crucial to the present invention to achieve new levels of control and efficiency in semiconductor manufacturing processes. The use of in situ sensors which provide real time measure of exhaust gases in each of the segments 22 of the showerhead 12 along with post-process measurement of across-wafer properties, provide the basis for exploiting advanced modeling methodologies. Integrated model reduction and validation methods benefit from CVD or plasma process systems designed to facilitate model development. Simulator development consists of a three-step procedure: (1) posing a model structure based on modeling those chemical and transport processes that can be accurately characterized; (2) transforming the modeling equations to reduced by discretization; and (3) using this reduced model in an iterative (nonlinear) parameter identification technique to identify model components that may be difficult to determine otherwise. The final step makes use of both in situ (gas sampling and temperature measurements) and ex situ data (deposition thickness, sheet resistance, material phase composition). The reduced models produced by this methodology are used for model- based process control, process optimization, and real-time simulation. This ability obviates the need in prior devices to utilize multiple wafers, reprogram the system, and conduct multiple set-ups to conduct such testing. Even with such efforts, prior devices still could not achieve the highly controlled spatial distributions without significant index segment mixing of the present invention.
The ability to precisely control the processing in each particular segment 22 of the showerhead 12 and monitor the processing in each segment in real time through analysis of exhaust gases and/or optical sensing permits much greater accuracy, speed and economy in dynamic simulation and optimization of equipment design and processes. Figs. 8A-8E illustrate a preferred example of a computer program listing for analyzing the segment by segment data that can be realized from the design of the present invention and converts that into a system model. Fig. 9 represents a typical example of a graphical output of the simulation program illustrated in Figs. 8A-8E that can be generated. This output maps the effect of various processing parameters in a plurality of segments across a single wafer on the thickness and uniformity of the film deposited on the wafer thereon in three dimensions. Fig. 10 illustrates a typical screen display that can be generated utilizing a computer simulation program and data from the present invention. Fig. 11 is a schematic drawing of a single showerhead segment and system model of the type that is referred to in the simulator screen illustrated in Fig. 10. It will be understood by those of skill in the art that the modeling and simulation program and output illustrated and described herein is merely exemplary and that many variations are possible and are also within the scope of the present invention.
With the segmented showerhead design, exploiting exhaust gas pumping through the showerhead 12 as well as a flexible spatial gas impingement pattern, highly controlled spatial distributions are achieved for CVD and plasma processes. This has two profound consequences. First, the highly controllable design enables significantly increased process uniformity across the substrate or wafer over a broad range, of desired process design points, thereby achieving compatible co-optimization of both materials and manufacturing performance. Second, it achieves accelerated experimentation and process development by also enabling controlled nonuniformity across the wafer so that combinatorial methods provide information on multiple experimental design points in each actual experiment. This ability is very important since it permits the ability to test and monitor in real time the materials, consequences of a variety of different process parameters at different locations on a single wafer at the same time.
Referring now to Fig. 6, use of the segmented programmable showerhead 12 of the present invention for rapid process development and optimization is schematically illustrated. In this embodiment, the showerhead 12 is provided with seven hexagonally shaped segments 22 (designated as A-G in Fig. 6) that are arranged in order to maximize coverage over a circular wafer 16. Due to the significant reduction or elimination of intersegment mixing between the segments, some or all of the respective segments A, B, C, D, E, F and G, can be intentionally programmed to have nonuniform processing parameters as indicated in box 44, that are not affected by the processing parameters of neighboring segments. By programming in intentional across wafer nonuniformity as described, a library wafer 46 is created which reveals the materials consequences of various process parameters present in the segments A, B, C, D, E, F and G respectfully, which are at different positions on the single wafer 46. This functionality, along with the ability to adjust and achieve close wafer/showerhead spacing permits the granularity of the library wafer 46 to be controlled within a range spanning sharply defined structures such as the hexagonal region depicted in Fig. 6.
Alternatively, by manipulating the space between the showerhead and the wafer, continuously graded wafers that show the effect of gradations of the nonuniform process parameters in the neighboring segments can also be tested on the single library wafer 46. Once the desired material properties are identified and associated with specific process conditions, the showerhead assembly and reactor are reprogrammed to produce uniform films, as indicated in box 48, that have the desired materials properties from the selected segment from the library wafer 46 across the entire wafer surface. This ability is illustrated in Fig. 6 in creation of production wafers 50A, 50B and 50C, respectively, which represent wafers having uniform thin film across the entire wafer surface corresponding to the process conditions of the corresponding segments A, B and C, respectively, of the library wafer 46.
This programmed nonuniformity scenario represents a major advance beyond the conventional approach to process development, particularly well suited to the complexity challenge of new materials and processes and leveraged by both the technology innovation described here and by the combinatorial approach to materials and process discovery. The capabilities of the present invention illustrated in Fig. 6 also represent a major benefit of the segmented showerhead of the present invention for existing processes. As manufacturing goes through a rapid sequence of product enhancements, individual processes must often be adjusted and reoptimized to raise yields for the revised process flows, a requirement of process integration. For some important cases, the process design point desired for enhancing product performance may be known, but uniformity is inadequate to achieve manufacturing yield. In this case, returning of the gas flux distribution from the segmented showerhead may be the only step required to obtain adequate uniformity at the desired process design point.
While embodiments have been described herein employing conventional gas flow components, other components and system arrangements may be more efficient and cost- effective in more sophisticated embodiments which achieve higher spatial resolution across the wafer and/or larger wafer/substrate sizes. Other embodiments of the present invention also have additional characteristics which will further facilitate scaleup to larger numbers of independently controllable showerhead segments, larger wafer or substrate size, and higher spatial resolution and control. Spatial distribution of inlet and exhaust gas channels, as well as sensor and control means, may be accomplished through a more integrated assembly such as using vertical and horizontal channels in a mechanical structure. With the rapid development of microelectromechanical systems (MEMS) technology, another embodiment of the present invention incorporates MEMS devices into the showerhead, in the form of chemical and optical sensors, pressure sensors, temperature sensors, actuators for flow control, and integrated control systems. Scaleup to larger wafer or substrate size is further facilitated by the use of independent modular showerhead segments which can be readily interconnected and to achieve showerheads as large as required, with each segment incorporating gas inlet and exhaust, sensors, actuators, and control means, along with interconnections automatically made to the corresponding facilities in neighboring segments.
The preferred embodiments described herein relate primarily to two-dimensional distributions of programmable showerhead segments. Such designs are appropriate for the preponderance of practice in current single- afer processing tools. However, in some cases a one-dimension (linear) distribution of segments may be useful as well, in which the wafer or substrate may pass under the linear showerhead during the process. Although such linear designs have seen limited use, they may have advantages particularly for producing uniform coatings on large substrates.
The embodiments described above provide solutions that achieve a substantially higher degree of control of process uniformity and accelerate the process development and optimization cycle by minimizing the experimentation required. By enabling independent control of the spatial distribution of gas fluxes in independent showerhead segments, it is possible to achieve across-wafer uniformity at whatever nominal process parameter design point is desired, thereby improving materials and product quality and manufacturing yield. This overcomes the current obstacle by which materials and process performance must be a tradeoff against the across-wafer uniformity of the process.
Furthermore, spatial programmability of gas fluxes will also enable the generation of intentional across-wafer nonuniformities. This will facilitate rapid experimentation and process optimization in known materials systems. It will also accelerate materials and process discovery, which is particularly important for the major challenges posed by future technology requirements.
The programmable nonuniformity feature of the present invention may provide benefit in other situations as well, even where the process technology is well known. Many chemical processes exhibit dependence on pattern factors, where process rates or topography vary with the density of patterns on the wafer, chip designs which involve relatively large regions of one pattern (e.g., memory chips), it may be possible to produce a first-order correction for pattern factor dependence utilizing programmable nonuniformity. Another possibility is that programmable nonuniformity could be used to manufacture wafers with different chip designs at different positions on the wafer, e.g., needing different layer thicknesses on different chips.
The present invention is also believed to be applicable to atomic layer deposition (ALD), as well as to conventional CVD and plasma processes. ALD is drawing great interest because of its ability to achieve high materials quality and process reproducibility. It exploits the self-limiting adsorption of reactants on the surface, exposing the surface to reactants sequentially rather than in parallel. The spatially programmable showerhead described herein is relevant to ALD in several ways. First, while ALD may improve across-wafer uniformity (as well as conformality over 3-D microfeatures), the spatially programmable showerhead could add the benefit of rapid experimentation through use of intentional nonuniform ALD across the wafer. Second, the dominance of exhaust gas pumping through the showerhead segments (compared to the main reactor pumping system) may provide the rapid gas exchange needed between reactant exposure steps to achieve high throughput in ALD, which is normally a low rate process. Having described various embodiments of the invention, it will be understood that many changes and modifications can be made thereto without departing from the spirit or scope of the invention.

Claims

WHAT IS CLAIMED IS:
1. A multizone gas injector and distribution showerhead for use in microelectronics substrate processing and equipment, comprising:
a plurality of separately programmable segments, each of said segments having a wall with a first end located in proximity of the substrate and a second end spaced from said substrate and an internal cavity between said first and second ends within said wall;
a gas inlet associated with each of said showerhead segments that introduces gases for processing into said cavities; and
an exhaust gas outlet associated with each of said showerhead segments, said gas outlet including selectively actuatable removal of some of the exhaust gas from said cavities of said segments through a portion of said showerhead segments other than said first end.
2. The device of claim 1, wherein said exhaust gas outlet is selectively programmable to remove independently variable amount of gas from each of said plurality of said showerhead segments.
3. The device of claim 1, wherein said exhaust gas outlet removes some gas from all of said showerhead segments.
4. The device of claim 1, wherein said plurality of showerhead segments are arranged to enable a variety of gas impingement patterns, including radial and linear distributions.
5. The device of claim 1 , wherein said plurality of showerhead segments are arranged to enable a variety of gas impingement patterns, including radial, linear and nonradial, nonlinear x y distributions.
6. The device of claim 1, wherein said segments are modular and can be selectively connected and disconnected.
7. The device of claim 1, wherein the spacing between said showerhead segments can be modified.
8. The device of claim 1, further comprising means for adjusting the distance between the substrate and said gas inlet.
9. The device of claim 1, further comprising means for adjusting the distance between the substrate and said exhaust gas outlet.
10. The device of claim 1, further comprising means for adjusting the distance between said segments and the substrate.
11. The device of claim 1 , wherein said gas inlet further comprises a plurality of inlet conduits and arranged to be connected to at least one source of process gas, wherein said source of process fluid is capable of providing a combination of different process gases to said inlet.
12. The device of claim 11 , wherein said type of combination of said gas and the pressure and volume of gas can be selectively controlled.
13. The device of claim 12, wherein said type of combination of said gas and the pressure and volume of gas can be independently varied from one segment to the next.
14. The device of claim 1, further comprising sensors, at least one sensor associated with each of said segments for sensing process parameters characteristic in that segment.
15. The device of claim 1, further comprising at least one sensor associated with each of said segments for sensing process parameters characteristic between the substrate and each segment.
16. The device of claim 14 or 15, wherein said sensors include the ability to sample for chemical species, or pressure and generate signals corresponding to these parameters to a central receiving station.
17. The device of claim 16, further comprising actuators to independently modify conditions in respective segments in response to the signals received by the central receiving station.
18. The device of claim 17, wherein said actuators can modify gas flow pressure and temperature within each of said segments.
19. The device of claim 1 , further comprising an optical sensing device associated with each of said segments that conveys light from a remote source to the cavity of the segment or to the area between said segment and the substrate;
means for returning light from these areas to an optical detection system for receiving light from said light returning means.
20. The device of claim 15 or 16, means for processing the signals from said sensors and creating a model of the effects of processing parameters on the substrate.
21. The device of claim 19, a processing means associated with said optical detection for creating a model of the effects of processing parameters on the substrate.
22. The device of claim 1, wherein each of said segments are hexagonally shaped.
23. A multizone gas injector and distribution showerhead for use in microelectronics substrate processing and equipment, comprising:
a plurality of separately programmable segments, each of said segments having a wall with a first end located in proximity of the substrate and a second end spaced from said substrate and an internal cavity between said first and second ends within said wall; and
wherein showerhead segments are arranged to enable a variety of gas impingement patterns, including radial and linear distributions.
24. The device of claim 23, wherein said showerhead segments are arranged to enable gas impingement patters, including nonlinear x-y distributions.
25. A method of processing microelectronics substrates using a multizone gas injector distribution showerhead having a plurality of programmable segments, comprising the steps of:
selectively positioning the showerhead in close proximity to the substrate;
introducing a gas into each of the showerhead segments through one end of said segments, selecting the amount of exhaust gas desired to be removed from each of said segments; and removing exhaust gas from said segments in response to the selection made in said selection step.
26. The method of claim 25, wherein said removable steps involves removing exhaust gas from only some of said showerhead segments.
27. The method of claim 25, further comprising the step of impinging the gas from each of said segments onto the substrate in a consistent radial distribution.
28. The method of claim 25, further comprising the step of impinging the gas from each of said segments onto the substrate in a consistent linear distribution.
29. The method of claim 25, further comprising the step of impinging the gas from each of said segments onto the substrate in a consistent nonlinear, nonradial x-y distribution.
30. The method of claim 25, further comprising the steps of selectively connecting said showerhead segments prior to gas introduction.
31. The method of claim 25, further comprising adjusting the distance between the substrate and the point at which gas is introduced into said segments in said introducing step.
32. The method of claim 25, independently adjusting the type, pressure and volume of gas introduced in said introducing step.
33. The method of claim 25, sensing process parameters characteristic in each of said segments.
34. The method of claim 33, wherein said sensing step includes sensing chemical species, pressure, temperature or volume.
35. The method of claim 25, optically sensing an area of each of the segments or the area between each of said segments and the substrate surface and the gas inlet in the gas surface.
36. The method of claim 25, further comprising means for adjusting the distance between the substrate surface and the gas exhaust in the segments.
PCT/US2001/023137 2000-07-24 2001-07-23 Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation WO2002008487A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
AU2001288225A AU2001288225A1 (en) 2000-07-24 2001-07-23 Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US10/333,784 US6821910B2 (en) 2000-07-24 2001-07-23 Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US22023100P 2000-07-24 2000-07-24
US60/220,231 2000-07-24

Publications (1)

Publication Number Publication Date
WO2002008487A1 true WO2002008487A1 (en) 2002-01-31

Family

ID=22822651

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/023137 WO2002008487A1 (en) 2000-07-24 2001-07-23 Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation

Country Status (3)

Country Link
US (1) US6821910B2 (en)
AU (1) AU2001288225A1 (en)
WO (1) WO2002008487A1 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1517357A2 (en) * 2003-08-21 2005-03-23 Applied Materials, Inc. Monitoring dimensions of features at different locations in the processing of substrates
WO2005052998A2 (en) * 2003-11-19 2005-06-09 Applied Materials, Inc. Gas distribution showerhead featuring exhaust apertures
WO2005080632A1 (en) * 2004-02-18 2005-09-01 Aixtron Ag Cvd reactor comprising a photodiode array
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
WO2006104647A2 (en) * 2005-03-29 2006-10-05 Tokyo Electron Limited Method and system for forming avariable thickness seed layer
EP1961836A1 (en) * 2007-02-26 2008-08-27 Applied Materials, Inc. Apparatus for controlling gas flow to a processing chamber
EP1961838A1 (en) * 2007-02-26 2008-08-27 Applied Materials, Inc. Method and apparatus for comtrolling gas flow to a processing chamber
EP1961837A1 (en) * 2007-02-26 2008-08-27 Applied Materials, Inc. Apparatus for controlling gas flow to a processing chamber
US7462564B2 (en) 2003-03-17 2008-12-09 Tokyo Electron Limited Processing system and method for treating a substrate
EP2067877A1 (en) * 2007-11-23 2009-06-10 Applied Materials, Inc. Coating device and method of producing an electrode assembly
US7605078B2 (en) 2006-09-29 2009-10-20 Tokyo Electron Limited Integration of a variable thickness copper seed layer in copper metallization
US8053036B2 (en) * 2008-06-02 2011-11-08 Asm Japan K.K. Method for designing shower plate for plasma CVD apparatus
US20150358243A1 (en) * 2012-11-29 2015-12-10 Juniper Networks, Inc. External service plane

Families Citing this family (494)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4791637B2 (en) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 CVD apparatus and processing method using the same
US6660662B2 (en) * 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
JP3886424B2 (en) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 Substrate processing apparatus and method
US8150510B2 (en) * 2002-04-15 2012-04-03 Imperception, Inc. Shock timing technology
KR20040007963A (en) * 2002-07-15 2004-01-28 삼성전자주식회사 Reaction apparatus for atomic layer deposition
JP2007500794A (en) * 2003-05-16 2007-01-18 エスブイティー アソーシエイツ インコーポレイテッド Thin film evaporation evaporator
KR20050001793A (en) * 2003-06-26 2005-01-07 삼성전자주식회사 In-situ analysis method for atomic layer deposition process
US20050011459A1 (en) * 2003-07-15 2005-01-20 Heng Liu Chemical vapor deposition reactor
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
JP4550507B2 (en) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ Plasma processing equipment
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20060216548A1 (en) * 2005-03-22 2006-09-28 Ming Mao Nanolaminate thin films and method for forming the same using atomic layer deposition
US7718030B2 (en) * 2005-09-23 2010-05-18 Tokyo Electron Limited Method and system for controlling radical distribution
US7544574B2 (en) * 2005-10-11 2009-06-09 Intermolecular, Inc. Methods for discretized processing of regions of a substrate
US7955436B2 (en) * 2006-02-24 2011-06-07 Intermolecular, Inc. Systems and methods for sealing in site-isolated reactors
US8776717B2 (en) * 2005-10-11 2014-07-15 Intermolecular, Inc. Systems for discretized processing of regions of a substrate
US8772772B2 (en) * 2006-05-18 2014-07-08 Intermolecular, Inc. System and method for increasing productivity of combinatorial screening
KR101388389B1 (en) * 2006-02-10 2014-04-22 인터몰레큘러 인코퍼레이티드 Method and apparatus for combinatorially varying materials, unit process and process sequence
JP2007324529A (en) * 2006-06-05 2007-12-13 Tokyo Electron Ltd Gas inlet apparatus, manufacturing method therefor, and processing apparatus
JP5045000B2 (en) * 2006-06-20 2012-10-10 東京エレクトロン株式会社 Film forming apparatus, gas supply apparatus, film forming method, and storage medium
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US7897008B2 (en) * 2006-10-27 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for regional plasma control
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
US8287647B2 (en) * 2007-04-17 2012-10-16 Lam Research Corporation Apparatus and method for atomic layer deposition
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US7578177B2 (en) 2007-05-18 2009-08-25 Siemens Energy, Inc. MEMS emissions sensor system for a turbine engine
US9105449B2 (en) * 2007-06-29 2015-08-11 Lam Research Corporation Distributed power arrangements for localizing power delivery
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
US8440259B2 (en) * 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US20090133631A1 (en) * 2007-11-23 2009-05-28 Applied Materials Inc. Coating device and method of producing an electrode assembly
US8387674B2 (en) 2007-11-30 2013-03-05 Taiwan Semiconductor Manufacturing Comany, Ltd. Chip on wafer bonder
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
JP2011514660A (en) * 2008-01-31 2011-05-06 アプライド マテリアルズ インコーポレイテッド Deposition control in closed-loop MOCVD
JP5202050B2 (en) * 2008-03-14 2013-06-05 東京エレクトロン株式会社 Shower head and substrate processing apparatus
JP5179389B2 (en) * 2008-03-19 2013-04-10 東京エレクトロン株式会社 Shower head and substrate processing apparatus
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
US20110180781A1 (en) * 2008-06-05 2011-07-28 Soraa, Inc Highly Polarized White Light Source By Combining Blue LED on Semipolar or Nonpolar GaN with Yellow LED on Semipolar or Nonpolar GaN
US20090309127A1 (en) * 2008-06-13 2009-12-17 Soraa, Inc. Selective area epitaxy growth method and structure
US8847249B2 (en) * 2008-06-16 2014-09-30 Soraa, Inc. Solid-state optical device having enhanced indium content in active regions
US8805134B1 (en) 2012-02-17 2014-08-12 Soraa Laser Diode, Inc. Methods and apparatus for photonic integration in non-polar and semi-polar oriented wave-guided optical devices
US8143148B1 (en) 2008-07-14 2012-03-27 Soraa, Inc. Self-aligned multi-dielectric-layer lift off process for laser diode stripes
US8767787B1 (en) 2008-07-14 2014-07-01 Soraa Laser Diode, Inc. Integrated laser diodes with quality facets on GaN substrates
US8124996B2 (en) 2008-08-04 2012-02-28 Soraa, Inc. White light devices using non-polar or semipolar gallium containing materials and phosphors
US8284810B1 (en) 2008-08-04 2012-10-09 Soraa, Inc. Solid state laser device using a selected crystal orientation in non-polar or semi-polar GaN containing materials and methods
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI437622B (en) * 2008-11-26 2014-05-11 Ind Tech Res Inst Gas shower module
US8422525B1 (en) 2009-03-28 2013-04-16 Soraa, Inc. Optical device structure using miscut GaN substrates for laser applications
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8634442B1 (en) 2009-04-13 2014-01-21 Soraa Laser Diode, Inc. Optical device structure using GaN substrates for laser applications
US8837545B2 (en) 2009-04-13 2014-09-16 Soraa Laser Diode, Inc. Optical device structure using GaN substrates and growth structures for laser applications
DE112010001615T5 (en) 2009-04-13 2012-08-02 Soraa, Inc. Structure of an optical element using GaN substrates for laser applications
US9250044B1 (en) 2009-05-29 2016-02-02 Soraa Laser Diode, Inc. Gallium and nitrogen containing laser diode dazzling devices and methods of use
US8427590B2 (en) 2009-05-29 2013-04-23 Soraa, Inc. Laser based display method and system
US10108079B2 (en) 2009-05-29 2018-10-23 Soraa Laser Diode, Inc. Laser light source for a vehicle
US9800017B1 (en) 2009-05-29 2017-10-24 Soraa Laser Diode, Inc. Laser device and method for a vehicle
US9829780B2 (en) 2009-05-29 2017-11-28 Soraa Laser Diode, Inc. Laser light source for a vehicle
US8509275B1 (en) 2009-05-29 2013-08-13 Soraa, Inc. Gallium nitride based laser dazzling device and method
US8247887B1 (en) 2009-05-29 2012-08-21 Soraa, Inc. Method and surface morphology of non-polar gallium nitride containing substrates
US7972899B2 (en) * 2009-07-30 2011-07-05 Sisom Thin Films Llc Method for fabricating copper-containing ternary and quaternary chalcogenide thin films
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110056429A1 (en) * 2009-08-21 2011-03-10 Soraa, Inc. Rapid Growth Method and Structures for Gallium and Nitrogen Containing Ultra-Thin Epitaxial Structures for Devices
US8750342B1 (en) 2011-09-09 2014-06-10 Soraa Laser Diode, Inc. Laser diodes with scribe structures
US8355418B2 (en) 2009-09-17 2013-01-15 Soraa, Inc. Growth structures and method for forming laser diodes on {20-21} or off cut gallium and nitrogen containing substrates
US8905588B2 (en) 2010-02-03 2014-12-09 Sorra, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US10147850B1 (en) 2010-02-03 2018-12-04 Soraa, Inc. System and method for providing color light sources in proximity to predetermined wavelength conversion structures
US8178280B2 (en) * 2010-02-05 2012-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Self-contained proximity effect correction inspiration for advanced lithography (special)
US20110247556A1 (en) * 2010-03-31 2011-10-13 Soraa, Inc. Tapered Horizontal Growth Chamber
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8551248B2 (en) 2010-04-19 2013-10-08 Texas Instruments Incorporated Showerhead for CVD depositions
US8451876B1 (en) 2010-05-17 2013-05-28 Soraa, Inc. Method and system for providing bidirectional light sources with broad spectrum
US9139910B2 (en) * 2010-06-11 2015-09-22 Tokyo Electron Limited Method for chemical vapor deposition control
WO2011159690A2 (en) * 2010-06-15 2011-12-22 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US9184028B2 (en) 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
FI124113B (en) * 2010-08-30 2014-03-31 Beneq Oy Apparatus and method for working the surface of a substrate
WO2012051485A1 (en) 2010-10-16 2012-04-19 Cambridge Nanotech Inc. Ald coating system
US8816319B1 (en) 2010-11-05 2014-08-26 Soraa Laser Diode, Inc. Method of strain engineering and related optical device using a gallium and nitrogen containing active region
US9048170B2 (en) 2010-11-09 2015-06-02 Soraa Laser Diode, Inc. Method of fabricating optical devices using laser treatment
US9025635B2 (en) 2011-01-24 2015-05-05 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a support member
US9595813B2 (en) 2011-01-24 2017-03-14 Soraa Laser Diode, Inc. Laser package having multiple emitters configured on a substrate member
US9093820B1 (en) 2011-01-25 2015-07-28 Soraa Laser Diode, Inc. Method and structure for laser devices using optical blocking regions
KR101893471B1 (en) 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for multizone plasma generation
US9236530B2 (en) 2011-04-01 2016-01-12 Soraa, Inc. Miscut bulk substrates
US9287684B2 (en) 2011-04-04 2016-03-15 Soraa Laser Diode, Inc. Laser package having multiple emitters with color wheel
US8715518B2 (en) * 2011-10-12 2014-05-06 Intermolecular, Inc. Gas barrier with vent ring for protecting a surface region from liquid
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9646827B1 (en) 2011-08-23 2017-05-09 Soraa, Inc. Method for smoothing surface of a substrate containing gallium and nitrogen
US9175393B1 (en) * 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US10066297B2 (en) * 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US8971370B1 (en) 2011-10-13 2015-03-03 Soraa Laser Diode, Inc. Laser devices using a semipolar plane
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130125818A1 (en) * 2011-11-22 2013-05-23 Intermolecular, Inc. Combinatorial deposition based on a spot apparatus
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
JP5541274B2 (en) * 2011-12-28 2014-07-09 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
US20130171350A1 (en) * 2011-12-29 2013-07-04 Intermolecular Inc. High Throughput Processing Using Metal Organic Chemical Vapor Deposition
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9020003B1 (en) 2012-03-14 2015-04-28 Soraa Laser Diode, Inc. Group III-nitride laser diode grown on a semi-polar orientation of gallium and nitrogen containing substrates
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US10559939B1 (en) 2012-04-05 2020-02-11 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9800016B1 (en) 2012-04-05 2017-10-24 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9343871B1 (en) 2012-04-05 2016-05-17 Soraa Laser Diode, Inc. Facet on a gallium and nitrogen containing laser diode
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9088135B1 (en) 2012-06-29 2015-07-21 Soraa Laser Diode, Inc. Narrow sized laser diode
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9184563B1 (en) 2012-08-30 2015-11-10 Soraa Laser Diode, Inc. Laser diodes with an etched facet and surface treatment
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8663397B1 (en) 2012-10-22 2014-03-04 Intermolecular, Inc. Processing and cleaning substrates
KR102197576B1 (en) * 2012-11-06 2020-12-31 어플라이드 머티어리얼스, 인코포레이티드 Apparatus for spatial atomic layer deposition with recirculation and methods of use
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9165771B2 (en) 2013-04-04 2015-10-20 Tokyo Electron Limited Pulsed gas plasma doping method and apparatus
JP6134191B2 (en) * 2013-04-07 2017-05-24 村川 惠美 Rotary semi-batch ALD equipment
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
JP6158025B2 (en) * 2013-10-02 2017-07-05 株式会社ニューフレアテクノロジー Film forming apparatus and film forming method
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9087864B2 (en) 2013-12-19 2015-07-21 Intermolecular, Inc. Multipurpose combinatorial vapor phase deposition chamber
US20150206741A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for in situ steam generation
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US11220737B2 (en) 2014-06-25 2022-01-11 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US11267012B2 (en) * 2014-06-25 2022-03-08 Universal Display Corporation Spatial control of vapor condensation using convection
EP2960059B1 (en) 2014-06-25 2018-10-24 Universal Display Corporation Systems and methods of modulating flow during vapor jet deposition of organic materials
US10196741B2 (en) * 2014-06-27 2019-02-05 Applied Materials, Inc. Wafer placement and gap control optimization through in situ feedback
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9228260B1 (en) * 2014-07-30 2016-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer processing chamber, heat treatment apparatus and method for processing wafers
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9837254B2 (en) * 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP2016081945A (en) * 2014-10-09 2016-05-16 株式会社ニューフレアテクノロジー Vapor growth device and vapor phase epitaxy method
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US9246311B1 (en) 2014-11-06 2016-01-26 Soraa Laser Diode, Inc. Method of manufacture for an ultraviolet laser diode
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102420015B1 (en) * 2015-08-28 2022-07-12 삼성전자주식회사 Shower head of Combinatorial Spatial Atomic Layer Deposition apparatus
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9787963B2 (en) 2015-10-08 2017-10-10 Soraa Laser Diode, Inc. Laser lighting having selective resolution
US10566534B2 (en) 2015-10-12 2020-02-18 Universal Display Corporation Apparatus and method to deliver organic material via organic vapor-jet printing (OVJP)
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
JP6573559B2 (en) * 2016-03-03 2019-09-11 東京エレクトロン株式会社 Vaporizing raw material supply apparatus and substrate processing apparatus using the same
JP6590735B2 (en) * 2016-03-04 2019-10-16 東京エレクトロン株式会社 Mixed gas multi-system supply system and substrate processing apparatus using the same
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6608332B2 (en) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 Deposition equipment
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102625420B1 (en) * 2016-05-27 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Semiconductor wafer processing equipment
JP6880076B2 (en) * 2016-06-03 2021-06-02 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Board distance monitoring
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
WO2018075972A1 (en) 2016-10-21 2018-04-26 Quantumscape Corporation Electrolyte separators including lithium borohydride and composite electrolyte separators of lithium-stuffed garnet and lithium borohydride
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US20180245216A1 (en) * 2017-02-28 2018-08-30 Tokyo Electron Limited Film forming apparatus
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP2019007048A (en) * 2017-06-23 2019-01-17 トヨタ自動車株式会社 Film deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10771155B2 (en) 2017-09-28 2020-09-08 Soraa Laser Diode, Inc. Intelligent visible light with a gallium and nitrogen containing laser source
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10222474B1 (en) 2017-12-13 2019-03-05 Soraa Laser Diode, Inc. Lidar systems including a gallium and nitrogen containing laser light source
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
KR102534076B1 (en) * 2018-01-04 2023-05-19 삼성디스플레이 주식회사 Deposition apparatus and method using the same
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
KR102477354B1 (en) * 2018-03-29 2022-12-15 삼성전자주식회사 Plasma processing apparatus including gas distribution plate
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US10551728B1 (en) 2018-04-10 2020-02-04 Soraa Laser Diode, Inc. Structured phosphors for dynamic lighting
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102641752B1 (en) * 2018-11-21 2024-03-04 삼성전자주식회사 Gas injection module, substrate processing apparatus and method for manufacturing semiconductor device using the same
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
US11239637B2 (en) 2018-12-21 2022-02-01 Kyocera Sld Laser, Inc. Fiber delivered laser induced white light system
US11421843B2 (en) 2018-12-21 2022-08-23 Kyocera Sld Laser, Inc. Fiber-delivered laser-induced dynamic light system
KR102208609B1 (en) * 2018-12-28 2021-01-28 (주)에스테크 Shower head for chemical vapor deposition and depositing apparatus using the same
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11884202B2 (en) 2019-01-18 2024-01-30 Kyocera Sld Laser, Inc. Laser-based fiber-coupled white light system
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20210127768A (en) * 2019-03-11 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 Lid assembly apparatus and methods for substrate processing chambers
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN110331383B (en) * 2019-07-29 2024-03-01 陕西煤业化工技术研究院有限责任公司 Material surface treatment gas injection device
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
CN114790543A (en) * 2021-01-26 2022-07-26 Asm Ip私人控股有限公司 Method and system for depositing layers
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
JPS62211912A (en) * 1986-03-12 1987-09-17 Fujitsu Ltd Device for crystal growth by means of gas source molecular beam
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
JPH03151629A (en) * 1989-11-08 1991-06-27 Matsushita Electric Ind Co Ltd Manufacturing equipment for semiconductor thin film and manufacture of semiconductor multilayer thin film
JPH03281780A (en) * 1990-03-30 1991-12-12 Hitachi Ltd Cvd device
US5076207A (en) * 1989-04-13 1991-12-31 Mitsubishi Denki Kabushiki Kaisha Apparatus for atmospheric chemical vapor deposition
US5304247A (en) * 1990-09-21 1994-04-19 Fujitsu Limited Apparatus for depositing compound semiconductor crystal
US5422139A (en) * 1990-04-12 1995-06-06 Balzers Aktiengesellschaft Method for a reactive surface treatment of a workpiece and a treatment chamber for practicing such method
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) * 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
JPS62211912A (en) * 1986-03-12 1987-09-17 Fujitsu Ltd Device for crystal growth by means of gas source molecular beam
US5076207A (en) * 1989-04-13 1991-12-31 Mitsubishi Denki Kabushiki Kaisha Apparatus for atmospheric chemical vapor deposition
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
JPH03151629A (en) * 1989-11-08 1991-06-27 Matsushita Electric Ind Co Ltd Manufacturing equipment for semiconductor thin film and manufacture of semiconductor multilayer thin film
JPH03281780A (en) * 1990-03-30 1991-12-12 Hitachi Ltd Cvd device
US5422139A (en) * 1990-04-12 1995-06-06 Balzers Aktiengesellschaft Method for a reactive surface treatment of a workpiece and a treatment chamber for practicing such method
US5304247A (en) * 1990-09-21 1994-04-19 Fujitsu Limited Apparatus for depositing compound semiconductor crystal
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
HERMAN IRVING P.: "Optical diagnostics for thin film processing", 1996, ACADEMIC PRESS, SAN DIEGO, CALIFORNIA, XP002949409 *

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7462564B2 (en) 2003-03-17 2008-12-09 Tokyo Electron Limited Processing system and method for treating a substrate
EP1517357A2 (en) * 2003-08-21 2005-03-23 Applied Materials, Inc. Monitoring dimensions of features at different locations in the processing of substrates
EP1517357A3 (en) * 2003-08-21 2009-04-15 Applied Materials, Inc. Monitoring dimensions of features at different locations in the processing of substrates
US7452827B2 (en) 2003-11-19 2008-11-18 Applied Materials, Inc. Gas distribution showerhead featuring exhaust apertures
WO2005052998A2 (en) * 2003-11-19 2005-06-09 Applied Materials, Inc. Gas distribution showerhead featuring exhaust apertures
KR101081628B1 (en) * 2003-11-19 2011-11-09 어플라이드 머티어리얼스, 인코포레이티드 Gas distribution showerhead featuring exhaust apertures
WO2005052998A3 (en) * 2003-11-19 2007-11-01 Applied Materials Inc Gas distribution showerhead featuring exhaust apertures
US6983892B2 (en) 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
WO2005080632A1 (en) * 2004-02-18 2005-09-01 Aixtron Ag Cvd reactor comprising a photodiode array
US8052796B2 (en) 2004-02-18 2011-11-08 Aixtron Ag CVD reactor comprising a photodiode array
US7351285B2 (en) 2005-03-29 2008-04-01 Tokyo Electron Limited Method and system for forming a variable thickness seed layer
WO2006104647A3 (en) * 2005-03-29 2006-11-16 Tokyo Electron Ltd Method and system for forming avariable thickness seed layer
WO2006104647A2 (en) * 2005-03-29 2006-10-05 Tokyo Electron Limited Method and system for forming avariable thickness seed layer
US7605078B2 (en) 2006-09-29 2009-10-20 Tokyo Electron Limited Integration of a variable thickness copper seed layer in copper metallization
KR100961793B1 (en) * 2007-02-26 2010-06-08 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for controlling gas flow to a processing chamber
JP2008252073A (en) * 2007-02-26 2008-10-16 Applied Materials Inc Method and apparatus for controlling gas flow to processing chamber
EP1961836A1 (en) * 2007-02-26 2008-08-27 Applied Materials, Inc. Apparatus for controlling gas flow to a processing chamber
EP1961838A1 (en) * 2007-02-26 2008-08-27 Applied Materials, Inc. Method and apparatus for comtrolling gas flow to a processing chamber
KR100975441B1 (en) 2007-02-26 2010-08-11 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for controlling gas flow to a processing chamber
KR100975442B1 (en) * 2007-02-26 2010-08-11 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
EP1961837A1 (en) * 2007-02-26 2008-08-27 Applied Materials, Inc. Apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
EP2067877A1 (en) * 2007-11-23 2009-06-10 Applied Materials, Inc. Coating device and method of producing an electrode assembly
US8053036B2 (en) * 2008-06-02 2011-11-08 Asm Japan K.K. Method for designing shower plate for plasma CVD apparatus
US20150358243A1 (en) * 2012-11-29 2015-12-10 Juniper Networks, Inc. External service plane
US9479402B2 (en) * 2012-11-29 2016-10-25 Juniper Networks, Inc. External service plane

Also Published As

Publication number Publication date
US20040099213A1 (en) 2004-05-27
US6821910B2 (en) 2004-11-23
AU2001288225A1 (en) 2002-02-05

Similar Documents

Publication Publication Date Title
US6821910B2 (en) Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US8402845B2 (en) Dual path gas distribution device
US9175391B2 (en) Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead
US20150184298A1 (en) Methods and Apparatus for Combinatorial PECVD or PEALD
US8821987B2 (en) Combinatorial processing using a remote plasma source
US20200123656A1 (en) Systems and methods for a plasma enhanced deposition of material on a semiconductor substrate
US20140179113A1 (en) Surface Treatment Methods and Systems for Substrate Processing
US20140162384A1 (en) PVD-ALD-CVD hybrid HPC for work function material screening
US20120315396A1 (en) Apparatus and method for combinatorial plasma distribution through a multi-zoned showerhead
Lee et al. The effect of hole density variation in the PECVD reactor showerhead on the deposition of amorphous carbon layer
TW202332326A (en) Plasma chamber with multiphase rotating independent gas cross-flow with reduced volume and dual vhf
KR20210016473A (en) Improved azimuth critical dimension non-uniformity for double patterning process
US9023739B2 (en) Site-isolated rapid thermal processing methods and apparatus
US20130171832A1 (en) Enhanced Isolation For Combinatorial Atomic Layer Deposition (ALD)
US20230057145A1 (en) Plasma chamber with a multiphase rotating cross-flow with uniformity tuning
CN112470259A (en) Method for controlling core critical dimension variation using rapid trim sequence
US20230162950A1 (en) Plasma chamber with a multiphase rotating gas cross-flow and peripheral conductance control rings
US20070045239A1 (en) Apparatus and method for processing a microfeature workpiece using a plasma
US20230160065A1 (en) Systems and methods for pulse width modulated dose control
US20140134849A1 (en) Combinatorial Site Isolated Plasma Assisted Deposition
KR20240046587A (en) Plasma chamber with multiphase rotating transverse flow with uniformity tuning
KR20090060261A (en) Method and system for isolated and discretized process sequence integration
KR20240046651A (en) Systems and methods for pulse width modulated dose control
Choo et al. A new approach to spatially controllable CVD
Cai Multiplexed chemical sensing and thin film metrology in programmable CVD process

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWE Wipo information: entry into national phase

Ref document number: 10333784

Country of ref document: US

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP