WO2002015238A2 - Device and method for optical inspection of semiconductor wafer - Google Patents

Device and method for optical inspection of semiconductor wafer Download PDF

Info

Publication number
WO2002015238A2
WO2002015238A2 PCT/US2001/025196 US0125196W WO0215238A2 WO 2002015238 A2 WO2002015238 A2 WO 2002015238A2 US 0125196 W US0125196 W US 0125196W WO 0215238 A2 WO0215238 A2 WO 0215238A2
Authority
WO
WIPO (PCT)
Prior art keywords
wafer
optical
measurement system
process tool
measurement
Prior art date
Application number
PCT/US2001/025196
Other languages
French (fr)
Other versions
WO2002015238A3 (en
Inventor
Michael Weber-Grabau
Edric H. Tong
Adam E. Norton
Fred E. Stanke
James M. Cahill
Douglas E. Ruth
Kenneth C. Johnson
Original Assignee
Sensys Instruments Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sensys Instruments Corporation filed Critical Sensys Instruments Corporation
Priority to JP2002520277A priority Critical patent/JP2004536440A/en
Priority to AU2001281243A priority patent/AU2001281243A1/en
Priority to EP01959717A priority patent/EP1309875A2/en
Publication of WO2002015238A2 publication Critical patent/WO2002015238A2/en
Publication of WO2002015238A3 publication Critical patent/WO2002015238A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects

Definitions

  • the present invention relates to semiconductor wafer processing for integrated circuit manufacture, and in particular to lithography systems, cluster tool envi- ronments, or other wafer process tools which have a robotic handler that transports wafers between the stations or modules of the tool.
  • the invention also relates to metrology equipment for semiconductor wafers, and in particular optical measuring equipment for measuring the parameters of diffractive structures formed during lithography and further processed in other integrated circuit manufacture process steps.
  • process tools are used to deposit, planarize, remove and pattern very thin layers of materials on semiconductor wafers in order to make electronic structures.
  • Process tools include deposition tools for depositing uniform thin films onto semiconductor surfaces, lithography tools for applying photoresist material and creating patterns in the resist, etch tools for etching structures into the material layers, polishing tools for removing material and planarizing the wafer, and cleaning tools for removing contamination or leftover material after certain process steps.
  • Cluster tools combine various process stations with handling systems to transfer wafers between stations. For example, lithography tracks are typically multi-station systems with their own robotic wafer han- dlers for transport between stations within the track.
  • These process tools usually include various sensors, such as thermometers and gas flowmeters, to measure process parameters for controlling the manufacturing process within certain tolerances. For example, different bake stations of a lithography track may have different temperature settings at which wafers are held for different periods of time. Other sensors in a process tool deter- mine a major change in some measurement parameter that is indicative of process completion, such as motor current end point in chemical-mechanical planarization (CMP) .
  • Steppers which are tools for patterning photoresist, typically have an optical notch sensor for determining the location of a wafer's alignment notch relative to a reference frame of the stepper tool so that the wafer can be rotated into a predetermined orientation for properly aligned exposure of a pattern.
  • the aforementioned sensors are incorporated into the modules or stations and form an essential part of the processing tools themselves to ensure their proper operation.
  • Semiconductor manufacture also makes use of inspection equipment to look for any defects or anomalies on a wafer and to report information (location, size, identity, etc.) regarding such defects or anomalies.
  • Scatterometrty is an optical measurement method that is advantageous for measuring the results of modern processes.
  • the lateral dimensions of features on a wafer are shrinking and becoming small compared to optical wavelengths and measurement spot sizes. It has become difficult or impossible to optically image the results of such processes.
  • Electron beams and profilometer-type instruments e.g., atomic force microscopes, AFMs
  • AFMs atomic force microscopes
  • Scatterometry uses the optical characteristic of a periodic feature to determine parame- ters relating to lateral dimensions. Furthermore, as light penetrates below the "top" surface of the structures, scatterometry is sensitive to variations in the features with depth into the sample.
  • the lines and spaces would have widths of 100 n , which is well below the resolution of an optical imaging system.
  • the characteristic of light reflected from the structure will depend upon the details of the periodic structure.
  • the lines may not have vertical walls, and variations in the sidewall angle will cause variations of the optical characteristics.
  • Scatterometry utilizes some model of the optical characteristics of the periodic feature which has parame- ters. In scatterometry, the parameters of the modelare varied until there is a best match between measured and modeled characteristics. The parameters of the model that produce the best match are regarded as the measured parameters. It is clear that the measured parameters can be transformed mathematically to a form that is easier to use or more closely related to the process.
  • the optical characteristic used for scatterometry is measurable and has one or more independ- ent parameters so that the whole collection of independent parameters forms a plurality. Most measurable optical characteristics are related to the intensity of light that has interacted with a structure. Examples are the intensity of reflected light for a reflectometer, and the ellipsometric parameters psi and delta for an ellipsometer. Examples of independent parameters are wavelength and incidence angle. Other independent parameters are possible, for example azimuthal angle measured relative to some pattern in the structure of interest, or polarization state. In general, an independent parameter is any characteristic of the measurement system which is controlled and which affects the optical characteristic of the wafer.
  • the model used by scatterometry may be either physical or theoretical.
  • the "model” consists of measurements of samples with known parameters. Ideally the measurements are made with an instrument that is the same or similar to the measurement tool under discussion. Preferably, the model may be theoretical, and include the optical properties of the instrument as well as some mathematical representation of light interacting with structures having variable parameters. It also includes the optical properties of the material (index and absorbance) as appropriate, e.g., as functions of wavelength.
  • the theoretical model typically comprises calculations of electromagnetic interactions that are exact for some model of the structure on the wafer.
  • the geometric model of the structure is an approximation to a perceived possible geometric model.
  • the profile of the periodic structure is expected to be smooth but the actual geometric model has a "staircase" approximation to allow rigorous coupled mode calculations to be performed.
  • Such calculations are often time consuming.
  • In order to optimize the speed of measurement one can perform some portion of the calculation in advance of the measurements and store the results in a database. In a simple case, one picks the structure parameters and fixes the possible values for each parameter based on a range and discretization. Then optical characteristics for each combination of parameter values is calculated and stored in a database.
  • each characteristic is compared to a measured characteristic, and the parameters associated with the theoretical charcteristic that best matches the measured characteristic are chosen as the measured parameters.
  • the measured profile has the profile parameters of the best fit model characteristic.
  • the measured critical dimension of the lines is derived from the parameterized profile, e.g., the width of the line at 20% of its height.
  • a disadvantage to this approach is that there is a tradeoff between the measurement time (which includes calculation time) and model complexity.
  • the stair- case approximation mentioned above cannot have many steps in order to finish in an acceptable time, and may not fit the actual profile very well, or parameterization of its characteristic may not have the degrees of freedom needed to match the measured characteristics.
  • Scatterometry is sensitive to overlay registration. A substructure with a lateral geometry of a certain period is produced at one state of the wafer. Later, as the structures on the wafer have been built up, another sub-structure of the same period may be placed directly over the first substructure.
  • the second substructure may consist of developed photoresist, and the first substructure of an etched lower layer of the wafer, for example, etched gate contacts, isolation trenchs, or metallic wiring.
  • the two substructures now form a single structure with a period that will have an optical characteristic, since they occupy the same lateral region.
  • the alignment between the two substructures will generally affect the (total) structure's optical characteristic, and can be represented by parameters in the model characteristic.
  • scatterometry can measure overlay, by having a properly parameterized model, and returning the value of the parameter(s) related to alignment of the sub-structures.
  • Overlay is a very critical measurement since it is a measure of how well the stepper was able to align and then print one pattern over another.
  • This parameter is tightly controlled because it can have a very significant bearing on how densely features can be packed together as well as the overall performance of the semiconductor device.
  • the same instrument is capable of measuring several critical lithography parameters using scatterometry: overlay, profiles, critical dimensions, line edge roughness, contact hole shapes and whether they are open or not.
  • Scatterometry can also be applied to make measurements of critical parameters after etch, CMP and Clean.
  • metal CMP scatterometry can be used to determine the amount of oxide erosion or remain- ing metal in a periodic array consisting of alternating oxide and metal areas, where the lateral dimensions are significantly smaller than the spot size and comparable to the wavelength of light used. If there is (undesirable) metal or other film residue left on these periodic structures, it is possible to detect their presence, again by comparing the measured optical characteristic data to appropriate modeled characteristics.
  • the structures inspected with scatterometry are typically substantially periodic, but not exactly so. Defects in the materials and process applied to the wafer lead to non-periodic features on structures that are intended to be periodic, for example lines are not perfectly straight and have "roughness". Substantially periodic structures typically reflect light into various diffraction orders, as is well known in the art. For finer structures, or longer wavelengths or higher orders, a diffracted order may be non- propagating or evanescent, and not detectable directly. However, such non-propagating orders take energy away from propagating orders, and so may affect the characteristic (measured portion) of the propagating orders. Scatterometry is often performed with substantially only the 0 th diffracted order contributing to the measured characteristics, since it never becomes evanescent.
  • Dishon et al. describe a lithotrack apparatus into which a measuring station is integrated, using the same robotic wafer handler.
  • the measurement instrument consists of a microscope with a high magnification high NA image channel for measuring critical dimension (CD) errors, etc..
  • the wafer is held stationary while in the measuring station and the station has a movable optical head on an x-y-z stage.
  • the optical head and movable stage are in an enclosure with a transparent optical window in order to protect the wafer from contamination. Measurement occurs at the end of lithographic processing before being unloaded to a cassette.
  • a wafer measurement system and method in which an optical scatterometry measurement station is integrated into a wafer process tool.
  • the measurement station makes use of the the robotic wafer handler mechanism of the process tool, for wafer transfer within the process tool between stations or modules including the receipt by the measurement station of wafers to be measured. Wafers can thus be transferred directly from a processing station of the process tool to the measurement station without first having to leave the process tool. Thus it is convenient to measure the process results on individual wafers before all the wafers in the set have been processed. At the time of measurement, processing of a wafer may be complete, so that the wafer is then transferred by the wafer handler to a car- rier or cassette station associated with the process tool.
  • wafers may be measured at an intermediate stage of processing with subsequent processing depending on the results of the measurements.
  • the process parameters may depend upon the measurement result.
  • the wafer may be reworked by the same process module if the result of an earlier process is found by the measurement to be inadequate. Since measurement results are available more quickly than with stand alone metrology, equipment efficiency is improved and closed loop process control is now possible.
  • the optical instrument may be a spectro-reflectometer or a beam profile reflectometer, an ellipsometer, a polarmeter, or any optical instrument capable of measuring an optical characteristic of sites on the wafer as a function of independent optical parameters .
  • Possible independent optical parameters include polar angle of incidence, polar angle of reflection, direction of incidence (with respect to patterns on the wafer) , direction of reflection, wavelength, incident polarization, reflected polar- ization. "Reflection" is used here and throughout to signify either reflection from or transmission through a sample (wafer) of the incident light.
  • the preferred embodiment is a substantially normal incidence reflectometer with wavelength as the independent optical parameter.
  • a light source provides a light beam that is directed through the head onto the patterned features of the wafer surface.
  • the optical system typically includes an objective lens for focusing the light beam to a spot on the wafer.
  • the objective lens may also act as a light collector for light reflected from the wafer.
  • the optical system may have a pinhole associated with the light collection path, which maintains a fixed optical relationship to the objective lens as the optical system moves.
  • light collected by the optical opti- cal system is detected and analyzed to obtain a measure of the parameters of interest of the pattern features in the wafer. Analysis of the data obtained from the light detector preferably involves ⁇ comparison of the measured characteristic optical signatures from the wafer surface features with a database of signatures stored in a memory, each of which is associated with known critical dimensions of pattern features.
  • Fig. 3 is a perspective view showing details of the measurement optics for another measurement station suitable for scatterometry and for integration with the process tool different from the station of Fig. 2.
  • Fig. 4 is a schematic side view showing details of components used in an alternate embodiment of the measurement optics in Fig. 3.
  • Fig. 5 is a schematic side view showing the position of components used in another alternate embodiment of the measurement optics in Fig. 3.
  • a process tool 11 includes a plurality of processing stations (PS) 13, one or more cassette stations (CS) 15, and a shared robotic wafer handling and transfer mechanism (RH) 17.
  • the robotic handler 17 unloads wafers from the cassette sta- tions 15 and transports the wafers in a specified sequence between the processing stations 13 for carrying out various process steps.
  • the processing stations 13 can be any of a wise variety of tools used in semiconductor circuit manufacturing, including deposition tools, lithography tools, etch tools, bake tools, planarizing or polishing tools and cleaning tools.
  • the robotic handler 17 transports a wafer from the last processing station 13 back to a cassette stations 15, which may or may not be the same station that the wafer was unloaded from.
  • the process tool 11 also includes one ore more integrated measurement sta- tions (IMS) 19.
  • IMS integrated measurement sta- tions
  • the measurement station 19 is constrained by the size standards in order to permit it to be mounted in the process tool 11.
  • a measurement station 21 might also replace a cassette load station 15, which can have even tighter size constraints than the process- ing stations 13.
  • the measurement station(s) 19 or 21 share the same robotic handler 17 as the rest of the process tool 11.
  • the robotic handler can comprise several robots, for moving the wafer both globally and locally within the process tool 11.
  • the handler 17 may transport a wafer to a measurement station 19 or 21 for carrying out a specified measurement.
  • an integrated measurement station 19 or 21 in the present invention provides an optical instrument suitable for scatterometry, e.g., for measuring parameters of fine patterned features on a wafer, such as line width, step height, sidewall angle or line profile.
  • the process tool 11 in Fig. 1 might also include other kinds of measurement and inspection stations, such as for characterizing unpatterned wafers (e.g., resist coating thickness) or measuring electrical characteristics.
  • a wafer 31 is mounted on a three-point wafer support 33 and objective 41 preferably moves laterally in at least one dimension.
  • the support 33 preferably holds the wafer 31 stationary once it has been placed underneath the measurement optics.
  • a three-point support 33 is preferred because it provides minimum wafer contact. Since the wafer does not move in this preferred embodi- ment, no interlocks are necessary, thereby preventing inadvertent collisions between the process tool wafer transfer mechanism and the wafer support mechanisms.
  • the three-point support 33 may be replaced with either an edge support, a wafer chuck or a multi-pin chuck.
  • the edge support the wafer 31 is centered and supported by its outside edge.
  • the wafer chuck the wafer 31 rests flat on a platen.
  • a multi- pin chuck the wafer rests on 3 or more pins, and vacuum is applied to the pins to hold the wafer firmly, but with less contact area than a vacuum chuck. All alternatives allow rotation and/or notch alignment of the wafer 31 after it has been placed into the station.
  • the measurement optics 35 are physically isolated from the wafer 31 by an enclosure 36 with a transparent (e.g., fused silica) window 37.
  • the window 37 protects the wafer surface from contamination from any particles or outgassing originating in the optics assem- bly above.
  • the objective could be moved by a single stage in one direction (a radial direction) only, while the wafer is rotated, for examples, by an edge support, a pin-chuck or a platen.
  • stage systems 39 are available within the size constraints imposed by the process tools. Moving the optical measurement system 35 avoids having to translate the wafer, which would require a bigger footprint, while still providing full wafer coverage.
  • the movable optical system 35 includes at least objective lens 41 and pinhole 43 in fixed relationship to each other.
  • the objective lens 41 is in both the incident and reflected light paths.
  • the objective lens 41 focuses incident light 45 through the window 45 onto the structured surface of the wafer 31.
  • the objective lens 41 also collects the light reflected from the wafer and focuses some of this collected light 47 onto the pinhole 43.
  • the pinhole 43 samples the light 47 for transmission to a spectroscopic detection system (not shown in Fig. 2) , elements of which may or may not be part of the movable optical system 35.
  • Fig. 3 shows further details of another preferred optical measurement instrument.
  • Mirror 57 deflects the collimated beam towards the x-y movable optics.
  • Beam splitter 59 splits collimated beam 56 into monitor beam 62 and sample beam 64.
  • Monitor beam 62 is deflected by mirrors 61a and 61b so that it can be focused by monitor lens 61c onto monitor pin-hole mirror 61d.
  • Some of beam 61 is sampled by the pinhole and passes through a fiber to monitor spectrometer 61e where its spectrum is recorded.
  • Objective 41 focuses the downward propagating illuminating light 64a onto wafer 31, through window 37 held by frame 36, and collects reflected sample beam 64b.
  • Transparent window 37 is attached to the bottom of the enclosure 36 over wafer 31.
  • Sample beam 64 passes through beam splitter 59 and is deflected by mirrors 63a, 63b and 63c.
  • Sample lens 63 d focuses sample beam 64b onto sample pinhole mirror 43, which samples part of sample beam and passes it through a fiber to spectrometer 61e.
  • Sample pinhole mirror 43 reflects the remainder of sample beam 64 so that it can be focused by imaging lens 63e onto small field of view camera 63g.
  • Absorber 34 is designed to reflect nearly zero light when the objective is placed over it.
  • the absorber could be any number of things including: a reasonably black surface far from focus, two black surfaces in a V shape, a stacked array of razor blades, or a roughened black-painted surface.
  • the best design is two or three pieces of black glass arranged so the specular reflection from one falls on the next.
  • the absorber 34 is positioned so the objective 41 views it through the window 37 or, if this is not mechanically feasible, a second window (not shown) that has the same reflectance as window 37.
  • LED 71 emits large-field-of- view (LFOV) illumination 72.
  • Fresnel lens 73 collects LFOV illumination 72 and directs it towards LFOV beam splitter 59.
  • LFOV beam splitter 75 directs some illumination onto wafer 31 and allows some light reflected by the wafer to pass through LFOV lens 77 which focuses onto LFOV camera 79.
  • the LFOV is at least 2 mm square.
  • LFOV camera 79 allows the determination of the position of the wafer with stages 39 in the position shown. After that, stages 39 allow movement of the objective to pattern locations and measurement sites, where optical characteristics are recorded with the help of spectrometers 61e and 63f.
  • metrology station 19 includes autofocus for objective 41.
  • the very edge of the top of one or more of the support points in the 3- point wafer support can be used to determine the distance to the measurement optics.
  • This information when combined with wafer thickness information, can be used to calculate the location of the wafer surface and control adjustment of a 2-stage associated with either in the optics assembly or the wafer support until the wafer is in focus.
  • Beamsplitter 59 is a inconel-coated fused silica plate.
  • a multilayer dielectric or metal/dielectric hybrid coating may be used but with reduced efficiency over the combined UV and visible wavelength range. These other coatings also have the problem that they introduce more unwanted polarization in the beam.
  • a cube beamsplitter may be used in place of the plate, but the plate has a particular advantage for UV systems since it uses no optical cement (as in the cube) that may deteriorate in UV light.
  • the low NA desired for scatterometry may cause the area on the sample from which light is collected to become larger due to diffraction effects.
  • a confocal microscope projects a pinhole onto the sample being inspected or measured, the combined image of the sample and pinhole are then pro- jected onto a second pinhole thereby allowing the microscope to collect light from an area on the sample smaller than diffraction would otherwise allow.
  • Figure 4 is detailed view of components that replace lens 55 in figure 3 to convert the system in figure 3 to a confocal microscope-based system.
  • parallel rays 107a and 107b that exit fiber 101 are focused to reflective pinhole 103 by ball lens 102.
  • Rays 107a and 107b are then collimated by lenses 106a and 106b.
  • the rays 107a and 107b are then focused on the wafer 31 forming an image of the pinhole 103 on wafer 31.
  • the image of the pinhole 103 on the wafer 31 should be slightly larger than the measurement spot used to collect light determined by the size of pinhole 43 and the magnification of the lens system comprising objective 41 and lens 63d. Reducing the size of pinhole 103 has the desired effect of reducing the spotsize, but it also has the undesired effect of decreasing the depth of focus on the wafer 31. The size is chosen to balance these two effects.
  • the apodizer should be placed at or near the aperture stop for the optical system.
  • Figure 4 is a detail from figure 3 showing four possible positions for the apodizer.
  • Position 103 is the best place for a reflective apodizer. Since it is at 45 deg. it must be elongated in one direction.
  • Position 104 is in many ways similar to position 102 , but is probably less desirable because it places a moving aperture in the monitor channel path (not shown above) that may affect its reading.
  • the processor calculates the optical characteristic from optical spectra, and then selects a set of measurement parameters from a library for the structure of interest based on the best fit between the measured characteristic and a model characteristic in the library.
  • the library has been calculated before the measurements are made on the desired wafer, with appropriate parameters.
  • the best match being associated with a set of one or more optical and/or geometric parameters (width, height, profile, refractive index, etc.) of the structure on the illuminated area of the wafer.
  • optical and/or geometric parameters width, height, profile, refractive index, etc.
  • these measurement results can be used for process control of subsequent steps on that wafer by the processing stations in the process tool or for process control of any of the preceding process steps for subsequent wafers or for fault detection to avoid wasted processing or wasted wafers.
  • Adjustments to pro- cess tool recipe parameter are calculated based on a model of the process and deviations of the measured parameters from the target parameters. Integration of the measurement instrument into the process tool speeds wafer manufacture and allows short loop wafer process control .
  • Fig. 3 the coordinate axes x, y and z, are shown for convenience. In practice the axes may be rotated to a convenient position. While a preferred embodiment has been described in detail, many alternative embodiments are possible within the scope of the current invention.

Abstract

A wafer measurement station (19) integrated within a process tool (11) has a scatterometry instrument (35) for measuring patterned features on wafers (31). A wafer handler (17) feeds wafers between a cassette (15) and one or more process stations (13) of the process tool. Wafers presented to the measurement station are held on a wafer support (33), which may be moveable, and a scatterometry instrument has an optical measurement system (41) that is moveable by a stage (39) over the wafer support. A window (37) isolates the moveable optics from the wafer. The optical measurement system are microscope-based optics forming a low NA system. The illumination spot size at the wafer is larger than a periodicity of the patterned features, and data processing uses a scattering model to analyze the optical signature of the collected light.

Description

Description
OPTICAL CRITICAL DIMENSION METROLOGY SYSTEM INTEGRATED INTO SEMICONDUCTOR WAFER PROCESS TOOL
TECHNICAL FIELD
The present invention relates to semiconductor wafer processing for integrated circuit manufacture, and in particular to lithography systems, cluster tool envi- ronments, or other wafer process tools which have a robotic handler that transports wafers between the stations or modules of the tool. The invention also relates to metrology equipment for semiconductor wafers, and in particular optical measuring equipment for measuring the parameters of diffractive structures formed during lithography and further processed in other integrated circuit manufacture process steps.
BACKGROUND ART In semiconductor manufacturing, process tools are used to deposit, planarize, remove and pattern very thin layers of materials on semiconductor wafers in order to make electronic structures. Process tools include deposition tools for depositing uniform thin films onto semiconductor surfaces, lithography tools for applying photoresist material and creating patterns in the resist, etch tools for etching structures into the material layers, polishing tools for removing material and planarizing the wafer, and cleaning tools for removing contamination or leftover material after certain process steps. Cluster tools combine various process stations with handling systems to transfer wafers between stations. For example, lithography tracks are typically multi-station systems with their own robotic wafer han- dlers for transport between stations within the track.
These process tools usually include various sensors, such as thermometers and gas flowmeters, to measure process parameters for controlling the manufacturing process within certain tolerances. For example, different bake stations of a lithography track may have different temperature settings at which wafers are held for different periods of time. Other sensors in a process tool deter- mine a major change in some measurement parameter that is indicative of process completion, such as motor current end point in chemical-mechanical planarization (CMP) . Steppers , which are tools for patterning photoresist, typically have an optical notch sensor for determining the location of a wafer's alignment notch relative to a reference frame of the stepper tool so that the wafer can be rotated into a predetermined orientation for properly aligned exposure of a pattern. The aforementioned sensors are incorporated into the modules or stations and form an essential part of the processing tools themselves to ensure their proper operation.
Semiconductor manufacture also makes use of inspection equipment to look for any defects or anomalies on a wafer and to report information (location, size, identity, etc.) regarding such defects or anomalies.
Measuring equipment, including various optical measuring tools, such as spectroreflectometers, , beam profile reflectometers, ellipsometers, and polarimeters, are used to characterize the layers and structures formed on a wafer in terms of dimensional parameters such as layer thickness or line width. It is important to measure these parameters for process control. While such measuring equipment might report general information about an entire wafer, particularly when measuring unpatterned wafers, often the measurements need to be collected at specific predetermined locations on the wafer, particularly when measuring specific features on a patterned wafer. Because of this need to locate specific features with high precision for measurements, measuring tools ' typically employ pre-aligners and stages to align the wafer with respect to the optical system to allow measurement of various locations on the wafer. Many measurr ing tools move the wafer laterally (horizontally) while keeping the measurement system (optics) substantially stationary. This requires a footprint that is at least twice the diameter of the wafer in both lateral dimensions, in order to provide full coverage of the wafer. Other measuring tools keep the wafer stationary, and move some portion of the optics to measure various portions of the wafer. Typically, an objective lens focuses illuminating light onto the wafer and/or collects reflected light from the wafer. In some systems the same optical element is used as the objective for illumination and detection, in other systems, each function is handled separately, or only one of the two functions are performed. In any case, the objective is used here as the optics system for illuminating a portion of the wafer and for collecting light from a portion of the wafer. Hence, some measuring tools move the wafer to position the objective with respect to the wafer, and some move the wafer. In traditional semiconductor manufacturing, metrology tools, whether inspection equipment or measuring equipment, are stand-alone units. That is, they are detached from the process tools and are characterized by having their own load ports for wafer carriers, e.g. FOUPs or cassettes, generally referred to as "cassettes" below. They are sometimes even located in a different area of the fabrication facility from the process tool. In any case, individual wafers or cassettes of wafers must be transported between the process tool and the inspection or measurement tool whenever it is desired to inspect or measure a wafer following some process step. Because of the inherent delays associated with stand alone tools, inspection or measurement is normally limited to sampling a small subset of the wafers, or to sampling a small portion of each inspected wafer. Furthermore, wafers are measured only after a whole set of wafers has been processed, thus putting wafers at risk if there is a tool or process malfunction. Also, process tools are often controlled based on the results of the measurements, and long delays between processing a wafer and the availability of the measurements for that wafer reduces either the effectiveness of the control or the total rate at which wafers can be processed.
Scatterometrty is an optical measurement method that is advantageous for measuring the results of modern processes. The lateral dimensions of features on a wafer are shrinking and becoming small compared to optical wavelengths and measurement spot sizes. It has become difficult or impossible to optically image the results of such processes. Electron beams and profilometer-type instruments (e.g., atomic force microscopes, AFMs) can "image" such features but are slow and difficult to integrate into process tools. Scatterometry uses the optical characteristic of a periodic feature to determine parame- ters relating to lateral dimensions. Furthermore, as light penetrates below the "top" surface of the structures, scatterometry is sensitive to variations in the features with depth into the sample. For example, consider a structure that is repeating lines and spaces with a period of 0.2 microns and a nominal duty cycle of 50%. Nominally the lines and spaces would have widths of 100 n , which is well below the resolution of an optical imaging system. However, the characteristic of light reflected from the structure will depend upon the details of the periodic structure. For example, the lines may not have vertical walls, and variations in the sidewall angle will cause variations of the optical characteristics. Scatterometry utilizes some model of the optical characteristics of the periodic feature which has parame- ters. In scatterometry, the parameters of the modelare varied until there is a best match between measured and modeled characteristics. The parameters of the model that produce the best match are regarded as the measured parameters. It is clear that the measured parameters can be transformed mathematically to a form that is easier to use or more closely related to the process.
The optical characteristic used for scatterometry is measurable and has one or more independ- ent parameters so that the whole collection of independent parameters forms a plurality. Most measurable optical characteristics are related to the intensity of light that has interacted with a structure. Examples are the intensity of reflected light for a reflectometer, and the ellipsometric parameters psi and delta for an ellipsometer. Examples of independent parameters are wavelength and incidence angle. Other independent parameters are possible, for example azimuthal angle measured relative to some pattern in the structure of interest, or polarization state. In general, an independent parameter is any characteristic of the measurement system which is controlled and which affects the optical characteristic of the wafer. The model used by scatterometry may be either physical or theoretical. In the former case, the "model" consists of measurements of samples with known parameters. Ideally the measurements are made with an instrument that is the same or similar to the measurement tool under discussion. Preferably, the model may be theoretical, and include the optical properties of the instrument as well as some mathematical representation of light interacting with structures having variable parameters. It also includes the optical properties of the material (index and absorbance) as appropriate, e.g., as functions of wavelength.
The theoretical model typically comprises calculations of electromagnetic interactions that are exact for some model of the structure on the wafer. Typically, the geometric model of the structure is an approximation to a perceived possible geometric model. For example, the profile of the periodic structure is expected to be smooth but the actual geometric model has a "staircase" approximation to allow rigorous coupled mode calculations to be performed. Such calculations are often time consuming. In order to optimize the speed of measurement one can perform some portion of the calculation in advance of the measurements and store the results in a database. In a simple case, one picks the structure parameters and fixes the possible values for each parameter based on a range and discretization. Then optical characteristics for each combination of parameter values is calculated and stored in a database. At measurement time, each characteristic is compared to a measured characteristic, and the parameters associated with the theoretical charcteristic that best matches the measured characteristic are chosen as the measured parameters. For example, the measured profile has the profile parameters of the best fit model characteristic. Alternatively, the measured critical dimension of the lines is derived from the parameterized profile, e.g., the width of the line at 20% of its height. A disadvantage of using a library is that the discretization of the parameters is apparent in the measurements and may lead to "discretization noise" in measurements of a physically smoothly varying parameter.
An alternative to the library approach en- tioned above, is a "library-less" method where electromagnetic calculations are performed at measurement time. A disadvantage to this approach is that there is a tradeoff between the measurement time (which includes calculation time) and model complexity. For example, the stair- case approximation mentioned above cannot have many steps in order to finish in an acceptable time, and may not fit the actual profile very well, or parameterization of its characteristic may not have the degrees of freedom needed to match the measured characteristics. Scatterometry is sensitive to overlay registration. A substructure with a lateral geometry of a certain period is produced at one state of the wafer. Later, as the structures on the wafer have been built up, another sub-structure of the same period may be placed directly over the first substructure. The second substructure may consist of developed photoresist, and the first substructure of an etched lower layer of the wafer, for example, etched gate contacts, isolation trenchs, or metallic wiring. The two substructures now form a single structure with a period that will have an optical characteristic, since they occupy the same lateral region. The alignment between the two substructures will generally affect the (total) structure's optical characteristic, and can be represented by parameters in the model characteristic. Thus scatterometry can measure overlay, by having a properly parameterized model, and returning the value of the parameter(s) related to alignment of the sub-structures. Overlay is a very critical measurement since it is a measure of how well the stepper was able to align and then print one pattern over another. This parameter is tightly controlled because it can have a very significant bearing on how densely features can be packed together as well as the overall performance of the semiconductor device. Thus the same instrument is capable of measuring several critical lithography parameters using scatterometry: overlay, profiles, critical dimensions, line edge roughness, contact hole shapes and whether they are open or not.
Scatterometry can also be applied to make measurements of critical parameters after etch, CMP and Clean. For example, after metal CMP scatterometry can be used to determine the amount of oxide erosion or remain- ing metal in a periodic array consisting of alternating oxide and metal areas, where the lateral dimensions are significantly smaller than the spot size and comparable to the wavelength of light used. If there is (undesirable) metal or other film residue left on these periodic structures, it is possible to detect their presence, again by comparing the measured optical characteristic data to appropriate modeled characteristics.
The structures inspected with scatterometry are typically substantially periodic, but not exactly so. Defects in the materials and process applied to the wafer lead to non-periodic features on structures that are intended to be periodic, for example lines are not perfectly straight and have "roughness". Substantially periodic structures typically reflect light into various diffraction orders, as is well known in the art. For finer structures, or longer wavelengths or higher orders, a diffracted order may be non- propagating or evanescent, and not detectable directly. However, such non-propagating orders take energy away from propagating orders, and so may affect the characteristic (measured portion) of the propagating orders. Scatterometry is often performed with substantially only the 0th diffracted order contributing to the measured characteristics, since it never becomes evanescent. In European patent application publication EP 0 973 068 A2 (Nova Measuring Instruments) , Cohen et al. describe a measuring tool that is integrated into a lithography track, or alternatively as one of the components of a cluster tool. The measuring tool is a spectrophotometer for measuring thickness, absorption coefficient and refractive index of the photoresist, and substrate reflectivity. Measurement occurs after the resist coating step, but prior to the exposure and development steps. The measurement results are used in forward control of the exposure tool to achieve an optimum exposure dose. However, neither critical dimension metrology of the patterned structures nor overlay is integrated into this phototrack and would still typically be conducted after the development step using a stand-alone machine.
In European patent application publication EP 0 973 069 A2 (Nova Measuring Instruments), Dishon et al. describe a lithotrack apparatus into which a measuring station is integrated, using the same robotic wafer handler. The measurement instrument consists of a microscope with a high magnification high NA image channel for measuring critical dimension (CD) errors, etc.. The wafer is held stationary while in the measuring station and the station has a movable optical head on an x-y-z stage. The optical head and movable stage are in an enclosure with a transparent optical window in order to protect the wafer from contamination. Measurement occurs at the end of lithographic processing before being unloaded to a cassette.
DISCLOSURE OF THE INVENTION The object is met by a wafer measurement system and method in which an optical scatterometry measurement station is integrated into a wafer process tool. The measurement station makes use of the the robotic wafer handler mechanism of the process tool, for wafer transfer within the process tool between stations or modules including the receipt by the measurement station of wafers to be measured. Wafers can thus be transferred directly from a processing station of the process tool to the measurement station without first having to leave the process tool. Thus it is convenient to measure the process results on individual wafers before all the wafers in the set have been processed. At the time of measurement, processing of a wafer may be complete, so that the wafer is then transferred by the wafer handler to a car- rier or cassette station associated with the process tool. Alternately, wafers may be measured at an intermediate stage of processing with subsequent processing depending on the results of the measurements. When processed by other modules of the process tool, the process parameters may depend upon the measurement result. In some cases the wafer may be reworked by the same process module if the result of an earlier process is found by the measurement to be inadequate. Since measurement results are available more quickly than with stand alone metrology, equipment efficiency is improved and closed loop process control is now possible.
The measurement station comprising an optical instrument that obtains data for an optical characteristic of a diffractive pattern on a wafer.. The instrument preferably uses a movable optical system to position the measurement location on the wafer with minimal motion of the wafer, to allow the minimum footprint of the measurement station. A wafer support preferably holds the wafer in a fixed translational (x,y) position, while the optical head moves in a plane parallel to the wafer to a plurality of specified locations over the wafer, . In a preferred embodiment (r-theta) , the wafer rotates (theta, with substantially no translation) , and the optical head translates in one lateral dimension substantially from the center to the edge of the wafer, r. In an alternative embodiment, some translation of the wafer or optics perpendicular to r is allowed to simplify the algorithms for positioning the optics relative to the wafer. The optical instrument may be a spectro-reflectometer or a beam profile reflectometer, an ellipsometer, a polarmeter, or any optical instrument capable of measuring an optical characteristic of sites on the wafer as a function of independent optical parameters . Possible independent optical parameters include polar angle of incidence, polar angle of reflection, direction of incidence (with respect to patterns on the wafer) , direction of reflection, wavelength, incident polarization, reflected polar- ization. "Reflection" is used here and throughout to signify either reflection from or transmission through a sample (wafer) of the incident light. The preferred embodiment is a substantially normal incidence reflectometer with wavelength as the independent optical parameter. A light source provides a light beam that is directed through the head onto the patterned features of the wafer surface. The optical system typically includes an objective lens for focusing the light beam to a spot on the wafer. The objective lens may also act as a light collector for light reflected from the wafer. The optical system may have a pinhole associated with the light collection path, which maintains a fixed optical relationship to the objective lens as the optical system moves. In any case, light collected by the optical opti- cal system is detected and analyzed to obtain a measure of the parameters of interest of the pattern features in the wafer. Analysis of the data obtained from the light detector preferably involves ^comparison of the measured characteristic optical signatures from the wafer surface features with a database of signatures stored in a memory, each of which is associated with known critical dimensions of pattern features.
BRIEF DESCRIPTION OF THE DRAWINGS
Fig. 1 is a schematic plan view of a process tool integrating an optical scatterometry measurement station (IMS) in accord with the present invention. Fig. 2 is a schematic side view of an optical measurement station suitable for scatterometry and for integration with the process tool of Fig. 1.
Fig. 3 is a perspective view showing details of the measurement optics for another measurement station suitable for scatterometry and for integration with the process tool different from the station of Fig. 2.
Fig. 4 is a schematic side view showing details of components used in an alternate embodiment of the measurement optics in Fig. 3. Fig. 5 is a schematic side view showing the position of components used in another alternate embodiment of the measurement optics in Fig. 3.
BEST MODE FOR CARRYING OUT THE INVENTION With reference to Fig. 1, a process tool 11 includes a plurality of processing stations (PS) 13, one or more cassette stations (CS) 15, and a shared robotic wafer handling and transfer mechanism (RH) 17. The robotic handler 17 unloads wafers from the cassette sta- tions 15 and transports the wafers in a specified sequence between the processing stations 13 for carrying out various process steps. The processing stations 13 can be any of a wise variety of tools used in semiconductor circuit manufacturing, including deposition tools, lithography tools, etch tools, bake tools, planarizing or polishing tools and cleaning tools. After completion of the last process step, the robotic handler 17 transports a wafer from the last processing station 13 back to a cassette stations 15, which may or may not be the same station that the wafer was unloaded from.
In the present invention the process tool 11 also includes one ore more integrated measurement sta- tions (IMS) 19. The measurement station 19 is constrained by the size standards in order to permit it to be mounted in the process tool 11. A measurement station 21 might also replace a cassette load station 15, which can have even tighter size constraints than the process- ing stations 13. The measurement station(s) 19 or 21 share the same robotic handler 17 as the rest of the process tool 11. The robotic handler can comprise several robots, for moving the wafer both globally and locally within the process tool 11. At any time in the manufacturing process after completion of any of one or more process steps in a processing station 13, the handler 17 may transport a wafer to a measurement station 19 or 21 for carrying out a specified measurement. Measurement of a wafer may be done at the completion of all process steps prior to being returned to a cassette station 15 or at some intermediate stage in the manufacturing process. Subsequent process steps may depend upon the measurement results. Also, the processing of subsequent wafers may depend upon the measurement results. With reference to a preferred embodiment in
Fig. 2, an integrated measurement station 19 or 21 in the present invention provides an optical instrument suitable for scatterometry, e.g., for measuring parameters of fine patterned features on a wafer, such as line width, step height, sidewall angle or line profile. However, the process tool 11 in Fig. 1 might also include other kinds of measurement and inspection stations, such as for characterizing unpatterned wafers (e.g., resist coating thickness) or measuring electrical characteristics. In the preferred optical measurement station 19 in Fig. 2, a wafer 31 is mounted on a three-point wafer support 33 and objective 41 preferably moves laterally in at least one dimension. The support 33 preferably holds the wafer 31 stationary once it has been placed underneath the measurement optics. A three-point support 33 is preferred because it provides minimum wafer contact. Since the wafer does not move in this preferred embodi- ment, no interlocks are necessary, thereby preventing inadvertent collisions between the process tool wafer transfer mechanism and the wafer support mechanisms.
Alternatively, the three-point support 33 may be replaced with either an edge support, a wafer chuck or a multi-pin chuck. In the edge support, the wafer 31 is centered and supported by its outside edge. In the wafer chuck, the wafer 31 rests flat on a platen. In a multi- pin chuck the wafer rests on 3 or more pins, and vacuum is applied to the pins to hold the wafer firmly, but with less contact area than a vacuum chuck. All alternatives allow rotation and/or notch alignment of the wafer 31 after it has been placed into the station. While the chuck potentially does a better job at keeping the wafer flat and therefore always in focus relative to the mea- surement optics, thereby improving throughput since autofocusing time is minimized, it requires lift pins for robot and effector clearance between the chuck and the handler and backside contact with the platen provides an opportunity for cross-contamination. The measurement optics 35 are physically isolated from the wafer 31 by an enclosure 36 with a transparent (e.g., fused silica) window 37. The window 37 protects the wafer surface from contamination from any particles or outgassing originating in the optics assem- bly above. Likewise, the window 37 protects the optics from contamination originating from the wafer 31, such as vapors, or an adverse environment the wafer 31 may be located in, such as chemicals, liquids, gases or vacuum. The optics assembly in the enclosure 36 in- eludes a movable measurement optical system 35 driven by a moving stage 39. The purpose of the stage system 39 is to move the optics over the wafer to a location selected for measurement. Accurate positioning of the objective 41 with respect to the wafer is important since the wafer features to be measured are small and in specific locations. In a preferred embodiment, the stage system 39 consists of x and y stages for moving the objective in two orthogonal lateral directions parallel to the wafer surface. Alternatively, the objective could be moved by a single stage in one direction (a radial direction) only, while the wafer is rotated, for examples, by an edge support, a pin-chuck or a platen. - A variety of such stage systems 39 are available within the size constraints imposed by the process tools. Moving the optical measurement system 35 avoids having to translate the wafer, which would require a bigger footprint, while still providing full wafer coverage. The movable optical system 35 includes at least objective lens 41 and pinhole 43 in fixed relationship to each other. The objective lens 41 is in both the incident and reflected light paths. The objective lens 41 focuses incident light 45 through the window 45 onto the structured surface of the wafer 31. The objective lens 41 also collects the light reflected from the wafer and focuses some of this collected light 47 onto the pinhole 43. The pinhole 43 samples the light 47 for transmission to a spectroscopic detection system (not shown in Fig. 2) , elements of which may or may not be part of the movable optical system 35.
Fig. 3 shows further details of another preferred optical measurement instrument.
In this case, some of the optics on support 35a move only in y on ystages 39a which are attached to the top of enclosure 36. Support 35b and its optics move in y with support 35a, but also move in x on xstages 39b. Thus in this embodiment the objective 41 moves in x and y, and the pinhole in mirror 43 moves only in y, so the two are not in a fixed relationship. In this embodiment light from broadband light source 51 is conveyed to optical measurement station 19 by fiber 53. A broadband light source 51 provides electromagnetic radiation (light, both visible and ultraviolet) at a multiplicity of wavelengths, preferably in a 240 nm - 1000 nm wavelength range. Collimator 55 collects and substantially collimates light from fiber 53. Mirror 57 deflects the collimated beam towards the x-y movable optics. Beam splitter 59 splits collimated beam 56 into monitor beam 62 and sample beam 64. Monitor beam 62 is deflected by mirrors 61a and 61b so that it can be focused by monitor lens 61c onto monitor pin-hole mirror 61d. Some of beam 61 is sampled by the pinhole and passes through a fiber to monitor spectrometer 61e where its spectrum is recorded. Objective 41 focuses the downward propagating illuminating light 64a onto wafer 31, through window 37 held by frame 36, and collects reflected sample beam 64b. Transparent window 37 is attached to the bottom of the enclosure 36 over wafer 31. Sample beam 64 passes through beam splitter 59 and is deflected by mirrors 63a, 63b and 63c. Sample lens 63 d focuses sample beam 64b onto sample pinhole mirror 43, which samples part of sample beam and passes it through a fiber to spectrometer 61e. Sample pinhole mirror 43 reflects the remainder of sample beam 64 so that it can be focused by imaging lens 63e onto small field of view camera 63g.
Reference reflector 32 is in the range of mo- tion of the objective but not obscuring the wafer. It has a stable reflectivity, and the effective reflecting surface has nominally the same z position as the top of wafer 31.
Absorber 34 is designed to reflect nearly zero light when the objective is placed over it. The absorber could be any number of things including: a reasonably black surface far from focus, two black surfaces in a V shape, a stacked array of razor blades, or a roughened black-painted surface. The best design is two or three pieces of black glass arranged so the specular reflection from one falls on the next. With the objective placed over the absorber is possible to measure the signal due to unwanted stray light in the optics so that this quan- tity can later be subtracted from each measurement. Preferably, the absorber 34 is positioned so the objective 41 views it through the window 37 or, if this is not mechanically feasible, a second window (not shown) that has the same reflectance as window 37. In order to view a large patch near the center of the wafer, support 35b moves to the postion shown. LED 71 emits large-field-of- view (LFOV) illumination 72. Fresnel lens 73 collects LFOV illumination 72 and directs it towards LFOV beam splitter 59. LFOV beam splitter 75 directs some illumination onto wafer 31 and allows some light reflected by the wafer to pass through LFOV lens 77 which focuses onto LFOV camera 79. The LFOV is at least 2 mm square.
Spectrometers 61e and 63f, cameras 79 and 63g, x nd y motors (not shown) associated with stages 39a and 39b, etc are electrically connected to the measurement processor (not shown) . The processor digitizes spectra, drives motors, and generally controls the system to collect characteristics at predetermined locations on wafer 31.
After wafer 35 arrives, LFOV camera 79 allows the determination of the position of the wafer with stages 39 in the position shown. After that, stages 39 allow movement of the objective to pattern locations and measurement sites, where optical characteristics are recorded with the help of spectrometers 61e and 63f.
Alternatively, metrology station 19 includes autofocus for objective 41.
Alternatively, there is also a notch viewing system like that provided in other process tool modules for determining the precise wafer orientation and position relative to the reflectometer instrument's objective lens 41. The orientation of the pattern in the LFOV image can be determined using pattern recognition soft- ware, such as PatMax by Cognex Corporation. The location of the center of a wafer is preferably determined by moving the SFOV so as to image part or all of the curved edge of the wafer, using the images, so obtained to cal- culate the center position. Further, calibration marks may be incorporated into the wafer support (not shown in Fig. 3) to provide a reference for use in focusing when the wafer is not present. For example, the very edge of the top of one or more of the support points in the 3- point wafer support can be used to determine the distance to the measurement optics. This information, when combined with wafer thickness information, can be used to calculate the location of the wafer surface and control adjustment of a 2-stage associated with either in the optics assembly or the wafer support until the wafer is in focus.
The optical measurement station would also include a data acquisition and processing system, some or all of which might be located outside of the process tool module. In such a system, the measured reflected intensity spectrum from a spectroreflectometer of pattern features on the wafer or other like data from another type of optical measurement, such as, beam profile reflectometry, ellipsometry or polari etry data, may be digitized, possibly modified computationally, e.g., for calibration, to become a measured characteristic used for analysis. Analysis may include searching a library of precomputed characteristics to find a best match or any of the other scatterometry techniques described above.. The use of two measurement channels, one being a monitor channel 61 and the other being the sample channel 63, and the use of monolithic spectrometers 61e and 63f compensate, e.g., for fluctuations or changes in the light source and for temperature induced drifts. The objective lens system 41 is part of an optical system with a low numerical aperture (0.1 or less) to simplify the calculation of optical characteristics based on a single diffracted order, and so that the system is less sensitive to focus when observing the topographic patterned surface of the wafer and to compensate for any wafer shapes deviations while on the three-point support. Alternatively, an autofocus system may adjust the distance between the objective and wafer.
Beamsplitter 59 is a inconel-coated fused silica plate. Alternatively, a multilayer dielectric or metal/dielectric hybrid coating may be used but with reduced efficiency over the combined UV and visible wavelength range. These other coatings also have the problem that they introduce more unwanted polarization in the beam. A cube beamsplitter may be used in place of the plate, but the plate has a particular advantage for UV systems since it uses no optical cement (as in the cube) that may deteriorate in UV light.
In some cases, the low NA desired for scatterometry may cause the area on the sample from which light is collected to become larger due to diffraction effects. There are two possible improvements to the optics in figure 3 that can be made. One is to make the system a confocal microscope-based system. Another is to place an apodizer near the aperture stop of the objec- tive. These two improvements may be used together or separately.
In general, a confocal microscope projects a pinhole onto the sample being inspected or measured, the combined image of the sample and pinhole are then pro- jected onto a second pinhole thereby allowing the microscope to collect light from an area on the sample smaller than diffraction would otherwise allow.
Figure 4 is detailed view of components that replace lens 55 in figure 3 to convert the system in figure 3 to a confocal microscope-based system. In figures 3 and 4, parallel rays 107a and 107b that exit fiber 101 are focused to reflective pinhole 103 by ball lens 102. Rays 107a and 107b are then collimated by lenses 106a and 106b. The rays 107a and 107b are then focused on the wafer 31 forming an image of the pinhole 103 on wafer 31. The image of the pinhole 103 on the wafer 31 should be slightly larger than the measurement spot used to collect light determined by the size of pinhole 43 and the magnification of the lens system comprising objective 41 and lens 63d. Reducing the size of pinhole 103 has the desired effect of reducing the spotsize, but it also has the undesired effect of decreasing the depth of focus on the wafer 31. The size is chosen to balance these two effects.
Another consequence of the pinhole 103 is that it blocks the light illuminating the rest of the field of view that is used for pattern recognition. To provide field illumination, the pinhole 103 is etched in a reflective coating that can allow light from field illumination optics 105 to reflect off its face. The shutter 104 is open when the field must be illuminated for pattern recognition and closed for measurements. The field illumination optics 105 are arranged such that its exit pupil (not shown) is imaged near the wafer plane 31, and the light source (not shown) is imaged just after mirror 57.
An apodizer is an aperture with a gradually varying transmission that serves to remove the diffraction rings from the point spread function and reduces the effective spot size of the instrument. Ideally, the apodizer should produce a Gaussian intensity profile in the transmitted beam, but in practice any smooth profile that varies from dark to light will nearly as good. The goal is to eliminate as much as possible of the high end of the spatial frequency spectrum of the profile. The apodizer can also be a graded reflective surface
To avoid or reduce vignetting, the apodizer should be placed at or near the aperture stop for the optical system. Figure 4 is a detail from figure 3 showing four possible positions for the apodizer.
In Fig. 4, position 101 is closest to the objective stop, but it does not introduce some of the ad- vantages of other positions. Since the light travels through the apodizer twice at this point, the optical density profile has to be multiplied by 0.5 compared to the other positions. Position 102 is also close to the objective aperture, but it also reduces the sensitivity of the system to sample tilt. This is true whenever the stops for illumination and collection beams have slightly dif- ferent sizes. Position 102 is also to best location for an ordinary system aperture stop to reduce the collected NA from the wafer.
Position 103 is the best place for a reflective apodizer. Since it is at 45 deg. it must be elongated in one direction.
Position 104 is in many ways similar to position 102 , but is probably less desirable because it places a moving aperture in the monitor channel path (not shown above) that may affect its reading. In the preferred embodiment, the processor calculates the optical characteristic from optical spectra, and then selects a set of measurement parameters from a library for the structure of interest based on the best fit between the measured characteristic and a model characteristic in the library. The library has been calculated before the measurements are made on the desired wafer, with appropriate parameters.
With the measured spectrum as the basis of the optical characteristic, the best match being associated with a set of one or more optical and/or geometric parameters (width, height, profile, refractive index, etc.) of the structure on the illuminated area of the wafer. Once such parameters have been determined, and possibly modified or transformed, these measurement results can be used for process control of subsequent steps on that wafer by the processing stations in the process tool or for process control of any of the preceding process steps for subsequent wafers or for fault detection to avoid wasted processing or wasted wafers. Adjustments to pro- cess tool recipe parameter are calculated based on a model of the process and deviations of the measured parameters from the target parameters. Integration of the measurement instrument into the process tool speeds wafer manufacture and allows short loop wafer process control .
In Fig. 3 the coordinate axes x, y and z, are shown for convenience. In practice the axes may be rotated to a convenient position. While a preferred embodiment has been described in detail, many alternative embodiments are possible within the scope of the current invention.

Claims

Claims
1. A wafer measurement system for use within a wafer process tool, comprising: a wafer handler associated with the wafer process tool for feeding wafers between a cassette and any one or more of a plurality of stations of the wafer process tool; a wafer measurement station forming one of the stations of the wafer process tool, the measurement station having a wafer support in communication with the wafer handler, the measurement station also having therein an optical measurement system forming a scatterometry instrument that is moveable by a stage to specified locations over the wafer support, the optical measurement system optically coupled to a light source to direct a light beam as a spot onto patterned features of a wafer surface on the wafer support, wherein the light beam is characterized by a spot size that is larger at the wafer surface than a periodicity of the patterned features, the head also having a light collector associated with a detector whereby illuminated features on the wafer yield characteristic optical signatures with independent optical parameters in the signatures including one or more of wavelength, incidence angle, and altitude and azimuthal collection angles; and a data processor analyzing the characteristic signatures of a wafer using a scattering model for possible periodic structures on a wafer to obtain a measure of the patterned features on the wafer so that a process carried out by the wafer process tool can be analyzed.
2. The apparatus of claim 1 wherein the optical measurement system includes an objective lens imaging light from a spot on the wafer.
3. The apparatus of claim 2 wherein the optical measurement system forms a low numerical aperture (NA) system with an NA < 0.4 for optimum scatterometry.
4. The apparatus of claim 2 wherein the optical measurement system includes a pinhole aperture associated with the light collector receiving light from the wafer, with the objective lens imaging light traveling in opposite directions, the pinhole aperture maintaining a fixed optical relationship to the objective lens as it moves.
5. The apparatus of claim 2 wherein the movable optical measurement system comprises a confocal microscope-based measurement system.
6. The apparatus of claim 2 wherein the measurement system further includes an apodizer located near the objective aperture stop of the microscope-based system.
7. The apparatus of claim 2 wherein illumination and collection paths of the microscope-based system separate at an inconel plate beamsplitter.
8. The apparatus of claim 1 wherein the light beam incident on the wafer is substantially unpolarized and the optical measurement system is substantially polarization insensitive.
9. The apparatus of claim 1 wherein the wafer handler feeds wafers into the wafer measurement station with a unspecified wafer orientation relative to the optical measurement system.
10. The apparatus of claim 1 wherein the optical measurement head directs the light beam at normal incidence onto the wafer surface.
11. The apparatus of claim 1 wherein the measure of patterned features obtained by the data processor includes at least one dimension of lateral or vertical geometric structure of features on the wafer.
12. The apparatus of claim 11 wherein the measure of patterned features include line width and profile of features of the wafer.
13. The apparatus of claim 12 wherein the profile of pattern features is characterized by a feature height or depth that may be variable with lateral position across the features, the scattering model used by the data processor taking such variable feature height or depth dependence on lateral position into account.
14. The apparatus of claim 1 wherein the measure of patterned features obtained by the data processor includes any one or more of: overlay, erosion, residue, trench depth, film thickness, contact hole shape and size, open or closed state of contacts, and line edge roughness.
15. The apparatus of claim 1 wherein the measurement station includes an optical absorber positioned to one side of the wafer support to provide a reference zero reflectance measure for bright background measurement.
16. The apparatus of claim 1 further comprising an x-y stage driving the optical measurement system, the wafer support holding the wafer stationary within the wafer measurement station.
17. The apparatus of claim 1 wherein the wafer support is capable of moving a wafer in at least one dimension.
18. The apparatus of claim 17 wherein the wafer support is rotatable to any of a plurality of angular orientations (q) of wafer features relative to the measurement head without (x,y) translation of the wafer, and a linear stage drives the optical measurement system relative to a radial position (r) of the wafer.
19. The apparatus of claim 17 wherein the wafer support provides (x,y) translation of a wafer.
20. The apparatus of claim 17 wherein the wafer support is tiltable to any of a plurality of incidence angles of said beam onto said wafer surface.
21. The apparatus of claim 1 wherein the wafer support provides at least a 3-pin wafer contact.
22. The apparatus of claim 1 wherein the wafer support comprises a vacuum chuck.
23. The apparatus of claim 1 wherein the measurement station includes a window located between the measurement system and the wafer support to isolate a wafer from potential contamination by the movable optical system.
24. The apparatus of claim 23 wherein the measurement station is otherwise unsealed from the process tool environment.
25. The apparatus of claim 23 wherein the window is removable to permit cleaning and maintenance of the optical measurement system and a stage for moving the system.
26. A wafer measurement method for cooperative use with a wafer process tool of the type having a wafer handler associated with a cassette of wafers, comprising: within the wafer process tool after completion of any of one or more process steps carried out in processing stations of the process tool, receiving in an integrated measuring station of the process tool a wafer from a wafer handler associated with the process tool without first transferring wafers out of the process tool to another cassette or cassette loading station, and depositing the wafer at an unspecified orientation in the measurement station relative to a moveable optical measurement system; moving an optical measurement system to a plurality of locations over the wafer; directing a beam of light normally onto the wafer surface as a light spot at each of said plurality of locations, the light spot characterized by a spot size that is larger at the wafer surface than a periodicity of pattern features on the wafer; detecting light reflected from the wafer surface to obtain data for an optical characteristic of surface pattern features of the wafer at said plurality of locations; and analyzing the optical characteristic data using a scattering model of possible periodic structures on a wafer to obtain a measure of critical dimensions of the surface pattern features on the wafer.
27. The method of claim 26 further defined by sequentially measuring reflectance data for a plurality wafers received from the wafer process tool.
PCT/US2001/025196 2000-08-11 2001-08-10 Device and method for optical inspection of semiconductor wafer WO2002015238A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2002520277A JP2004536440A (en) 2000-08-11 2001-08-10 Optical critical dimension metrology system built into semiconductor wafer processing tool
AU2001281243A AU2001281243A1 (en) 2000-08-11 2001-08-10 Device and method for optical inspection of semiconductor wafer
EP01959717A EP1309875A2 (en) 2000-08-11 2001-08-10 Device and method for optical inspection of semiconductor wafer

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US22457100P 2000-08-11 2000-08-11
US60/224,571 2000-08-11

Publications (2)

Publication Number Publication Date
WO2002015238A2 true WO2002015238A2 (en) 2002-02-21
WO2002015238A3 WO2002015238A3 (en) 2002-10-03

Family

ID=22841241

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/025196 WO2002015238A2 (en) 2000-08-11 2001-08-10 Device and method for optical inspection of semiconductor wafer

Country Status (5)

Country Link
US (1) US20020018217A1 (en)
EP (1) EP1309875A2 (en)
JP (1) JP2004536440A (en)
AU (1) AU2001281243A1 (en)
WO (1) WO2002015238A2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6689519B2 (en) 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
EP1596239A2 (en) * 2004-05-12 2005-11-16 Leica Microsystems Semiconductor GmbH Measuring device and method for operating the same for optical inspection of a sample
US7061613B1 (en) 2004-01-13 2006-06-13 Nanometrics Incorporated Polarizing beam splitter and dual detector calibration of metrology device having a spatial phase modulation
US7064828B1 (en) 2001-12-19 2006-06-20 Nanometrics Incorporated Pulsed spectroscopy with spatially variable polarization modulation element
US7430898B1 (en) 2003-09-04 2008-10-07 Kla-Tencor Technologies Corp. Methods and systems for analyzing a specimen using atomic force microscopy profiling in combination with an optical technique
NL2000563C2 (en) * 2006-04-17 2009-05-26 Taiwan Semiconductor Mfg Extracting ordinary and extraordinary optical characteristics for the measurement of critical dimensions of anisotropic materials.
US7656528B2 (en) 2001-04-10 2010-02-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment between two layers
US7782468B2 (en) 2005-10-14 2010-08-24 Nanotec Solution Method and device for measuring heights of patterns
US9702693B2 (en) 2000-08-30 2017-07-11 Kla-Tencor Corporation Apparatus for measuring overlay errors
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6633831B2 (en) * 2000-09-20 2003-10-14 Kla Tencor Technologies Methods and systems for determining a critical dimension and a thin film characteristic of a specimen
US6919957B2 (en) * 2000-09-20 2005-07-19 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension, a presence of defects, and a thin film characteristic of a specimen
US7349090B2 (en) * 2000-09-20 2008-03-25 Kla-Tencor Technologies Corp. Methods and systems for determining a property of a specimen prior to, during, or subsequent to lithography
US6673637B2 (en) * 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6782337B2 (en) * 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US7130029B2 (en) * 2000-09-20 2006-10-31 Kla-Tencor Technologies Corp. Methods and systems for determining an adhesion characteristic and a thickness of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US7115858B1 (en) 2000-09-25 2006-10-03 Nanometrics Incorporated Apparatus and method for the measurement of diffracting structures
US6721052B2 (en) * 2000-12-20 2004-04-13 Kla-Technologies Corporation Systems for measuring periodic structures
US6778273B2 (en) * 2001-03-30 2004-08-17 Therma-Wave, Inc. Polarimetric scatterometer for critical dimension measurements of periodic structures
US6898537B1 (en) 2001-04-27 2005-05-24 Nanometrics Incorporated Measurement of diffracting structures using one-half of the non-zero diffracted orders
US6713753B1 (en) 2001-07-03 2004-03-30 Nanometrics Incorporated Combination of normal and oblique incidence polarimetry for the characterization of gratings
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
JP3757844B2 (en) * 2001-10-19 2006-03-22 ソニー株式会社 Semiconductor manufacturing method
US6898596B2 (en) * 2001-10-23 2005-05-24 Therma-Wave, Inc. Evolution of library data sets
JP3783615B2 (en) * 2001-11-21 2006-06-07 ウシオ電機株式会社 Method and apparatus for curing resist applied to large substrate
WO2003050514A2 (en) * 2001-12-12 2003-06-19 Therma-Wave, Inc. Position-dependent optical metrology calibration
US6882413B2 (en) * 2002-02-04 2005-04-19 Therma-Wave, Inc. Rotating head ellipsometer
IL148485A (en) * 2002-03-04 2008-07-08 Nova Measuring Instr Ltd Optical measurement of patterned structure parameters
US6721691B2 (en) * 2002-03-26 2004-04-13 Timbre Technologies, Inc. Metrology hardware specification using a hardware simulator
US6853942B2 (en) * 2002-03-26 2005-02-08 Timbre Technologies, Inc. Metrology hardware adaptation with universal library
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6965432B2 (en) * 2002-06-07 2005-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Non-invasive wafer transfer position diagnosis and calibration
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US6912438B2 (en) * 2002-10-21 2005-06-28 Advanced Micro Devices, Inc. Using scatterometry to obtain measurements of in circuit structures
EP1429592A1 (en) * 2002-12-09 2004-06-16 Hewlett-Packard Company (a Delaware corporation) Electromagnetic shield
US7080330B1 (en) * 2003-03-05 2006-07-18 Advanced Micro Devices, Inc. Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
US7075639B2 (en) * 2003-04-25 2006-07-11 Kla-Tencor Technologies Corporation Method and mark for metrology of phase errors on phase shift masks
US7046375B2 (en) * 2003-05-02 2006-05-16 Timbre Technologies, Inc. Edge roughness measurement in optical metrology
KR100577559B1 (en) * 2003-12-03 2006-05-08 삼성전자주식회사 White light equipment of wafer chuck for producting semiconductor element
US7349079B2 (en) * 2004-05-14 2008-03-25 Kla-Tencor Technologies Corp. Methods for measurement or analysis of a nitrogen concentration of a specimen
US7067819B2 (en) * 2004-05-14 2006-06-27 Kla-Tencor Technologies Corp. Systems and methods for measurement or analysis of a specimen using separated spectral peaks in light
US7359052B2 (en) * 2004-05-14 2008-04-15 Kla-Tencor Technologies Corp. Systems and methods for measurement of a specimen with vacuum ultraviolet light
US7564552B2 (en) * 2004-05-14 2009-07-21 Kla-Tencor Technologies Corp. Systems and methods for measurement of a specimen with vacuum ultraviolet light
US7490295B2 (en) * 2004-06-25 2009-02-10 Apple Inc. Layer for accessing user interface elements
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
DE102004058128B4 (en) * 2004-12-02 2008-05-15 Vistec Semiconductor Systems Jena Gmbh System for inspection of a disc-shaped object
US7557921B1 (en) 2005-01-14 2009-07-07 Kla-Tencor Technologies Corporation Apparatus and methods for optically monitoring the fidelity of patterns produced by photolitographic tools
US7408641B1 (en) 2005-02-14 2008-08-05 Kla-Tencor Technologies Corp. Measurement systems configured to perform measurements of a specimen and illumination subsystems configured to provide illumination for a measurement system
US20080233487A1 (en) * 2007-03-21 2008-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and System for Optimizing Lithography Focus and/or Energy Using a Specially-Designed Optical Critical Dimension Pattern
WO2011024170A1 (en) * 2009-08-31 2011-03-03 Brightview Systems Ltd. A method and apparatus for thin film quality control in a batch manufacturing layout
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
JP2014526148A (en) * 2011-07-25 2014-10-02 エレクトロ サイエンティフィック インダストリーズ インコーポレーテッド Method and apparatus for characterizing an object and monitoring a manufacturing process
US9252060B2 (en) * 2012-04-01 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of OCD measurement noise by way of metal via slots
US10955359B2 (en) * 2013-11-12 2021-03-23 International Business Machines Corporation Method for quantification of process non uniformity using model-based metrology
US10146141B2 (en) * 2014-08-28 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process and system with enhanced overlay quality
US9739719B2 (en) 2014-10-31 2017-08-22 Kla-Tencor Corporation Measurement systems having linked field and pupil signal detection
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
US10317667B2 (en) * 2015-07-04 2019-06-11 The Regents Of The University Of California Compressive plenoptic microscopy for functional brain imaging
US10101676B2 (en) 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
US10818564B2 (en) * 2016-03-11 2020-10-27 Applied Materials, Inc. Wafer processing tool having a micro sensor
US10304177B2 (en) 2016-06-29 2019-05-28 Kla-Tencor Corporation Systems and methods of using z-layer context in logic and hot spot inspection for sensitivity improvement and nuisance suppression
DE102016112557B4 (en) * 2016-07-08 2019-08-22 Jenoptik Advanced Systems Gmbh Optical steel forming unit and distance measuring device
IL274148B2 (en) * 2017-11-07 2024-01-01 Asml Netherlands Bv Metrology apparatus and a method of determining a characteristic of interest
US11562289B2 (en) * 2018-12-06 2023-01-24 Kla Corporation Loosely-coupled inspection and metrology system for high-volume production process monitoring
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
US11586794B2 (en) 2020-07-30 2023-02-21 Applied Materials, Inc. Semiconductor processing tools with improved performance by use of hybrid learning models
US20230236113A1 (en) * 2022-01-25 2023-07-27 Kla Corporation Annular apodizer for small target overlay measurement
CN115388762A (en) * 2022-07-25 2022-11-25 魅杰光电科技(上海)有限公司 CD measuring equipment of wafer and corresponding CD measuring method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5233191A (en) * 1990-04-02 1993-08-03 Hitachi, Ltd. Method and apparatus of inspecting foreign matters during mass production start-up and mass production line in semiconductor production process
US5459404A (en) * 1994-03-28 1995-10-17 Ulsi Technology, Inc. Apparatus and method for detecting floating nodes
US5994914A (en) * 1996-07-31 1999-11-30 Nec Corporation Semiconductor testing device with redundant circuits
EP0991918A1 (en) * 1997-06-28 2000-04-12 Leopold Kostal GmbH & Co. KG Method for determining the absolute angular position of the steering wheel of a motor vehicle, and optoelectronic steering angle sensor

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6386429A (en) * 1986-09-30 1988-04-16 Toshiba Corp Strain measurement of x-ray mask
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
US5940175A (en) * 1996-11-01 1999-08-17 Msp Corporation Method and apparatus for surface inspection in a chamber
AU6942998A (en) * 1997-03-31 1998-10-22 Microtherm, Llc Optical inspection module and method for detecting particles and defects on substrates in integrated process tools
US6020957A (en) * 1998-04-30 2000-02-01 Kla-Tencor Corporation System and method for inspecting semiconductor wafers
US6304999B1 (en) * 2000-10-23 2001-10-16 Advanced Micro Devices, Inc. Method and apparatus for embedded process control framework in tool systems

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5233191A (en) * 1990-04-02 1993-08-03 Hitachi, Ltd. Method and apparatus of inspecting foreign matters during mass production start-up and mass production line in semiconductor production process
US5459404A (en) * 1994-03-28 1995-10-17 Ulsi Technology, Inc. Apparatus and method for detecting floating nodes
US5994914A (en) * 1996-07-31 1999-11-30 Nec Corporation Semiconductor testing device with redundant circuits
EP0991918A1 (en) * 1997-06-28 2000-04-12 Leopold Kostal GmbH & Co. KG Method for determining the absolute angular position of the steering wheel of a motor vehicle, and optoelectronic steering angle sensor

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 012, no. 320 (E-651), 30 August 1988 (1988-08-30) & JP 63 086429 A (TOSHIBA CORP), 16 April 1988 (1988-04-16) *

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7462814B2 (en) 2000-05-04 2008-12-09 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6987572B2 (en) 2000-05-04 2006-01-17 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6689519B2 (en) 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US7767956B2 (en) 2000-05-04 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US9702693B2 (en) 2000-08-30 2017-07-11 Kla-Tencor Corporation Apparatus for measuring overlay errors
US9476698B2 (en) 2001-04-10 2016-10-25 Kla-Tencor Corporation Periodic patterns and technique to control misalignment between two layers
US10151584B2 (en) 2001-04-10 2018-12-11 Kla-Tencor Corporation Periodic patterns and technique to control misalignment between two layers
US7656528B2 (en) 2001-04-10 2010-02-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment between two layers
US9835447B2 (en) 2001-04-10 2017-12-05 Kla-Tencor Corporation Periodic patterns and technique to control misalignment between two layers
US9234745B2 (en) 2001-04-10 2016-01-12 Kla-Tencor Corporation Periodic patterns and techniques to control misalignment between two layers
US7064828B1 (en) 2001-12-19 2006-06-20 Nanometrics Incorporated Pulsed spectroscopy with spatially variable polarization modulation element
US7430898B1 (en) 2003-09-04 2008-10-07 Kla-Tencor Technologies Corp. Methods and systems for analyzing a specimen using atomic force microscopy profiling in combination with an optical technique
US7061613B1 (en) 2004-01-13 2006-06-13 Nanometrics Incorporated Polarizing beam splitter and dual detector calibration of metrology device having a spatial phase modulation
EP1596239A2 (en) * 2004-05-12 2005-11-16 Leica Microsystems Semiconductor GmbH Measuring device and method for operating the same for optical inspection of a sample
EP1596239A3 (en) * 2004-05-12 2006-08-30 Leica Microsystems Semiconductor GmbH Measuring device and method for operating the same for optical inspection of a sample
US7420670B2 (en) 2004-05-12 2008-09-02 Vistec Semiconductor Systems Gmbh Measuring instrument and method for operating a measuring instrument for optical inspection of an object
US7782468B2 (en) 2005-10-14 2010-08-24 Nanotec Solution Method and device for measuring heights of patterns
US7787685B2 (en) 2006-04-17 2010-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Extracting ordinary and extraordinary optical characteristics for critical dimension measurement of anisotropic materials
NL2000563C2 (en) * 2006-04-17 2009-05-26 Taiwan Semiconductor Mfg Extracting ordinary and extraordinary optical characteristics for the measurement of critical dimensions of anisotropic materials.
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry

Also Published As

Publication number Publication date
AU2001281243A1 (en) 2002-02-25
JP2004536440A (en) 2004-12-02
EP1309875A2 (en) 2003-05-14
US20020018217A1 (en) 2002-02-14
WO2002015238A3 (en) 2002-10-03

Similar Documents

Publication Publication Date Title
US20020018217A1 (en) Optical critical dimension metrology system integrated into semiconductor wafer process tool
KR102190305B1 (en) Metrology method, metrology device and device manufacturing method
JP6602388B6 (en) Metrology method, metrology apparatus, and device manufacturing apparatus
US6721052B2 (en) Systems for measuring periodic structures
US7462814B2 (en) Methods and systems for lithography process control
US6603529B1 (en) Monitoring apparatus and method particularly useful in photolithographically processing substrates
US7626710B2 (en) Method and system for measuring patterned structures
US7283237B2 (en) Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US9184102B2 (en) Method and system for measuring patterned structures
TWI693483B (en) Method for measuring structures formed on a substrate
US20100279213A1 (en) Methods and systems for controlling variation in dimensions of patterned features across a wafer
IL148484A (en) Optical measurements of patterned structures
US5936254A (en) Thin film detection method and apparatus
WO2005001577A1 (en) System and method for optical mertology of semiconductor wafers

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
AK Designated states

Kind code of ref document: A3

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

WWE Wipo information: entry into national phase

Ref document number: 2001959717

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2002520277

Country of ref document: JP

WWP Wipo information: published in national office

Ref document number: 2001959717

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWW Wipo information: withdrawn in national office

Ref document number: 2001959717

Country of ref document: EP

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)