WO2002045476A3 - Apparatus and method for electrochemically depositing metal on a semiconductor workpiece - Google Patents

Apparatus and method for electrochemically depositing metal on a semiconductor workpiece Download PDF

Info

Publication number
WO2002045476A3
WO2002045476A3 PCT/US2001/046910 US0146910W WO0245476A3 WO 2002045476 A3 WO2002045476 A3 WO 2002045476A3 US 0146910 W US0146910 W US 0146910W WO 0245476 A3 WO0245476 A3 WO 0245476A3
Authority
WO
WIPO (PCT)
Prior art keywords
reactor
workpiece
anodes
depositing metal
semiconductor workpiece
Prior art date
Application number
PCT/US2001/046910
Other languages
French (fr)
Other versions
WO2002045476A2 (en
WO2002045476A9 (en
Inventor
Linlin Chen
Gregory J Wilson
Paul R Mchugh
Robert A Weaver
Thomas L Ritzdorf
Original Assignee
Semitool Inc
Linlin Chen
Gregory J Wilson
Paul R Mchugh
Robert A Weaver
Thomas L Ritzdorf
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semitool Inc, Linlin Chen, Gregory J Wilson, Paul R Mchugh, Robert A Weaver, Thomas L Ritzdorf filed Critical Semitool Inc
Priority to AU2002236571A priority Critical patent/AU2002236571A1/en
Publication of WO2002045476A2 publication Critical patent/WO2002045476A2/en
Publication of WO2002045476A9 publication Critical patent/WO2002045476A9/en
Publication of WO2002045476A3 publication Critical patent/WO2002045476A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/10Electrodes, e.g. composition, counter electrode
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D3/00Electroplating: Baths therefor
    • C25D3/02Electroplating: Baths therefor from solutions
    • C25D3/38Electroplating: Baths therefor from solutions of copper
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/08Electroplating with moving electrolyte e.g. jet electroplating
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • C25D5/50After-treatment of electroplated surfaces by heat-treatment
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/67Electroplating to repair workpiece
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/02Tanks; Installations therefor
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/10Electrodes, e.g. composition, counter electrode
    • C25D17/12Shape or form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S204/00Chemistry: electrical and wave energy
    • Y10S204/07Current distribution within the bath
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]

Abstract

A process and reactor for electrochemical processing of at least one surface of a microelectronic workpiece is set forth. The reactor comprises a reactor head including a workpiece support that has one or more electrical contacts positioned to make electrical contact with the microelectronic workpiece. The reactor also includes a processing container (37) having a plurality of anodes (1095) disposed at different elevation in the principal fluid flow chamber so as to place them at different distances from a microelectronic workpiece under the process. One or more of the plurality of anodes may be in close proximity to the workpiece during the process. Still further, one or more of the plurality of anodes may be a virtual anode.
PCT/US2001/046910 2000-12-07 2001-12-07 Apparatus and method for electrochemically depositing metal on a semiconductor workpiece WO2002045476A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2002236571A AU2002236571A1 (en) 2000-12-07 2001-12-07 Apparatus and method for electrochemically depositing metal on a semiconductor workpiece

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/732,513 US6565729B2 (en) 1998-03-20 2000-12-07 Method for electrochemically depositing metal on a semiconductor workpiece
US09/732,513 2000-12-07

Publications (3)

Publication Number Publication Date
WO2002045476A2 WO2002045476A2 (en) 2002-06-13
WO2002045476A9 WO2002045476A9 (en) 2002-09-06
WO2002045476A3 true WO2002045476A3 (en) 2003-02-13

Family

ID=24943801

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2001/046910 WO2002045476A2 (en) 2000-12-07 2001-12-07 Apparatus and method for electrochemically depositing metal on a semiconductor workpiece

Country Status (3)

Country Link
US (4) US6565729B2 (en)
AU (1) AU2002236571A1 (en)
WO (1) WO2002045476A2 (en)

Families Citing this family (293)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
WO1999040615A1 (en) * 1998-02-04 1999-08-12 Semitool, Inc. Method and apparatus for low-temperature annealing of metallization micro-structures in the production of a microelectronic device
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6197181B1 (en) * 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
TWI223678B (en) * 1998-03-20 2004-11-11 Semitool Inc Process for applying a metal structure to a workpiece, the treated workpiece and a solution for electroplating copper
US6497801B1 (en) * 1998-07-10 2002-12-24 Semitool Inc Electroplating apparatus with segmented anode array
US6258220B1 (en) * 1998-11-30 2001-07-10 Applied Materials, Inc. Electro-chemical deposition system
US6368475B1 (en) * 2000-03-21 2002-04-09 Semitool, Inc. Apparatus for electrochemically processing a microelectronic workpiece
US7189318B2 (en) * 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
TW527444B (en) * 1999-04-13 2003-04-11 Semitool Inc System for electrochemically processing a workpiece
US7160421B2 (en) * 1999-04-13 2007-01-09 Semitool, Inc. Turning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7585398B2 (en) * 1999-04-13 2009-09-08 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US20060157355A1 (en) * 2000-03-21 2006-07-20 Semitool, Inc. Electrolytic process using anion permeable barrier
US7438788B2 (en) * 1999-04-13 2008-10-21 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US7264698B2 (en) * 1999-04-13 2007-09-04 Semitool, Inc. Apparatus and methods for electrochemical processing of microelectronic workpieces
US6916412B2 (en) * 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US8236159B2 (en) 1999-04-13 2012-08-07 Applied Materials Inc. Electrolytic process using cation permeable barrier
US8852417B2 (en) 1999-04-13 2014-10-07 Applied Materials, Inc. Electrolytic process using anion permeable barrier
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6376370B1 (en) * 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US20060189129A1 (en) * 2000-03-21 2006-08-24 Semitool, Inc. Method for applying metal features onto barrier layers using ion permeable barriers
US20050183959A1 (en) * 2000-04-13 2005-08-25 Wilson Gregory J. Tuning electrodes used in a reactor for electrochemically processing a microelectric workpiece
EP1337693A2 (en) 2000-05-23 2003-08-27 Applied Materials, Inc. Method and apparatus to overcome anomalies in copper seed layers and to tune for feature size and aspect ratio
AU2001259504A1 (en) * 2000-05-24 2001-12-03 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20050284751A1 (en) * 2004-06-28 2005-12-29 Nicolay Kovarsky Electrochemical plating cell with a counter electrode in an isolated anolyte compartment
US7273535B2 (en) * 2003-09-17 2007-09-25 Applied Materials, Inc. Insoluble anode with an auxiliary electrode
US20050145499A1 (en) * 2000-06-05 2005-07-07 Applied Materials, Inc. Plating of a thin metal seed layer
KR100800531B1 (en) * 2000-06-30 2008-02-04 가부시키가이샤 에바라 세이사꾸쇼 Copper-plating liquid, plating method and plating apparatus
CN100469948C (en) * 2000-10-03 2009-03-18 应用材料有限公司 Method and associated apparatus for tilting a substrate upon entry for metal deposition
WO2002034962A1 (en) * 2000-10-26 2002-05-02 Ebara Corporation Device and method for electroless plating
US20050081744A1 (en) * 2003-10-16 2005-04-21 Semitool, Inc. Electroplating compositions and methods for electroplating
TW529090B (en) * 2001-04-04 2003-04-21 Koninkl Philips Electronics Nv Back-end metallisation process
US6852618B2 (en) * 2001-04-19 2005-02-08 Micron Technology, Inc. Combined barrier layer and seed layer
US20060011487A1 (en) * 2001-05-31 2006-01-19 Surfect Technologies, Inc. Submicron and nano size particle encapsulation by electrochemical process and apparatus
US7070687B2 (en) * 2001-08-14 2006-07-04 Intel Corporation Apparatus and method of surface treatment for electrolytic and electroless plating of metals in integrated circuit manufacturing
DE10162900C1 (en) * 2001-12-20 2003-07-31 Infineon Technologies Ag Process for the production of low-resistance electrodes in trench capacitors
US6824612B2 (en) * 2001-12-26 2004-11-30 Applied Materials, Inc. Electroless plating system
US6717236B1 (en) * 2002-02-26 2004-04-06 Advanced Micro Devices, Inc. Method of reducing electromigration by forming an electroplated copper-zinc interconnect and a semiconductor device thereby formed
US6899816B2 (en) * 2002-04-03 2005-05-31 Applied Materials, Inc. Electroless deposition method
US20030190426A1 (en) * 2002-04-03 2003-10-09 Deenesh Padhi Electroless deposition method
US6905622B2 (en) * 2002-04-03 2005-06-14 Applied Materials, Inc. Electroless deposition method
JP3829281B2 (en) * 2002-04-11 2006-10-04 株式会社日立製作所 Film thickness distribution analysis method, electronic circuit board, and manufacturing process design apparatus
US20030207206A1 (en) * 2002-04-22 2003-11-06 General Electric Company Limited play data storage media and method for limiting access to data thereon
US6911136B2 (en) * 2002-04-29 2005-06-28 Applied Materials, Inc. Method for regulating the electrical power applied to a substrate during an immersion process
US6893505B2 (en) 2002-05-08 2005-05-17 Semitool, Inc. Apparatus and method for regulating fluid flows, such as flows of electrochemical processing fluids
US7247223B2 (en) * 2002-05-29 2007-07-24 Semitool, Inc. Method and apparatus for controlling vessel characteristics, including shape and thieving current for processing microfeature workpieces
US7247222B2 (en) * 2002-07-24 2007-07-24 Applied Materials, Inc. Electrochemical processing cell
US7128823B2 (en) 2002-07-24 2006-10-31 Applied Materials, Inc. Anolyte for copper plating
DE10238285A1 (en) * 2002-08-21 2004-03-04 Siemens Ag Method and device for providing conferences
US6821909B2 (en) * 2002-10-30 2004-11-23 Applied Materials, Inc. Post rinse to improve selective deposition of electroless cobalt on copper for ULSI application
US7279423B2 (en) * 2002-10-31 2007-10-09 Intel Corporation Forming a copper diffusion barrier
US7902062B2 (en) * 2002-11-23 2011-03-08 Infineon Technologies Ag Electrodepositing a metal in integrated circuit applications
JP2006513041A (en) * 2002-12-05 2006-04-20 サーフェクト テクノロジーズ インク. Coated magnetic particles and their applications
US20040108212A1 (en) * 2002-12-06 2004-06-10 Lyndon Graham Apparatus and methods for transferring heat during chemical processing of microelectronic workpieces
US6916409B1 (en) 2002-12-31 2005-07-12 Advanced Cardiovascular Systems, Inc. Apparatus and process for electrolytic removal of material from a medical device
KR20040094560A (en) * 2003-05-03 2004-11-10 삼성전자주식회사 Apparatus and Method For Electropolishing Metal On Semiconductor Devices
JP2004346422A (en) * 2003-05-23 2004-12-09 Rohm & Haas Electronic Materials Llc Plating method
US20070125657A1 (en) * 2003-07-08 2007-06-07 Zhi-Wen Sun Method of direct plating of copper on a substrate structure
US20060283716A1 (en) * 2003-07-08 2006-12-21 Hooman Hafezi Method of direct plating of copper on a ruthenium alloy
US7186637B2 (en) * 2003-07-31 2007-03-06 Intel Corporation Method of bonding semiconductor devices
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US7345350B2 (en) 2003-09-23 2008-03-18 Micron Technology, Inc. Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
US7827930B2 (en) * 2004-01-26 2010-11-09 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7654221B2 (en) * 2003-10-06 2010-02-02 Applied Materials, Inc. Apparatus for electroless deposition of metals onto semiconductor substrates
US7101792B2 (en) * 2003-10-09 2006-09-05 Micron Technology, Inc. Methods of plating via interconnects
US7557433B2 (en) 2004-10-25 2009-07-07 Mccain Joseph H Microelectronic device with integrated energy source
US7064065B2 (en) * 2003-10-15 2006-06-20 Applied Materials, Inc. Silver under-layers for electroless cobalt alloys
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7465358B2 (en) * 2003-10-15 2008-12-16 Applied Materials, Inc. Measurement techniques for controlling aspects of a electroless deposition process
TW200530427A (en) * 2003-10-17 2005-09-16 Applied Materials Inc Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050095830A1 (en) * 2003-10-17 2005-05-05 Applied Materials, Inc. Selective self-initiating electroless capping of copper with cobalt-containing alloys
US20050092611A1 (en) * 2003-11-03 2005-05-05 Semitool, Inc. Bath and method for high rate copper deposition
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US20060003570A1 (en) * 2003-12-02 2006-01-05 Arulkumar Shanmugasundram Method and apparatus for electroless capping with vapor drying
US7316063B2 (en) * 2004-01-12 2008-01-08 Micron Technology, Inc. Methods of fabricating substrates including at least one conductive via
US20050181226A1 (en) * 2004-01-26 2005-08-18 Applied Materials, Inc. Method and apparatus for selectively changing thin film composition during electroless deposition in a single chamber
US20050161338A1 (en) * 2004-01-26 2005-07-28 Applied Materials, Inc. Electroless cobalt alloy deposition process
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050170650A1 (en) * 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US7279084B2 (en) * 2004-02-06 2007-10-09 Intel Corporation Apparatus having plating solution container with current applying anodes
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
WO2005100641A1 (en) * 2004-04-15 2005-10-27 Neomax Co., Ltd. Method for imparting excellent resistance to hydrogen to article and article exhibiting excellent resistance to hydrogen
US20050253268A1 (en) * 2004-04-22 2005-11-17 Shao-Ta Hsu Method and structure for improving adhesion between intermetal dielectric layer and cap layer
US7214297B2 (en) * 2004-06-28 2007-05-08 Applied Materials, Inc. Substrate support element for an electrochemical plating cell
US20060045666A1 (en) * 2004-07-09 2006-03-02 Harris Randy A Modular tool unit for processing of microfeature workpieces
JP4464240B2 (en) * 2004-10-06 2010-05-19 キヤノン株式会社 Member processing apparatus and processing method
US20060240187A1 (en) * 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US20060175201A1 (en) * 2005-02-07 2006-08-10 Hooman Hafezi Immersion process for electroplating applications
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20060246217A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Electroless deposition process on a silicide contact
US20060246699A1 (en) * 2005-03-18 2006-11-02 Weidman Timothy W Process for electroless copper deposition on a ruthenium seed
US20060251801A1 (en) * 2005-03-18 2006-11-09 Weidman Timothy W In-situ silicidation metallization process
US7935240B2 (en) * 2005-05-25 2011-05-03 Applied Materials, Inc. Electroplating apparatus and method based on an array of anodes
EP1909320A1 (en) * 2006-10-05 2008-04-09 ST Microelectronics Crolles 2 SAS Copper diffusion barrier
US20070071888A1 (en) * 2005-09-21 2007-03-29 Arulkumar Shanmugasundram Method and apparatus for forming device features in an integrated electroless deposition system
KR100651919B1 (en) * 2005-09-29 2006-12-01 엘지전자 주식회사 Mobile telecommunication device having function for adjusting recording rate and method thereby
KR100836501B1 (en) * 2005-10-11 2008-06-09 동부일렉트로닉스 주식회사 Image Sensor of Thin Film Processing Device for fabricating semiconductor devices
US20070099806A1 (en) * 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7363094B2 (en) * 2006-01-09 2008-04-22 General Electric Company Multivariable controller design method for multiple input/outputs systems with multiple input/output constraints
US7655126B2 (en) 2006-03-27 2010-02-02 Federal Mogul World Wide, Inc. Fabrication of topical stopper on MLS gasket by active matrix electrochemical deposition
US7622382B2 (en) * 2006-03-29 2009-11-24 Intel Corporation Filling narrow and high aspect ratio openings with electroless deposition
US7877895B2 (en) 2006-06-26 2011-02-01 Tokyo Electron Limited Substrate processing apparatus
US20080041727A1 (en) * 2006-08-18 2008-02-21 Semitool, Inc. Method and system for depositing alloy composition
TWI378540B (en) * 2006-10-14 2012-12-01 Advanpack Solutions Pte Ltd Chip and manufacturing method thereof
WO2008049019A2 (en) * 2006-10-17 2008-04-24 Enthone Inc. Copper deposition for filling features in manufacture of microelectronic devices
US8702953B2 (en) * 2006-12-28 2014-04-22 C. Uyemura & Co., Ltd. Method of determining operating condition for rotary surface treating apparatus
US8177945B2 (en) 2007-01-26 2012-05-15 International Business Machines Corporation Multi-anode system for uniform plating of alloys
US7842173B2 (en) * 2007-01-29 2010-11-30 Semitool, Inc. Apparatus and methods for electrochemical processing of microfeature wafers
WO2008137951A2 (en) * 2007-05-07 2008-11-13 Surfect Technologies, Inc. Plating apparatus and method
US8580090B2 (en) * 2007-08-01 2013-11-12 Intermolecular, Inc. Combinatorial electrochemical deposition
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US8237892B1 (en) 2007-11-30 2012-08-07 Sipix Imaging, Inc. Display device with a brightness enhancement structure
US7830592B1 (en) * 2007-11-30 2010-11-09 Sipix Imaging, Inc. Display devices having micro-reflectors
US7727890B2 (en) 2007-12-10 2010-06-01 International Business Machines Corporation High aspect ratio electroplated metal feature and method
CN105137643A (en) * 2008-03-11 2015-12-09 希毕克斯影像有限公司 Luminance enhancement structure for reflective display devices
US8437069B2 (en) * 2008-03-11 2013-05-07 Sipix Imaging, Inc. Luminance enhancement structure for reflective display devices
US8089135B2 (en) * 2008-07-30 2012-01-03 International Business Machine Corporation Back-end-of-line wiring structures with integrated passive elements and design structures for a radiofrequency integrated circuit
US8441414B2 (en) * 2008-12-05 2013-05-14 Sipix Imaging, Inc. Luminance enhancement structure with Moiré reducing design
US9025234B2 (en) * 2009-01-22 2015-05-05 E Ink California, Llc Luminance enhancement structure with varying pitches
US8120836B2 (en) * 2009-03-09 2012-02-21 Sipix Imaging, Inc. Luminance enhancement structure for reflective display devices
US8714780B2 (en) * 2009-04-22 2014-05-06 Sipix Imaging, Inc. Display devices with grooved luminance enhancement film
US8797633B1 (en) 2009-07-23 2014-08-05 Sipix Imaging, Inc. Display device assembly and manufacture thereof
US8456589B1 (en) 2009-07-27 2013-06-04 Sipix Imaging, Inc. Display device assembly
US8405201B2 (en) * 2009-11-09 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon via structure
US9714474B2 (en) 2010-04-06 2017-07-25 Tel Nexx, Inc. Seed layer deposition in microscale features
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
TW201213622A (en) * 2010-09-27 2012-04-01 Pin-Chun Huang Device and method for electroplating thin board
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8575028B2 (en) 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
US8496789B2 (en) 2011-05-18 2013-07-30 Applied Materials, Inc. Electrochemical processor
US8496790B2 (en) 2011-05-18 2013-07-30 Applied Materials, Inc. Electrochemical processor
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
JP2013077711A (en) * 2011-09-30 2013-04-25 Sony Corp Semiconductor device and manufacturing method of semiconductor device
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CA2794722A1 (en) * 2011-11-02 2013-05-02 Robert Jones Amorphous nickel phosphorous alloys for oil and gas
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US10665503B2 (en) * 2012-04-26 2020-05-26 Applied Materials, Inc. Semiconductor reflow processing for feature fill
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9865501B2 (en) 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US20150299886A1 (en) * 2014-04-18 2015-10-22 Lam Research Corporation Method and apparatus for preparing a substrate with a semi-noble metal layer
US9469912B2 (en) 2014-04-21 2016-10-18 Lam Research Corporation Pretreatment method for photoresist wafer processing
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US10068845B2 (en) * 2014-06-16 2018-09-04 Intel Corporation Seam healing of metal interconnects
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9472377B2 (en) 2014-10-17 2016-10-18 Lam Research Corporation Method and apparatus for characterizing metal oxide reduction
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10648096B2 (en) 2014-12-12 2020-05-12 Infineon Technologies Ag Electrolyte, method of forming a copper layer and method of forming a chip
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
CN112272717B (en) * 2018-04-27 2024-01-05 莫杜美拓有限公司 Apparatus, system, and method for producing multiple articles with nanolaminate coatings using rotation
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
IT201900007878A1 (en) * 2019-06-03 2020-12-03 C D T Centro Depurazione Toscano Srl GALVANOSTATIC PLANT FOR THE MANAGEMENT OF THE DISTRIBUTION OF THE THICKNESS OF GALVANIC REPORTS AND THE PROCEDURE FOR OBTAINING THEM
WO2021201830A1 (en) * 2020-03-30 2021-10-07 Common Grounds Lab Inc. Three-dimensional printed compositions using organic substrates such as coffee, pistachio shells and coconut shells, with bacteria-based binders, coatings for three-dimensional printed compositions, and processes related to the same.

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4828654A (en) * 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5597460A (en) * 1995-11-13 1997-01-28 Reynolds Tech Fabricators, Inc. Plating cell having laminar flow sparger
US5932077A (en) * 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6391166B1 (en) * 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method

Family Cites Families (222)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1526644A (en) 1922-10-25 1925-02-17 Williams Brothers Mfg Company Process of electroplating and apparatus therefor
US1881713A (en) 1928-12-03 1932-10-11 Arthur K Laukel Flexible and adjustable anode
US2256274A (en) 1938-06-30 1941-09-16 Firm J D Riedel E De Haen A G Salicylic acid sulphonyl sulphanilamides
US2195454A (en) * 1939-01-07 1940-04-02 Louis Weisberg Inc Electrodeposition of copper
US2250556A (en) * 1940-11-26 1941-07-29 United Chromium Inc Electrodeposition of copper and bath therefor
US2411674A (en) * 1943-03-04 1946-11-26 Little Inc A Art of electrodeposition of copper
NL170871B (en) * 1952-07-05 Nippon Electric Co PROCEDURE FOR THE REMOVAL OF HEAVY AND / OR TOXIC METALS FROM WASTE GAS.
US2996408A (en) 1958-03-31 1961-08-15 Gen Electric Copper plating process and solution
NL291575A (en) 1962-04-16
US3309263A (en) 1964-12-03 1967-03-14 Kimberly Clark Co Web pickup and transfer for a papermaking machine
US3328273A (en) 1966-08-15 1967-06-27 Udylite Corp Electro-deposition of copper from acidic baths
US3616284A (en) 1968-08-21 1971-10-26 Bell Telephone Labor Inc Processing arrays of junction devices
US3664933A (en) 1969-06-19 1972-05-23 Udylite Corp Process for acid copper plating of zinc
US3684666A (en) 1970-03-19 1972-08-15 Pfizer & Co C Copper electroplating in a citric acid bath
US3716462A (en) 1970-10-05 1973-02-13 D Jensen Copper plating on zinc and its alloys
US3706651A (en) 1970-12-30 1972-12-19 Us Navy Apparatus for electroplating a curved surface
US3930963A (en) 1971-07-29 1976-01-06 Photocircuits Division Of Kollmorgen Corporation Method for the production of radiant energy imaged printed circuit boards
BE791401A (en) 1971-11-15 1973-05-14 Monsanto Co ELECTROCHEMICAL COMPOSITIONS AND PROCESSES
US3770598A (en) 1972-01-21 1973-11-06 Oxy Metal Finishing Corp Electrodeposition of copper from acid baths
US3798003A (en) 1972-02-14 1974-03-19 E Ensley Differential microcalorimeter
DE2244434C3 (en) 1972-09-06 1982-02-25 Schering Ag, 1000 Berlin Und 4619 Bergkamen Aqueous bath for the galvanic deposition of gold and gold alloys
US4022679A (en) 1973-05-10 1977-05-10 C. Conradty Coated titanium anode for amalgam heavy duty cells
US3968885A (en) 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US3880725A (en) * 1974-04-10 1975-04-29 Rca Corp Predetermined thickness profiles through electroplating
US3930363A (en) 1974-07-19 1976-01-06 Burrell R. Rubenstein Parking meter
US4001094A (en) 1974-09-19 1977-01-04 Jumer John F Method for incremental electro-processing of large areas
US4000046A (en) 1974-12-23 1976-12-28 P. R. Mallory & Co., Inc. Method of electroplating a conductive layer over an electrolytic capacitor
US4072557A (en) 1974-12-23 1978-02-07 J. M. Voith Gmbh Method and apparatus for shrinking a travelling web of fibrous material
US4046105A (en) 1975-06-16 1977-09-06 Xerox Corporation Laminar deep wave generator
US4032422A (en) 1975-10-03 1977-06-28 National Semiconductor Corporation Apparatus for plating semiconductor chip headers
US4030015A (en) 1975-10-20 1977-06-14 International Business Machines Corporation Pulse width modulated voltage regulator-converter/power converter having push-push regulator-converter means
US4165252A (en) 1976-08-30 1979-08-21 Burroughs Corporation Method for chemically treating a single side of a workpiece
US4137867A (en) 1977-09-12 1979-02-06 Seiichiro Aigo Apparatus for bump-plating semiconductor wafers
US4134802A (en) 1977-10-03 1979-01-16 Oxy Metal Industries Corporation Electrolyte and method for electrodepositing bright metal deposits
US4170959A (en) 1978-04-04 1979-10-16 Seiichiro Aigo Apparatus for bump-plating semiconductor wafers
US4279948A (en) 1978-05-25 1981-07-21 Macdermid Incorporated Electroless copper deposition solution using a hypophosphite reducing agent
US4341629A (en) 1978-08-28 1982-07-27 Sand And Sea Industries, Inc. Means for desalination of water through reverse osmosis
US4246088A (en) 1979-01-24 1981-01-20 Metal Box Limited Method and apparatus for electrolytic treatment of containers
AU5665580A (en) 1979-03-22 1980-09-25 Wakelin, R.R.F. Moving-wall type pump
US4222834A (en) 1979-06-06 1980-09-16 Western Electric Company, Inc. Selectively treating an article
SU921124A1 (en) 1979-06-19 1982-04-15 Институт Физико-Химических Основ Переработки Минерального Сырья Со Ан Ссср Method of metallization of printed circuit board apertures
JPS56102590A (en) 1979-08-09 1981-08-17 Koichi Shimamura Method and device for plating of microarea
US4422915A (en) 1979-09-04 1983-12-27 Battelle Memorial Institute Preparation of colored polymeric film-like coating
US4238310A (en) 1979-10-03 1980-12-09 United Technologies Corporation Apparatus for electrolytic etching
US4272335A (en) 1980-02-19 1981-06-09 Oxy Metal Industries Corporation Composition and method for electrodeposition of copper
US4259166A (en) 1980-03-31 1981-03-31 Rca Corporation Shield for plating substrate
US4437943A (en) 1980-07-09 1984-03-20 Olin Corporation Method and apparatus for bonding metal wire to a base metal substrate
DE3171220D1 (en) 1980-09-02 1985-08-08 Heraeus Schott Quarzschmelze Method of and apparatus for transferring semiconductor wafers between carrier members
US4323433A (en) 1980-09-22 1982-04-06 The Boeing Company Anodizing process employing adjustable shield for suspended cathode
US4443117A (en) 1980-09-26 1984-04-17 Terumo Corporation Measuring apparatus, method of manufacture thereof, and method of writing data into same
US4304641A (en) 1980-11-24 1981-12-08 International Business Machines Corporation Rotary electroplating cell with controlled current distribution
SE8101046L (en) 1981-02-16 1982-08-17 Europafilm DEVICE FOR PLANTS, Separate for the matrices of gramophone discs and the like
US4360410A (en) 1981-03-06 1982-11-23 Western Electric Company, Inc. Electroplating processes and equipment utilizing a foam electrolyte
JPS57198315U (en) 1981-06-12 1982-12-16
JPS584382A (en) 1981-06-26 1983-01-11 ファナック株式会社 Control system for industrial robot
US4378283A (en) 1981-07-30 1983-03-29 National Semiconductor Corporation Consumable-anode selective plating apparatus
US4384930A (en) 1981-08-21 1983-05-24 Mcgean-Rohco, Inc. Electroplating baths, additives therefor and methods for the electrodeposition of metals
US4463503A (en) 1981-09-29 1984-08-07 Driall, Inc. Grain drier and method of drying grain
JPS58154842A (en) 1982-02-03 1983-09-14 Konishiroku Photo Ind Co Ltd Silver halide color photographic sensitive material
JPS58149189A (en) 1982-03-01 1983-09-05 セイコーインスツルメンツ株式会社 Turning lifting mechanism of industrial robot
US4440597A (en) 1982-03-15 1984-04-03 The Procter & Gamble Company Wet-microcontracted paper and concomitant process
US4475823A (en) 1982-04-09 1984-10-09 Piezo Electric Products, Inc. Self-calibrating thermometer
US4449885A (en) 1982-05-24 1984-05-22 Varian Associates, Inc. Wafer transfer system
US4451197A (en) 1982-07-26 1984-05-29 Advanced Semiconductor Materials Die Bonding, Inc. Object detection apparatus and method
US4514269A (en) 1982-08-06 1985-04-30 Alcan International Limited Metal production by electrolysis of a molten electrolyte
US4585539A (en) 1982-08-17 1986-04-29 Technic, Inc. Electrolytic reactor
US4541895A (en) 1982-10-29 1985-09-17 Scapa Inc. Papermakers fabric of nonwoven layers in a laminated construction
US4529480A (en) 1983-08-23 1985-07-16 The Procter & Gamble Company Tissue paper
US4469566A (en) 1983-08-29 1984-09-04 Dynamic Disk, Inc. Method and apparatus for producing electroplated magnetic memory disk, and the like
US4864239A (en) 1983-12-05 1989-09-05 General Electric Company Cylindrical bearing inspection
US4466864A (en) 1983-12-16 1984-08-21 At&T Technologies, Inc. Methods of and apparatus for electroplating preselected surface regions of electrical articles
US4500394A (en) 1984-05-16 1985-02-19 At&T Technologies, Inc. Contacting a surface for plating thereon
US4673469A (en) 1984-06-08 1987-06-16 Mcgean-Rohco, Inc. Method of plating plastics
US4634503A (en) 1984-06-27 1987-01-06 Daniel Nogavich Immersion electroplating system
DE8430403U1 (en) 1984-10-16 1985-04-25 Gebr. Steimel, 5202 Hennef CENTERING DEVICE
US4639028A (en) 1984-11-13 1987-01-27 Economic Development Corporation High temperature and acid resistant wafer pick up device
DE3500005A1 (en) 1985-01-02 1986-07-10 ESB Elektrostatische Sprüh- und Beschichtungsanlagen G.F. Vöhringer GmbH, 7758 Meersburg COATING CABIN FOR COATING THE SURFACE OF WORKPIECES WITH COATING POWDER
US4604178A (en) 1985-03-01 1986-08-05 The Dow Chemical Company Anode
US4685414A (en) 1985-04-03 1987-08-11 Dirico Mark A Coating printed sheets
US4576685A (en) 1985-04-23 1986-03-18 Schering Ag Process and apparatus for plating onto articles
US4648944A (en) 1985-07-18 1987-03-10 Martin Marietta Corporation Apparatus and method for controlling plating induced stress in electroforming and electroplating processes
US4760671A (en) 1985-08-19 1988-08-02 Owens-Illinois Television Products Inc. Method of and apparatus for automatically grinding cathode ray tube faceplates
US4624749A (en) 1985-09-03 1986-11-25 Harris Corporation Electrodeposition of submicrometer metallic interconnect for integrated circuits
FR2587915B1 (en) 1985-09-27 1987-11-27 Omya Sa DEVICE FOR CONTACTING FLUIDS IN THE FORM OF DIFFERENT PHASES
US4949671A (en) 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
JPH088723B2 (en) 1985-11-02 1996-01-29 日立機電工業株式会社 Conveyor device using linear motor
US4715934A (en) 1985-11-18 1987-12-29 Lth Associates Process and apparatus for separating metals from solutions
US4761214A (en) 1985-11-27 1988-08-02 Airfoil Textron Inc. ECM machine with mechanisms for venting and clamping a workpart shroud
US4687552A (en) 1985-12-02 1987-08-18 Tektronix, Inc. Rhodium capped gold IC metallization
US4849054A (en) 1985-12-04 1989-07-18 James River-Norwalk, Inc. High bulk, embossed fiber sheet material and apparatus and method of manufacturing the same
BR8607061A (en) 1985-12-24 1988-02-23 Gould Inc PROCESS AND APPLIANCE FOR ELECTROGALVANIZATION OF COPPER SHEET
US4696729A (en) 1986-02-28 1987-09-29 International Business Machines Electroplating cell
US4670126A (en) 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4924890A (en) 1986-05-16 1990-05-15 Eastman Kodak Company Method and apparatus for cleaning semiconductor wafers
US4814197A (en) * 1986-10-31 1989-03-21 Kollmorgen Corporation Control of electroless plating baths
JPH0768639B2 (en) * 1986-12-10 1995-07-26 トヨタ自動車株式会社 Electrodeposition coating method
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPH0815582B2 (en) * 1987-02-28 1996-02-21 本田技研工業株式会社 Body surface treatment method
DD260260A1 (en) 1987-05-04 1988-09-21 Polygraph Leipzig ROTATION HEADING DEVICE WITH SEPARATELY DRIVEN HEADING HEAD
DE3719952A1 (en) 1987-06-15 1988-12-29 Convac Gmbh DEVICE FOR TREATING WAFERS IN THE PRODUCTION OF SEMICONDUCTOR ELEMENTS
US5138973A (en) 1987-07-16 1992-08-18 Texas Instruments Incorporated Wafer processing apparatus having independently controllable energy sources
JP2624703B2 (en) 1987-09-24 1997-06-25 株式会社東芝 Method and apparatus for forming bump
US4781800A (en) 1987-09-29 1988-11-01 President And Fellows Of Harvard College Deposition of metal or alloy film
DE3735449A1 (en) * 1987-10-20 1989-05-03 Convac Gmbh MANUFACTURING SYSTEM FOR SEMICONDUCTOR SUBSTRATES
JP2508540B2 (en) 1987-11-02 1996-06-19 三菱マテリアル株式会社 Wafer position detector
JPH01125821A (en) 1987-11-10 1989-05-18 Matsushita Electric Ind Co Ltd Vapor growth device
US4868992A (en) 1988-04-22 1989-09-26 Intel Corporation Anode cathode parallelism gap gauge
US4902398A (en) 1988-04-27 1990-02-20 American Thim Film Laboratories, Inc. Computer program for vacuum coating systems
US5235995A (en) * 1989-03-27 1993-08-17 Semitool, Inc. Semiconductor processor apparatus with dynamic wafer vapor treatment and particulate volatilization
US4988533A (en) 1988-05-27 1991-01-29 Texas Instruments Incorporated Method for deposition of silicon oxide on a wafer
DE3818757A1 (en) * 1988-05-31 1989-12-07 Mannesmann Ag PORTAL OF AN INDUSTRIAL ROBOT
US4959278A (en) 1988-06-16 1990-09-25 Nippon Mining Co., Ltd. Tin whisker-free tin or tin alloy plated article and coating technique thereof
US4990224A (en) 1988-12-21 1991-02-05 International Business Machines Corporation Copper plating bath and process for difficult to plate metals
US4913035A (en) * 1989-08-16 1990-04-03 Duh Gabri C B Apparatus for mist prevention in car windshields
US5021129A (en) 1989-09-25 1991-06-04 International Business Machines Corporation Multilayer structures of different electroactive materials and methods of fabrication thereof
JPH03125453A (en) * 1989-10-09 1991-05-28 Toshiba Corp Semiconductor wafer transfer device
US5000827A (en) 1990-01-02 1991-03-19 Motorola, Inc. Method and apparatus for adjusting plating solution flow characteristics at substrate cathode periphery to minimize edge effect
EP0441636B1 (en) 1990-02-09 1994-06-22 Nihon Parkerizing Co., Ltd. Process for surface treating titanium-containing metallic material
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
US5370741A (en) * 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
KR0153250B1 (en) * 1990-06-28 1998-12-01 카자마 겐쥬 Vertical heat-treating apparatus
US5256274A (en) 1990-08-01 1993-10-26 Jaime Poris Selective metal electrodeposition process
US5368711A (en) 1990-08-01 1994-11-29 Poris; Jaime Selective metal electrodeposition process and apparatus
US5151168A (en) 1990-09-24 1992-09-29 Micron Technology, Inc. Process for metallizing integrated circuits with electrolytically-deposited copper
US5115430A (en) 1990-09-24 1992-05-19 At&T Bell Laboratories Fair access of multi-priority traffic to distributed-queue dual-bus networks
US5078852A (en) * 1990-10-12 1992-01-07 Microelectronics And Computer Technology Corporation Plating rack
US5135636A (en) 1990-10-12 1992-08-04 Microelectronics And Computer Technology Corporation Electroplating method
US5161168A (en) 1991-05-15 1992-11-03 Scs Mobilecom, Inc. Spread spectrum CDMA communications system microwave overlay
JP2768390B2 (en) 1990-12-11 1998-06-25 インターナショナル・ビジネス・マシーンズ・コーポレイション Method of conditioning a substrate for electroless metal deposition
DE69220519T2 (en) 1991-03-04 1998-02-19 Toda Kogyo Corp Process for plating a bonded magnet and bonded magnet with a metal coating
EP1120817B8 (en) * 1991-03-26 2007-10-10 Ngk Insulators, Ltd. Use of a corrosion-resistant member
US5178512A (en) * 1991-04-01 1993-01-12 Equipe Technologies Precision robot apparatus
US5209817A (en) 1991-08-22 1993-05-11 International Business Machines Corporation Selective plating method for forming integral via and wiring layers
US5399564A (en) * 1991-09-03 1995-03-21 Dowelanco N-(4-pyridyl or 4-quinolinyl) arylacetamide and 4-(aralkoxy or aralkylamino) pyridine pesticides
JPH05190475A (en) 1992-01-08 1993-07-30 Nec Corp Growth apparatus of silicon oxide film
JP2888001B2 (en) 1992-01-09 1999-05-10 日本電気株式会社 Metal plating equipment
US5217586A (en) 1992-01-09 1993-06-08 International Business Machines Corporation Electrochemical tool for uniform metal removal during electropolishing
US5301700A (en) * 1992-03-05 1994-04-12 Tokyo Electron Limited Washing system
US5501768A (en) * 1992-04-17 1996-03-26 Kimberly-Clark Corporation Method of treating papermaking fibers for making tissue
ES2078718T3 (en) * 1992-08-04 1995-12-16 Ibm MANUFACTURING CHAIN STRUCTURES BASED ON FULLY AUTOMATED AND COMPUTERIZED CONVEYORS ADAPTED TO PRESSURE SEALABLE TRANSPORTABLE CONTAINERS.
US5372848A (en) 1992-12-24 1994-12-13 International Business Machines Corporation Process for creating organic polymeric substrate with copper
US5284548A (en) 1993-03-03 1994-02-08 Microelectronics And Computer Technology Corporation Process for producing electrical circuits with precision surface features
US5719447A (en) 1993-06-03 1998-02-17 Intel Corporation Metal alloy interconnections for integrated circuits
US5684713A (en) 1993-06-30 1997-11-04 Massachusetts Institute Of Technology Method and apparatus for the recursive design of physical structures
US5489341A (en) * 1993-08-23 1996-02-06 Semitool, Inc. Semiconductor processing with non-jetting fluid stream discharge array
US5472502A (en) 1993-08-30 1995-12-05 Semiconductor Systems, Inc. Apparatus and method for spin coating wafers and the like
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5409587A (en) 1993-09-16 1995-04-25 Micron Technology, Inc. Sputtering with collinator cleaning within the sputtering chamber
JP3194823B2 (en) 1993-09-17 2001-08-06 富士通株式会社 CAD library model creation device
EP0653512B1 (en) * 1993-11-16 1998-02-25 Scapa Group Plc Papermachine clothing
JPH07193214A (en) 1993-12-27 1995-07-28 Mitsubishi Electric Corp Via-hole and its formation
US5391285A (en) 1994-02-25 1995-02-21 Motorola, Inc. Adjustable plating cell for uniform bump plating of semiconductor wafers
DE9404771U1 (en) * 1994-03-21 1994-06-30 Thyssen Aufzuege Gmbh Locking device
JPH07283077A (en) * 1994-04-11 1995-10-27 Ngk Spark Plug Co Ltd Thin film capacitor
US5405518A (en) * 1994-04-26 1995-04-11 Industrial Technology Research Institute Workpiece holder apparatus
JP3621151B2 (en) * 1994-06-02 2005-02-16 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
US5472592A (en) 1994-07-19 1995-12-05 American Plating Systems Electrolytic plating apparatus and method
US5512319A (en) * 1994-08-22 1996-04-30 Basf Corporation Polyurethane foam composite
JP3143770B2 (en) * 1994-10-07 2001-03-07 東京エレクトロン株式会社 Substrate transfer device
US5625233A (en) 1995-01-13 1997-04-29 Ibm Corporation Thin film multi-layer oxygen diffusion barrier consisting of refractory metal, refractory metal aluminide, and aluminum oxide
US5593545A (en) * 1995-02-06 1997-01-14 Kimberly-Clark Corporation Method for making uncreped throughdried tissue products without an open draw
US5482891A (en) 1995-03-17 1996-01-09 Motorola, Inc. VCSEL with an intergrated heat sink and method of making
US5549808A (en) 1995-05-12 1996-08-27 International Business Machines Corporation Method for forming capped copper electrical interconnects
US5741435A (en) 1995-08-08 1998-04-21 Nano Systems, Inc. Magnetic memory having shape anisotropic magnetic elements
US5807469A (en) 1995-09-27 1998-09-15 Intel Corporation Flexible continuous cathode contact circuit for electrolytic plating of C4, tab microbumps, and ultra large scale interconnects
KR0182006B1 (en) 1995-11-10 1999-04-15 김광호 Semiconductor device
US5620581A (en) * 1995-11-29 1997-04-15 Aiwa Research And Development, Inc. Apparatus for electroplating metal films including a cathode ring, insulator ring and thief ring
JPH09157846A (en) * 1995-12-01 1997-06-17 Teisan Kk Temperature controller
DE69608669T2 (en) 1995-12-19 2001-03-01 Fsi International Chaska APPLICATION OF METAL FILMS WITH SPRAY PROCESSOR
US5681392A (en) 1995-12-21 1997-10-28 Xerox Corporation Fluid reservoir containing panels for reducing rate of fluid flow
US6709562B1 (en) * 1995-12-29 2004-03-23 International Business Machines Corporation Method of making electroplated interconnection structures on integrated circuit chips
US5891513A (en) 1996-01-16 1999-04-06 Cornell Research Foundation Electroless CU deposition on a barrier layer by CU contact displacement for ULSI applications
US5824599A (en) 1996-01-16 1998-10-20 Cornell Research Foundation, Inc. Protected encapsulation of catalytic layer for electroless copper interconnect
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5576052A (en) 1996-04-22 1996-11-19 Motorola, Inc. Method of metallizing high aspect ratio apertures
US6162488A (en) 1996-05-14 2000-12-19 Boston University Method for closed loop control of chemical vapor deposition process
US5730854A (en) 1996-05-30 1998-03-24 Enthone-Omi, Inc. Alkoxylated dimercaptans as copper additives and de-polarizing additives
US6672820B1 (en) * 1996-07-15 2004-01-06 Semitool, Inc. Semiconductor processing apparatus having linear conveyer system
US6168695B1 (en) * 1999-07-12 2001-01-02 Daniel J. Woodruff Lift and rotate assembly for use in a workpiece processing station and a method of attaching the same
US5731678A (en) * 1996-07-15 1998-03-24 Semitool, Inc. Processing head for semiconductor processing machines
US5723387A (en) 1996-07-22 1998-03-03 Industrial Technology Research Institute Method and apparatus for forming very small scale Cu interconnect metallurgy on semiconductor substrates
US5989397A (en) 1996-11-12 1999-11-23 The United States Of America As Represented By The Secretary Of The Air Force Gradient multilayer film generation process control
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
DE69620273T2 (en) * 1996-12-20 2002-07-18 Alcatel Sa Process for the production of spacers on an electrical circuit board
US5913147A (en) 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
AUPO473297A0 (en) 1997-01-22 1997-02-20 Industrial Automation Services Pty Ltd Coating thickness control
EP0905285B1 (en) 1997-02-03 2000-12-27 Okuno Chemical Industries Co., Ltd. Method for electroplating nonconductive material
US5750018A (en) 1997-03-18 1998-05-12 Learonal, Inc. Cyanide-free monovalent copper electroplating solutions
US6090260A (en) 1997-03-31 2000-07-18 Tdk Corporation Electroplating method
US6174425B1 (en) * 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
US5969422A (en) 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US5972192A (en) 1997-07-23 1999-10-26 Advanced Micro Devices, Inc. Pulse electroplating copper or copper alloys
US5999886A (en) 1997-09-05 1999-12-07 Advanced Micro Devices, Inc. Measurement system for detecting chemical species within a semiconductor processing device chamber
US5882498A (en) 1997-10-16 1999-03-16 Advanced Micro Devices, Inc. Method for reducing oxidation of electroplating chamber contacts and improving uniform electroplating of a substrate
US5897368A (en) 1997-11-10 1999-04-27 General Electric Company Method of fabricating metallized vias with steep walls
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6159354A (en) 1997-11-13 2000-12-12 Novellus Systems, Inc. Electric potential shaping method for electroplating
US6027631A (en) 1997-11-13 2000-02-22 Novellus Systems, Inc. Electroplating system with shields for varying thickness profile of deposited layer
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6168693B1 (en) * 1998-01-22 2001-01-02 International Business Machines Corporation Apparatus for controlling the uniformity of an electroplated workpiece
JP3501937B2 (en) * 1998-01-30 2004-03-02 富士通株式会社 Method for manufacturing semiconductor device
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6151532A (en) 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
TWI223678B (en) 1998-03-20 2004-11-11 Semitool Inc Process for applying a metal structure to a workpiece, the treated workpiece and a solution for electroplating copper
US6565729B2 (en) * 1998-03-20 2003-05-20 Semitool, Inc. Method for electrochemically depositing metal on a semiconductor workpiece
US6228232B1 (en) 1998-07-09 2001-05-08 Semitool, Inc. Reactor vessel having improved cup anode and conductor assembly
WO2000003072A1 (en) 1998-07-10 2000-01-20 Semitool, Inc. Method and apparatus for copper plating using electroless plating and electroplating
US6303010B1 (en) * 1999-07-12 2001-10-16 Semitool, Inc. Methods and apparatus for processing the surface of a microelectronic workpiece
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6074544A (en) 1998-07-22 2000-06-13 Novellus Systems, Inc. Method of electroplating semiconductor wafer using variable currents and mass transfer to obtain uniform plated layer
US6319831B1 (en) 1999-03-18 2001-11-20 Taiwan Semiconductor Manufacturing Company Gap filling by two-step plating
US6140241A (en) * 1999-03-18 2000-10-31 Taiwan Semiconductor Manufacturing Company Multi-step electrochemical copper deposition process with improved filling capability
US7020537B2 (en) * 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20030038035A1 (en) * 2001-05-30 2003-02-27 Wilson Gregory J. Methods and systems for controlling current in electrochemical processing of microelectronic workpieces
TW527444B (en) 1999-04-13 2003-04-11 Semitool Inc System for electrochemically processing a workpiece
US6395164B1 (en) * 1999-10-07 2002-05-28 International Business Machines Corporation Copper seed layer repair technique using electroless touch-up
KR100760337B1 (en) * 1999-12-15 2007-09-20 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 Seed layer repair method
US6678055B2 (en) * 2001-11-26 2004-01-13 Tevet Process Control Technologies Ltd. Method and apparatus for measuring stress in semiconductor wafers

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4828654A (en) * 1988-03-23 1989-05-09 Protocad, Inc. Variable size segmented anode array for electroplating
US5096550A (en) * 1990-10-15 1992-03-17 The United States Of America As Represented By The United States Department Of Energy Method and apparatus for spatially uniform electropolishing and electrolytic etching
US5597460A (en) * 1995-11-13 1997-01-28 Reynolds Tech Fabricators, Inc. Plating cell having laminar flow sparger
US5932077A (en) * 1998-02-09 1999-08-03 Reynolds Tech Fabricators, Inc. Plating cell with horizontal product load mechanism
US6391166B1 (en) * 1998-02-12 2002-05-21 Acm Research, Inc. Plating apparatus and method

Also Published As

Publication number Publication date
US6565729B2 (en) 2003-05-20
US20050245083A1 (en) 2005-11-03
WO2002045476A2 (en) 2002-06-13
US20020008034A1 (en) 2002-01-24
US7332066B2 (en) 2008-02-19
US20100116671A1 (en) 2010-05-13
WO2002045476A9 (en) 2002-09-06
AU2002236571A1 (en) 2002-06-18
US7115196B2 (en) 2006-10-03
US20040031693A1 (en) 2004-02-19

Similar Documents

Publication Publication Date Title
WO2002045476A3 (en) Apparatus and method for electrochemically depositing metal on a semiconductor workpiece
WO2000061498A3 (en) System for electrochemically processing a workpiece
US6103096A (en) Apparatus and method for the electrochemical etching of a wafer
WO2001071780A3 (en) Apparatus and method for electrochemically processing a microelectronic workpiece
WO2001004928A3 (en) Improved apparatus and method for plating wafers, substrates and other articles
IL132165A0 (en) Article method and apparatus for electrochemical fabrication
GR3034242T3 (en) An electrolytic process for cleaning electrically conducting surfaces
EP1039508A3 (en) Anodizing apparatus, anodizing system, substrate processing apparatus and method, and substrate manufacturing method
CN100469948C (en) Method and associated apparatus for tilting a substrate upon entry for metal deposition
US20120199474A1 (en) Prevention of substrate edge plating in a fountain plating process
WO2003005477A3 (en) Thin film battery and method of manufacture
MY136159A (en) Apparatus and method for depositing and planarizing thin films of semiconductor wafers
EP1037263A3 (en) Apparatus for electro-chemical deposition of copper with the capability of in-situ thermal annealing
JP2005515629A5 (en)
WO1999010566A3 (en) Process chamber and method for depositing and/or removing material on a substrate
MY146073A (en) Apparatus and method for plating semiconductor wafers
GB0027930D0 (en) Intermetallic compounds
TW342522B (en) Contactless real-time in-situ monitoring of a chemical etching process
WO2002022915A3 (en) Removable modular cell for electro-chemical plating
WO1999015714A3 (en) Electro-plating process
EP0690489A3 (en) Method and apparatus for contactless real-time in-situ monitoring of a chemical etching process
US8431007B2 (en) Electro-thinning apparatus for removing excess metal from surface metal layer of substrate and removing method using the same
CN101851777B (en) Electrolysis device for removing excessive metals on substrate surface and method for removing excessive metals by utilizing same
KR20010107633A (en) Electrolytic plating device and method of the same
JPS57145969A (en) Chemical plating method

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT TZ UA UG US UZ VN YU ZA ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
COP Corrected version of pamphlet

Free format text: PAGES 1/28-28/28, DRAWINGS, REPLACED BY NEW PAGES 1/27-27/27; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP