WO2002056332A1 - Appareil et procede d'inspection a faisceau d'electrons, et procede de fabrication de dispositif comportant l'appareil d'inspection - Google Patents

Appareil et procede d'inspection a faisceau d'electrons, et procede de fabrication de dispositif comportant l'appareil d'inspection Download PDF

Info

Publication number
WO2002056332A1
WO2002056332A1 PCT/JP2001/009628 JP0109628W WO02056332A1 WO 2002056332 A1 WO2002056332 A1 WO 2002056332A1 JP 0109628 W JP0109628 W JP 0109628W WO 02056332 A1 WO02056332 A1 WO 02056332A1
Authority
WO
WIPO (PCT)
Prior art keywords
electron beam
sample
inspection apparatus
image
lens
Prior art date
Application number
PCT/JP2001/009628
Other languages
English (en)
French (fr)
Other versions
WO2002056332A8 (fr
Inventor
Yuichiro Yamazaki
Kenji Watanabe
Hirosi Sobukawa
Nobuharu Noji
Tohru Satake
Shoji Yoshikawa
Tsutomu Karimata
Mamoru Nakasuji
Masahiro Hatakeyama
Takeshi Murakami
Ichirota Nagahama
Takamitsu Nagai
Kazuyoshi Sugihara
Original Assignee
Ebara Corporation
Kabushiki Kaisha Toshiba
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ebara Corporation, Kabushiki Kaisha Toshiba filed Critical Ebara Corporation
Priority to JP2002556904A priority Critical patent/JPWO2002056332A1/ja
Priority to EP01978999A priority patent/EP1271604A4/en
Publication of WO2002056332A1 publication Critical patent/WO2002056332A1/ja
Publication of WO2002056332A8 publication Critical patent/WO2002056332A8/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/22Optical or photographic arrangements associated with the tube
    • H01J37/224Luminescent screens or photographic plates for imaging ; Apparatus specially adapted therefor, e.g. cameras, TV-cameras, photographic equipment, exposure control; Optical subsystems specially adapted therefor, e.g. microscopes for observing image on luminescent screen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/006Details of gas supplies, e.g. in an ion source, to a beam line, to a specimen or to a workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/202Movement
    • H01J2237/20221Translation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/2445Photon detectors for X-rays, light, e.g. photomultipliers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection

Definitions

  • the present invention relates to an inspection apparatus for inspecting a defect or the like of a pattern formed on a surface of an inspection object such as a wafer by using an electron beam, and more particularly to an inspection apparatus for detecting a defect of a wafer in a semiconductor manufacturing process.
  • image data is formed from the amount of secondary electrons that changes according to the surface properties by irradiating the inspection object with an electron beam, and the pattern etc. formed on the surface of the inspection object based on the image data TECHNICAL FIELD
  • the present invention relates to an inspection apparatus and an inspection method for inspecting semiconductor devices at high throughput, and a device manufacturing method for manufacturing a device with a high yield using such an inspection apparatus.
  • defect inspection equipment is also required to have a function of detecting a contact failure (electrical defect) of a via connecting an interlayer wiring.
  • optical type defect inspection devices have been used as this type of defect inspection device. ing.
  • the resolution of optical type defect inspection equipment is limited to 1Z2, which is the wavelength of the light used, and is about 0.2111 in the case of practical visible light.
  • the optical type defect inspection apparatus has a problem that the resolution does not keep up with the requirements.
  • the optical defect inspection device could not inspect the electrical conduction failure (open, short-circuit, etc.) that occurred in the semiconductor device, that is, the contact failure.
  • a scanning electron beam type (SEM type) is usually put into practical use, and its resolution is as high as 0.1 xm. Disconnection, conduction failure, via conduction failure, etc.) can also be inspected.
  • defect inspection equipment using SEM has limitations on the beam current and the response speed of the detector, which requires a lot of time for defect inspection. For example, the inspection time requires 8 hours Z sheets (20 cm wafer), the inspection time is very long, and the throughput (inspection amount per unit time) is higher than other inspection methods such as optical defect inspection equipment. There is a problem that it is lower than the process device. Another problem is that electron beam type defect inspection equipment is very expensive. Therefore, it is difficult to use them after each semiconductor manufacturing process. At present, after important processes, for example, etching, film formation (including copper plating), or CMP (chemical mechanical polishing) planarization processing Used later.
  • Such a defect inspection apparatus using the scanning electron beam method will be further described.
  • the electron beam is narrowed down (this beam diameter corresponds to the resolution) and scanned.
  • a sample such as a wafer is irradiated in a line shape.
  • the stage on which the wafer is mounted is moved in a direction perpendicular to the scanning direction of the electron beam, so that the observation area on the wafer is irradiated with the electron beam in a plane.
  • the scanning width of the electron beam is generally several hundred meters.
  • the electron beam (referred to as the primary electron beam), which has been narrowed down, generates secondary electrons from a sample such as an aerial wafer, and the secondary electrons are detected by a detector (Shinchire Ichiya + Photomultiplier (photomultiplier tube)). Or a semiconductor type detector (PIN diode type, etc.). Electron beam The coordinates of the irradiation position and the amount of secondary electrons (signal intensity) are combined to form an image, which is stored in a storage device or output to a CRT (CRT).
  • CTR CRT
  • the inspection speed (equivalent to throughput) is determined by the amount (current value) of the primary electron beam, the beam diameter, and the response speed of the detector.
  • a beam diameter of 0.1 (which can be considered the same as the resolution), a current value of 100 nA, and a detector response speed of 100 MHz are the current maximum values. In this case, as described above, the 20 cm diameter is used. It takes about 8 hours of inspection time for each wafer.
  • a serious problem with the scanning electron beam type defect inspection apparatus is that the inspection speed is extremely slow (1Z20 or less) as compared with other processing apparatuses such as the optical type defect inspection apparatus.
  • the present invention has been made in view of such a problem, and an object of the present invention is to improve an inspection speed for detecting a defect of a sample such as an wafer.
  • the present invention relates to a defect inspection apparatus using a method called an image projection method using an electron beam as a method for improving an inspection speed, which is a disadvantage of the scanning electron beam method (SEM method).
  • SEM method scanning electron beam method
  • the mapping projection method will be described below.
  • the observation area of the sample is illuminated with a primary electron beam at a time (irradiating a fixed area without scanning), and the secondary electrons generated from the illuminated area are collectively irradiated by a lens system.
  • a detector microchannel plate + fluorescent plate.
  • the formed image is converted into an electric signal by a two-dimensional CCD (solid-state imaging device) or TDI-CCD (line image sensor) and output as image information on a CRT or stored in a storage device.
  • CCD solid-state imaging device
  • TDI-CCD line image sensor
  • the resolution depends on the magnification and accuracy of the imaging optical system (secondary optical system).
  • a resolution of 0.05 m was obtained.
  • the resolution was set to 0.1 lm, while the inspection area on the wafer was set to 200 mx 50 m and the amount of primary electron beam (current value) was set to 1.6 A for the electron beam irradiation conditions.
  • Inspection time was about 1 hour per 20 cm cm wafer. That is, the inspection speed is eight times higher in the projection method than in the SEM method.
  • the specifications of the TDI-CCD used in this experimental example were 2048 pixels (pixels) x 512 stages and the line rate was 3.3 ns (line frequency 300 kHz).
  • the irradiation area in this example conforms to the specifications of TDI-CCD, but the irradiation area may be changed depending on the irradiation target.
  • This electron beam inspection apparatus shapes an electron beam emitted from an electron gun into a desired shape (for example, a rectangle or an ellipse), and examines the formed electron beam for a sample to be inspected (for example, a wafer or a mask).
  • a primary electron optical system that collectively irradiates the surface
  • a secondary electron optical system that irradiates the detector with secondary electrons emitted from the wafer
  • an optical system that receives the secondary electrons.
  • a detector that converts the image into a target image and forms an image of the wafer; and a control device for controlling the detector.
  • the primary electron optical system includes an electron gun that emits an electron beam and a primary electrostatic lens that shapes the electron beam into a beam having a predetermined cross-sectional shape.
  • the primary electron optical system has a certain angle with respect to a direction perpendicular to the surface of the electron gun, and is arranged in order with the electron gun at the top.
  • an EXB deflector Wien filter or EXB separator
  • the secondary electron optical system is arranged in the direction perpendicular to the wafer surface along the optical axis of the secondary electrons from the wafer separated by the EXB separator, and deflects and focuses the secondary electrons.
  • a secondary electrostatic lens is provided.
  • thermo-electron source type that emits electrons by heating an electron emitting material (force sword) is used.
  • the electron emission material (Emitsu Yu) as a power sword is Kisaboraido (L aB 6) is used to the lantern.
  • Other materials with a high melting point (low vapor pressure at high temperatures) and low work function can be used.
  • the power sword of lanthanum hexaporide (LaB 6 ) has a conical tip, but a truncated cone with a truncated cone may be used. The diameter of the tip of the truncated cone is about 100.
  • a field emission type electron beam source or a thermal field emission type electron beam source is used.
  • a relatively large area for example, 100 ⁇ 25 to 400 ⁇ 100
  • a thermionic source using La B 6 is most suitable.
  • a thermal field emission electron beam source is generally used.
  • a field emission type electron beam source or a thermal field emission type electron beam source may be used instead of the hot electron beam source.
  • the thermal field emission electron beam source is a method in which electrons are emitted by applying a high electric field to the electron emission material, and the electron emission is stabilized by heating the electron beam emission part.
  • the primary electron optical system forms a primary electron beam emitted from an electron gun, and forms the primary electron beam into a desired shape, for example, a rectangle or a circle (ellipse). (Ellipse) constitutes the part that irradiates the primary electron beam on the wafer surface.
  • the beam size of the primary electron beam and the current density of the primary electron beam can be controlled by controlling the conditions of the lens provided in the primary electron optical system.
  • the direction of the primary electron beam can be changed by the EXB filter (Wien filter) provided at the connection between the primary electron optical system and the secondary electron optical system, and the primary electron beam can be made to be incident perpendicularly to the A-eight.
  • the electron gun is further equipped with a Wehnelt, triple anode lens, and gun aperture. Heat electrons emitted from the constructed force Sword in L aB 6 are ⁇ Eneruto is caused to imaging as Kurosuo one par image on stop cancer Toriburuano one Dorenzu.
  • the primary electron optical system further includes an illumination field stop for optimizing the angle of incidence of the primary electron beam on the lens, and an NA stop.
  • an illumination field stop for optimizing the angle of incidence of the primary electron beam on the lens
  • an NA stop By controlling the primary system electrostatic lens, the primary electron beam whose angle of incidence on the lens is adjusted by the illumination field stop is imaged on the NA stop in a rotationally asymmetric form, and then the surface is irradiated onto the wafer surface .
  • Primary system The rear stage of the electrostatic lens consists of a three-stage quadrupole (QL) and a single-stage aperture aberration correction electrode. Although quadrupole lenses have the restriction that alignment accuracy is severe, they have the characteristic that they have a stronger convergence effect than rotationally symmetric lenses.
  • the correction can be performed by applying. Thereby, a predetermined area can be irradiated with a uniform surface beam.
  • the secondary electron optical system consists of an electrostatic lens (CL), an intermediate lens (TL), a field stop (FA) position corresponding to the objective lens, and a subsequent lens provided on the detector side with respect to the field stop position. (PL).
  • CL electrostatic lens
  • TL intermediate lens
  • FA field stop
  • PL subsequent lens provided on the detector side with respect to the field stop position.
  • a two-dimensional secondary electron image generated by the electron beam irradiated on the wafer is imaged at the field stop position by the electrostatic lens (CL :) and the intermediate lens (TL) corresponding to the objective lens. And is enlarged and projected by the subsequent lens (PL).
  • This imaging projection optical system is called a secondary electron optical system.
  • a negative bias voltage (deceleration electric field voltage) is applied to the wafer.
  • the deceleration electric field has the effect of decelerating the beam, reducing the damage to the sample, and accelerating the secondary electrons generated from the sample surface due to the potential difference between the electrostatic lens (CL) and the wafer, resulting in chromatic aberration.
  • has the effect of reducing Electrons converged by the electrostatic lens (CL) are focused on the field stop (FA) by the intermediate lens (TL), and the image is enlarged and projected by the subsequent lens (PL). Image.
  • NA Nemerica 1 Aerture
  • the EXB deflector is an electromagnetic prism optical system unit in which electrodes and magnetic poles are arranged in orthogonal directions, and an electric field and a magnetic field are made orthogonal.
  • an electromagnetic field is selectively applied, an electron beam entering the field from one direction is deflected, and an electron beam entering from the opposite direction is deflected.
  • the system can create a condition (Wien condition) where the effects of the force from the electric and magnetic fields cancel each other out, which deflects the primary electron beam and irradiates it vertically on the wafer, The beam can go straight to the detector.
  • the secondary electron image from the wafer formed by the secondary optics is first amplified by a micro-channel plate (MCP), then hits a phosphor screen and converted into a light image.
  • MCP micro-channel plate
  • millions of very thin conductive glass cavities with a diameter of 6 to 25 m and a length of 0.24 to 1.0 mm are bundled and shaped into a thin plate.
  • each of the cavities acts as an independent secondary electronic amplifier, forming a secondary electronic amplifier as a whole.
  • the image converted to light by this detector is projected one-to-one on TDI-CCD by a relay optical system placed in the atmosphere or serving as a vacuum feedthrough through a vacuum transmission window.
  • FIG. 1 is an overall configuration diagram of a projection type electron beam inspection apparatus according to one embodiment of the present invention.
  • FIG. 2 is a cross-sectional view showing a detailed configuration of an EXB deflector (that is, an electron beam deflector) in the electron beam inspection apparatus.
  • EXB deflector that is, an electron beam deflector
  • FIG. 3 is a cross-sectional view showing a vertical cross-sectional structure along the line AA in FIG.
  • Fig. 4 shows the overall configuration of an image projection type electron beam inspection system that irradiates the observation area on the sample surface while scanning a plurality of primary electron beams two-dimensionally.
  • FIG. 5 is a diagram for explaining a method of irradiating a primary electron beam in the apparatus shown in FIG.
  • FIG. 6 is a configuration diagram schematically showing an electron beam inspection apparatus according to another embodiment of the present invention.
  • FIG. 7 is a block diagram showing a control device of the electron beam inspection apparatus shown in FIG. 6 in more detail.
  • FIG. 8 is a diagram showing a procedure for inspecting a wafer.
  • FIG. 9 is a diagram showing an array of pixels of the line sensor.
  • FIG. 10 is a diagram showing a configuration of a projection type electron beam inspection apparatus according to a related technique.
  • FIG. 11 is a configuration diagram showing a projection type electron beam inspection apparatus according to still another embodiment of the present invention.
  • FIG. 12 is a view schematically showing a projection type electron beam inspection apparatus according to another embodiment of the present invention.
  • FIGS. 13A and 13B are diagrams illustrating the operation principle of the magnetic lens shown in FIG.
  • FIG. 14 is a diagram showing an example of the arrangement of the magnetic lenses shown in FIG.
  • FIG. 15 is a diagram showing another arrangement example of the magnetic lens shown in FIG. 1.2.
  • FIG. 16 is a diagram showing a schematic configuration of an electron beam inspection apparatus according to another embodiment of the present invention.
  • FIG. 17 is a layout view of a multi-barrel of the electron beam inspection apparatus of FIG.
  • Figure 18 is a graph showing the distribution of axial potential when a voltage was applied to each electrode and sample.
  • FIG. 19 is a diagram showing an embodiment of a differential pumping structure provided in a charged beam inspection device as an electron beam inspection device of the present invention.
  • FIG. 20 is a view showing a modified example of the differential pumping structure, and is a view showing a state in which the outlet of the high-purity inert gas is formed so as to face the outer peripheral side.
  • FIG. 21 is a view showing another modified example of the differential pumping structure, in which a vacuum chamber is provided in the differential pumping structure.
  • FIG. 22 (a) is a view showing another modified example of the differential pumping structure, in which a height adjusting member is provided on the stage, and the lens barrel is located near one end of the stage. Indicates the status of
  • Fig. 22 (b) shows the same stage as Fig. 22 (a) with a height adjustment member, showing a state where the lens barrel is located near the other end of the stage. .
  • FIG. 23 shows a modification of the charged beam device according to the present invention, in which a stage is provided with a height adjusting mechanism.
  • FIG. 24 is a view showing another modified example of the differential exhaust structure, which is moved by a stage.
  • the c Figure 2 5 shows a state 3 ⁇ 4 of the movable range inert gas is covered with container filled of the sample being a view showing another modified example of the differential pumping, the range where the stage is moved
  • FIG. 3 is a diagram showing a state in which the entirety is covered with a container filled with an inert gas.
  • FIG. 26 is a diagram showing another modified example of the differential pumping structure, showing a state where a vacuum chamber is connected to a container filled with an inert gas.
  • FIG. 27 is a view showing another modified example of the differential pumping structure, schematically showing one embodiment of the vacuum pumping path.
  • FIG. 28 is a diagram showing another modified example of the differential exhaust structure, and is a diagram schematically showing another modified example of the vacuum exhaust path.
  • FIG. 29 is a diagram illustrating another modified example of the differential exhaust structure, and is a diagram schematically illustrating a circulation path of an inert gas.
  • FIG. 30 is a diagram in which a charged beam device according to another embodiment of the present invention is applied to a wafer defect inspection device.
  • FIG. 31 is a flowchart showing one embodiment of a method for manufacturing a semiconductor device according to the present invention.
  • FIG. 32 is a flowchart showing a lithography step which is the core of the wafer processing step of FIG.
  • FIG. 33 is a flowchart showing the inspection procedure of the present invention. BEST MODE FOR CARRYING OUT THE INVENTION
  • FIG. 1 shows an overall configuration diagram of a projection type electron beam inspection apparatus according to the present embodiment. However, the illustration is partially omitted.
  • the electron beam inspection apparatus has a primary column 1, a secondary column 2, and a chamber 13.
  • An electron gun 4 is provided inside the primary column 1, and a primary optical system 5 is arranged on the optical axis of an electron beam (primary beam) emitted from the electron gun 4.
  • a stage 6 is set inside the champer 3, and a sample W is placed on the stage 6.
  • a force sword lens 8 is located inside the secondary column 2, inside the secondary column 2, a force sword lens 8, a secondary aperture 9, a Wien filter 1.0, and a second lens 11 are located on the optical axis of the secondary beam generated from the sample W.
  • a field aperture 12, a third lens 13, a fourth lens 14, and a detector 15 are arranged.
  • the numerical aperture 9 is equivalent to an aperture stop, and is a thin metal plate (such as Mo) having a circular hole.
  • the aperture is disposed so as to be at the focus position of the primary beam and the focal position of the force sword lens 8. Therefore, the force sword lens 8 and the numerical aperture 29 constitute a telecentric electron optical system.
  • the output of the detector 15 is input to the control unit 16, and the output of the control unit 16 is input to CPU 17.
  • the control signal of CPU 17 is input to primary column control unit 18, secondary column control unit 19 and stage drive mechanism 7.
  • the primary column control unit 18 controls the lens voltage of the primary optical system 5
  • the secondary column control unit 19 controls the lens voltage of the force sword lens 8, the second lens 11 to the fourth lens 14, and The electromagnetic field applied to the Wien filter 10 is controlled.
  • stage drive mechanism 7 transmits the position information of the stage to the CPU 17. Furthermore, the primary column 18, secondary column 19, and chamber 13 are connected to a vacuum pumping system (not shown). are doing.
  • the primary beam from the electron gun 4 is incident on the Wienfill 10 while undergoing a lens action by the primary optical system 5.
  • L a B 6 capable of extracting a large current with a rectangular cathode is used as the tip of the electron gun.
  • the primary optical system 5 uses a non-rotationally symmetric quadrupole or octupole electrostatic (or electromagnetic) lens. This can cause convergence and divergence in the X and Y axes, respectively. By arranging this lens in two or three stages and optimizing each lens condition, the beam irradiation area on the sample surface can be adjusted to any rectangular or elliptical shape without losing irradiation electrons. Can be shaped.
  • the quadrupole lens may not be a cylindrical one but may be a lens obtained by dividing a generally used circular plate into four by an electrostatic deflector. In this case, the size of the lens can be reduced.
  • the trajectory of the primary beam that has passed through the primary optical system 5 is bent by the deflection action of the Wien filter 10.
  • a magnetic field force FB and an electric field force FE are generated, and the beam trajectory is bent.
  • the force FB and the force FE act in opposite directions, and cancel each other, so that the secondary beam proceeds straight.
  • the lens voltage of the primary optical system 5 is set in advance so that the light source image is formed at the opening of the numerical aperture 9.
  • Koehler illumination which is called an optical microscope, is realized.
  • the second aperture 9 prevents unnecessary electron beams scattered in the apparatus from reaching the sample surface, thereby preventing the sample W from being contaminated.
  • the secondary beam passes through the lens while undergoing the lens action of the force source lens 8.
  • the cathode lens 8 is composed of three electrodes.
  • the bottom electrode is designed to create a positive electric field with respect to the sample W, attract secondary electrons, and efficiently guide it into the lens.
  • the lens action is performed by applying a voltage to the first and second electrodes of the cathode lens 8 and setting the third electrode to zero potential.
  • the numerical aperture 9 is located at the focal position of the force sword lens 8, that is, at the pack focus position from the sample W. Therefore, the luminous flux of the electron beam coming out of the center of the visual field (off-axis) also becomes a parallel beam, and passes through the center position of the numerical aperture 9 without being shaken.
  • the numerical aperture 9 plays a role of suppressing the lens aberration of the second lens 11 to the fourth lens 14 with respect to the secondary beam.
  • New Meni The secondary beam that has passed through the cull aperture 9 travels straight through as it is without receiving the deflection effect of the Wien filter 10.
  • the secondary beam is imaged only by the power sword lens 8, chromatic aberration of magnification and distortion are likely to occur. 'Therefore, one image formation is performed together with the second lens 11.
  • the secondary beam obtains an intermediate image on the field aperture 12 through the force lens 8 and the second lens 11.
  • the magnification required for the secondary optical system is often insufficient, so that a third lens 13 and a fourth lens 14 are added as lenses for enlarging the intermediate image.
  • the secondary beam is enlarged and formed by each of the third lens 13 and the fourth lens 14, and is formed here three times in total.
  • the third lens 13 and the fourth lens 14 may be combined to form an image once (a total of two times).
  • the second lens 11 to the fourth lens 14 are all rotationally symmetric lenses called Unipotential lenses or Einzel lenses.
  • Each lens is composed of three electrodes. Normally, the outer two electrodes are set to zero potential, and the voltage is applied to the center electrode to perform the lens action and control.
  • a field aperture 12 is disposed at the intermediate imaging point. The field aperture 12 limits the field of view to the required range, similar to the field stop of an optical microscope, but in the case of an electron beam, an extra beam is used together with the subsequent third lens 13 and fourth lens 14. Shut off to prevent noise and contamination of detector 15.
  • the magnification is set by changing the lens conditions (focal length) of the third lens 13 and the fourth lens 14.
  • the secondary beam is enlarged and projected by the secondary optical system, and forms an image on the detection surface of the detector 15.
  • the detector 15 consists of a microchannel plate (MCP) that amplifies electrons, a fluorescent plate that converts electrons into light, a lens and other optical systems that relay the vacuum system to the outside and transmit optical images, It consists of an image sensor (CCD, etc.).
  • MCP microchannel plate
  • CCD image sensor
  • the secondary beam forms an image on the MCP detection surface, is amplified, the electrons are converted into optical signals by the fluorescent screen, and the photoelectric signals are converted by the imaging device.
  • the control unit 16 reads the image signal of the sample from the detector 15 and transmits it to the CPU 17.
  • the CPU 17 performs pattern defect inspection on the image signal by template matching or the like.
  • Stage 6 is a stage drive mechanism 7 allows movement in the XY direction.
  • the CPU 17 reads the position of the stage 6, outputs a drive control signal to the stage drive mechanism 7, drives the stage 6, and sequentially performs image detection and inspection.
  • the magnification is changed after passing through the secondary aperture 9, even if the set magnification of the lens conditions of the third lens 13 and the fourth lens 14 is changed, the change on the detection side will not occur.
  • a uniform image is obtained over the entire field of view. In the present embodiment, a uniform image without unevenness can be obtained.
  • the magnification is increased, the brightness of the image is reduced. Therefore, in order to improve this, when changing the magnification conditions by changing the lens conditions of the secondary optical system, the effective field of view on the sample surface determined accordingly and the electron beam irradiated on the sample surface
  • the primary optics lens conditions are set so that they have the same size.
  • the Wien filter 10 that bends the trajectory of the primary beam and advances the secondary beam straightly is used, but is not limited thereto.
  • an inspection device having a configuration using a Wien filter that bends the trajectory of the secondary beam may be used.
  • a rectangular beam is formed from the rectangular cathode and the quadrupole lens.
  • the present invention is not limited to this.
  • the rectangular beam may be extracted by passing through.
  • the detailed structure of the electron beam deflecting unit 10 as a Wien filter, that is, an EXB deflector, will be described with reference to FIG. 2 and FIG. 3 showing a longitudinal section along line AA of FIG.
  • the field of the electron beam deflecting unit is composed of a projection optical unit (a one-dimensional image or two-dimensional image of secondary electrons and reflected electrons generated according to the sample surface when the sample is irradiated with an electron beam.
  • a projection optical unit a one-dimensional image or two-dimensional image of secondary electrons and reflected electrons generated according to the sample surface when the sample is irradiated with an electron beam.
  • an electric field and a magnetic field are made orthogonal to each other, that is, an EXB structure.
  • the electric field is generated by the electrodes 10-1 and 10-2 having concave curved surfaces.
  • the electric fields generated by the electrodes 10-1 and 10-2 are controlled by the control units 10a and 10d, respectively.
  • a magnetic field is generated by disposing the electromagnetic coils 10-1a and 10-2a so as to be orthogonal to the electrodes 10-1 and 10-2 for generating the electric field.
  • the electrodes 10-1 and 10-2 for generating an electric field are point objects. (Concentric circles are acceptable.)
  • a magnetic path is formed by providing a pole piece having a parallel plate shape.
  • the behavior of the electron beam in the vertical section along the line A-A is as shown in Fig.3.
  • the irradiated electron beam 1a is deflected by the electric field generated by the electrodes 10-1 and 10-2 and the magnetic field generated by the electromagnetic coils 10-1a and 10-2a. It is incident perpendicular to the surface.
  • the incident position and angle of the irradiation electron beam 1a to the electron beam deflecting unit 10 are uniquely determined when the electron energy is determined.
  • the control units 10a and 10d, 10c and 10b control the magnetic fields generated by a and 10-2a, respectively. It goes straight through 0 and enters the projection optical unit.
  • V is the velocity of the electron 2a (mZ s)
  • B is the magnetic field (T)
  • is the electric field (V / m) -3.
  • Defect inspection systems that use the projection projection method have the following limitations: (1) The electron beam is irradiated all at once, which makes it easy to charge up on the sample surface; and (2) There is a limit to the electron beam current obtained by this method. 6 A) Problems that hinder the improvement of inspection speed There was a point.
  • a plurality of primary electron beams are used, and the plurality of primary electron beams are irradiated two-dimensionally (in the X-Y direction) while irradiating an observation region on the sample surface while scanning one raster.
  • the problem can be solved by adopting a projection method for the secondary electron optical system.
  • This embodiment has the advantages of the above-mentioned mapping projection method, and also has the problems of this mapping method: (1) it is easy to change up on the sample surface to collectively irradiate the electron beam; There is a limit to the electron beam current (1 to about ⁇ ), which hinders the improvement of the inspection speed. This can be solved by scanning multiple primary electron beams.
  • the current value can be easily increased by increasing the number of electron beams.
  • a total of 2 A was obtained when the current of one electron beam was 500 nA (electron beam diameter 10 ⁇ m). It is possible to easily increase the number of primary electron beams to about 16 lines. In this case, it is possible in principle to obtain 8 A.
  • the irradiation amount by the plurality of primary electron beams is uniformly applied to an irradiation area. Other shapes of scanning can be performed. Therefore, the scanning direction of the stage does not need to be perpendicular to the scanning directions of the electron beams.
  • a thermionic beam source (a method of emitting electrons by heating an electron emitting material) can be used.
  • electronic release out (Emitta) material is preferably a L a B 6.
  • Other materials with a high melting point (low vapor pressure at high temperatures) and a low work function can be used.
  • Two methods can be used to obtain multiple electron beams.
  • One is a method in which one primary electron beam is obtained by extracting one electron beam from a single emitter (one shadow) and passing it through a thin plate (opening plate) with multiple holes.
  • Another method is to form multiple projections on a single emitter and extract multiple primary electron beams directly from the projections.
  • a thermal field emission electron beam source is an electron emission material This method stabilizes electron emission by emitting electrons and further heating the electron beam emitting part.
  • the primary electron beam irradiates the observation area on the sample surface while scanning it two-dimensionally (in the X-Y direction) (that is, during raster scanning), and adopts the projection method in the secondary electron optical system.
  • the above embodiment will be described in more detail with reference to FIGS.
  • a method of obtaining a plurality of primary electron beams a method of forming a plurality of projections on a single emitter and directly extracting a plurality of primary electron beams therefrom is employed. As shown in FIG.
  • the four electron beams 2 1 (2 1-1, 2 1-2, 2 1-3, 2 1-4) emitted from the electron gun 20 have apertures 50-1
  • the image is formed into an ellipse of 1 O ⁇ mX l 2 ⁇ on the deflection center plane of the Wien filter 23 by the two-stage lenses 22-1 and 22-2 and the deflector 2
  • the image is raster-scanned by 6 and imaged so as to cover a rectangular area of lmmx 0.25 mm uniformly as a whole of the four electron beams.
  • Multiple electron beams deflected by EXB 23 as a Wien filter are crossed by a numerical aperture NA, reduced to 1Z5 by a lens 24, and cover 200 ⁇ X 50 m to the sample W.
  • the four secondary electron beams 25 containing information on the pattern image (sample image F) emitted from the sample are expanded by lenses 24, 27-1, 27-2, and MCP 28-
  • An image is formed as a rectangular image (enlarged projected image F ′) composed of four electron beams 25 as a whole on 1.
  • the magnified projected image F ′ by the secondary electron beam 25 is sensitized 10,000 times by the MCP 28-1, converted into light by the fluorescent portion 28-2, and converted to light by TD I (Time Delay I).
  • TD I Time Delay I
  • the electron beam irradiator must irradiate the sample surface as uniformly as possible, reduce irradiation unevenness, and irradiate it in a rectangular shape with an electron beam.
  • Conventional electron beam non-uniformity is about 10%, and the image contrast non-uniformity is large, and electron beam current is high because the electron beam irradiation current is as small as about 500 nA. No throughput There was a problem.
  • SEM scanning electron microscope
  • FIG. 5 shows a method of irradiating a primary electron beam in this embodiment.
  • the primary electron beam 21 is composed of four electron beams 21-1, 21-2, 21-3, 21-4.
  • Each beam has an elliptical shape of 2 ⁇ mX2.4m, and each raster scans a rectangular area of 200 ⁇ 12.5m each and adds them so that they do not overlap. Irradiate a rectangular area of 200 m x 50 m as a whole.
  • the 21-1 beam arrives at 21-1 'in a finite time, and then returns to the area directly below 21-1 (21-2 direction) shifted by the beam spot diameter (10 xm) with almost no time loss.
  • the moving direction of the stage does not need to be the direction A shown in the figure. That is, the moving direction of the stage does not need to be perpendicular to the scanning direction (the horizontal high-speed scanning direction in the figure).
  • electron beam irradiation unevenness could be irradiated at about 3% of soil.
  • the irradiation current was 250 nA per electron beam, and a total of 1.0 A was obtained with four electron beams on the sample surface (twice the conventional value). By increasing the number of electron beams, the current can be increased and a high throughput can be obtained.
  • the irradiation point is smaller than the conventional one (about 1 to 80 in area) and moving, so the charge-up was reduced to less than 1/20 of the conventional one.
  • this device has a limited field stop, a deflector (aligner) with 4 or more poles for adjusting the axis of the electron beam, astigmatism It is equipped with a compensator (stigmeter), as well as multiple quadrupole lenses (quadrupole lenses) for shaping the beam shape.
  • a deflector with 4 or more poles for adjusting the axis of the electron beam, astigmatism It is equipped with a compensator (stigmeter), as well as multiple quadrupole lenses (quadrupole lenses) for shaping the beam shape.
  • the mapping projection type electron beam inspection apparatus forms an electron beam emitted from an electron gun into a rectangular electron beam, irradiates the surface of the wafer with the electron beam, and outputs the secondary beam emitted from the wafer surface.
  • An electron image is formed on a detector.
  • Such a projection type electron beam inspection system for defect inspection uses a rectangular or planar beam larger than the beam spot diameter of a scanning electron microscope, and forms an image of the irradiation area at once. Get the image. Therefore, the demand for higher throughput can be satisfied as compared with the scanning type.
  • the stay is continuously moved to scan the entire surface of the wafer, so that secondary electrons emitted from the wafer are converted into an optical image by a fluorescent screen, and the converted image is converted to a line sensor (TDI- Image with CCD).
  • n CCD pixels arranged in a line of C1 to Cn in one of two orthogonal axes (horizontal direction in the figure)
  • the m rows R OW-1 to R OW- m are arranged in the other axis direction (vertical direction in the figure) to form a CCD array.
  • the charge stored in each CCD pixel column is transferred vertically by one CCD-pixel at a time by one external vertical clock signal (that is, the charge moves in the direction of arrow E).
  • a line image of n pixels captured at a certain point in time at ROW-1 is transferred to ROW-2 when a clock signal is given.
  • the line image transferred to ROW-2 is further moved by one pixel in the vertical direction and transferred to ROW-3.
  • the transfer of charges is repeatedly performed up to ROW-m following the movement of the image, and finally taken out of the line sensor as image data from the horizontal output register.
  • the line rate the charge transfer time of the line sensor
  • the focus mechanism associated with the whole wafer inspection enables electron optics A change in the magnification of the system causes a change in the pixel size on the wafer, which in turn causes a change in the optimal line rate, thereby producing a similar image blur.
  • One object of the present embodiment is to provide an electron beam inspection apparatus for defect inspection that can always synchronize the line rate of the line sensor with the moving speed of the stage and can avoid image blur caused by the asynchronous movement of charge. It is.
  • Another object of the present embodiment is to provide an electron beam inspection apparatus for defect inspection that can avoid image blur due to a change in magnification of an electron optical system.
  • the electron beam emitted from the electron gun is shaped into a desired shape, and the shaped electron beam is inspected.
  • a primary electron optical system for irradiating a sample surface to be irradiated a secondary electron optical system for imaging secondary electrons emitted from the sample, and an optical image of the formed secondary electron image via a fluorescent plate
  • a projection type electron beam inspection apparatus for defect inspection comprising: a line sensor for detecting a line image, a line image captured by a pixel array provided in the line sensor is transferred.
  • a control device is provided to control the charge transfer time when moving the sample in conjunction with the moving speed of the stage that moves the sample.
  • the charge transfer time of the line sensor is controlled in conjunction with a change in magnification of the electron optical system.
  • a micro-channel plate is arranged in front of the fluorescent screen to double the number of secondary electrons of the secondary electron optical system.
  • a laser interferometer for measuring the position of the stage.
  • the position information of the stage can be detected from the laser interferometer, the optimum line rate can be calculated from the moving speed of the stage, and feedback can be performed.
  • the line rate of the line sensor can always be synchronized with the moving speed of the stage. , Electric It is possible to avoid image blur caused by unsynchronization of load movement.
  • FIG. 6 schematically shows an electron beam inspection apparatus 1001 for defect inspection of the present embodiment.
  • the electron beam emitted from the electron gun is shaped into a desired shape (for example, a rectangular or elliptical shape), and the shaped electron beam is inspected.
  • Sample to be measured for example, wafer or mask, etc., hereinafter referred to as wafer in this embodiment
  • Primary electron optical system 1002 irradiating on the surface of S, and secondary electrons emitted from wafer S are expanded to detector
  • the detector 1004 to receive the secondary electrons and convert them into a light image, and further convert them into electric signals, and to control the detector 1004 Control device 1005 (FIG. 7).
  • the primary electron optical system 1002 includes an electron gun 1002 that emits an electron beam 1021, and a primary electrostatic lens 1 that shapes the electron beam 1021 into a beam having a predetermined sectional shape. As shown in FIG. 6, they have a certain angle with respect to the direction perpendicular to the surface of the wafer S, and are arranged in order with the electron gun 102 at the top. ing.
  • the primary electron optical system 1002 further includes an EXB separator ⁇ 0 24 for deflecting the electron beam and separating the secondary electrons from the wafer S by a field where the electric field and the magnetic field are orthogonal, and an electrostatic objective lens. These are arranged along a direction perpendicular to the surface of the wafer S.
  • the secondary electron optical system 1003 is perpendicular to the surface of the wafer S along the optical axis A of the secondary electrons 103 from the wafer S separated by the EXB separator 104. And a secondary system electrostatic lens 103 for enlarging and projecting secondary electrons.
  • the detecting device 104 is composed of an MCP (microphone channel plate) 1041, a fluorescent plate 1042 for converting secondary electrons from the secondary electron optical system into a light image, and an image of the light.
  • control device 1005 changes the position signals from the laser interferometer 1051 to measure the position of the stage and the laser interferometer 1051.
  • A_ / D converter 1 0 5 '2 line rate control unit 10 5 3 that calculates and outputs the optimal line rate based on the position signal from laser interferometer 1 0 5 1, and line rate control
  • a DZA converter 1504 that converts the output signal from the unit 1053, and a line sensor that controls the line sensor 1043 based on the signal from the line rate control unit 1053.
  • One control unit 105 is provided.
  • Each of the above components may be a known component, and a detailed description of their structures will be omitted.
  • the electrons emitted from the electron gun 102 are accelerated and formed as an electron beam 102 1 by a primary electrostatic lens 102 3 in a sectional shape.
  • a primary electrostatic lens 102 3 are formed into a rectangular shape or an elliptical shape.
  • the shaped electron beam forms a rectangular or elliptical image slightly above the principal deflection surface of the EXB separator 104.
  • the beam image that is incident on the EXB separator 102 and forms an image is deflected in a direction perpendicular to the surface of the wafer S, is reduced and decelerated by the electrostatic objective lens 125, and irradiates the wafer S.
  • Secondary electrons 1031 which are emitted from the wafer S by the irradiation of the electron beam, are converged by the electrostatic objective lens 125 and are incident on the EXB separator 104.
  • the secondary electron beam directed by the EXB separator 1 0 2 4 in the direction of the secondary electrostatic lens 1 0 3 2 passes through the secondary electrostatic lens 1 0 3 2, and further MCP 1 0 4 1 It is enlarged and projected above.
  • the secondary electrons 1031 which have entered the MCP 101, are multiplied there and illuminate the phosphor plate 1042.
  • the secondary electrons 103 irradiated on the fluorescent plate 104 are converted there into a light image. This image is detected by the line sensor 104 and converted into an electric signal.
  • the wafer image data converted into an electric signal is stored in a memory 1104 of a personal computer as wafer image information via an optical fiber cable. This wafer image information is displayed on a CRT monitor and defects are detected.
  • the operation of the control device 1005 will be described with reference to FIGS.
  • the wafer S to be inspected is placed on the XY stage 1006.
  • the XY stage 106 is moved in the Y direction at a constant speed, and is calculated from the XY stage drive speed and the pixel size on the wafer.
  • the line rate set as a constant in the line sensor control unit 105
  • the image of the line sensor 104 is displayed on the CRT monitor 104. If synchronization is not achieved, several stripes will be generated due to the asynchrony between the stage speed perpendicular to the stage scanning direction and the line rate synchronized with the speed fluctuation of the XY stage, resulting in image blur. .
  • the control device 1005 performs the following control.
  • the movement position of the X-Y stage 106 is measured by a laser interferometer, and the serial output signal is converted to a digital signal by an A / D converter 105 at a clock frequency of 200 MHz and 16 pits.
  • the current stage position information Xt is output to the line rate controller 105.
  • the position information X t -1 and the delay time one cycle before are also input to the line rate control unit 105.
  • the line rate control unit 1053 calculates the speed component of the stage from the position information and the delay time, and further calculates the optimal line rate from the speed component of the stage and the pixel size on the wafer. Outputs an information signal.
  • This output signal is converted into an analog signal by a DZA converter 105 at 16 pits at a clock frequency of 200 MHz and input to a line sensor control unit 105.
  • the line rate of the line sensor 104 is controlled by a signal from the line sensor control unit 105.
  • the line rate of the line sensor 1043 can be updated by the command of the line sensor control unit 105 so as to avoid blurring of the image. In this case, while the oscillation cycle of the XY stage is sufficiently larger than several microseconds, the time delay of the entire controller 105 including input and output is sufficiently small.
  • the inspection start point S 2 at the upper left of the wafer inspection area S 1 of about 13 O mm X 13 O mm is first moved to the center of the irradiation area of the electronic beam 102 1, and then X — Wafer inspection was performed while moving Y stage 1006 in the + Y direction at 1 O mm / sec. Therefore, the wafer inspection area S1 is inspected in the direction of arrow B.
  • the XY stage 106 is moved in the X direction, and then the XY stage is moved in the X direction by about 500 microns. Therefore, the wafer inspection area S1 is moved in the direction of arrow C.
  • the wafer was inspected while moving the XY stage 106 in the -Y direction.
  • the wafer inspection area S1 is inspected in the direction of arrow D. This In this way, the entire surface of the wafer inspection area S1 was inspected while repeating the scanning.
  • the stage speed changes ⁇ 10%, and the period changes by about 2%. Repeated every 5 ms. In this case, a line rate having a frequency near 300 kilohertz is obtained by repeating vibration synchronized with the speed displacement of the stage by the line rate control unit 1053 to obtain a good image without image blur. We were able to.
  • the line rate of the line sensor can be adjusted to the X-Y stage. It is always synchronized with the moving speed of the line sensor, and the image blur caused by the charge transfer delay of the line sensor can be avoided.
  • the electron beam inspection apparatus according to the embodiment relates to a multipurpose electron beam inspection apparatus.
  • An electron beam inspection apparatus of the projection type generally has one electron beam irradiation unit.
  • the electron beam is irradiated on the sample surface from an oblique direction and the electron beam is extracted from the direction perpendicular to the sample surface, there is a problem that shadows may occur due to unevenness on the sample surface.
  • a Wien filter (EXB filter) is used to deflect the electron beam in an oblique direction so that it is incident perpendicularly to the sample surface, while secondary electrons from the sample are extracted vertically from the sample surface and deflected.
  • the intensity of the electric and magnetic fields at the Viennamenc Orchestra is set so as not to be affected.
  • FIG. 10 is a block diagram showing the configuration of a projection-type electron beam inspection apparatus 200 34 of a related technique.
  • the electron beam inspection device 203 is configured to irradiate the sample 210 with a primary electron beam 210, an electron gun 200, and a primary electron beam 210 to irradiate the sample from the sample surface.
  • Detector 2 1 1 4 that detects the generated secondary electrons 2 1 1 1 and generates an image signal
  • Wien filter 2 1 0 5 equipped with electrodes 2 1 0 6 and magnets 2 1 0 7, Primary electron beam 2 1 First lens system 200 3 and second lens system 2 0 4 forming 0 2, third lens system 2 1 0 8 disposed between Wien filter 2 1 0 5 and sample 2 1 1 0
  • a fourth lens system 211, a sixth lens system 211 and a seventh lens system 211 disposed between the Wien filter 210 and the detection unit 211.
  • the Wien filter 210 deflects the primary electron beam 210 emitted from the electron gun 2001, but the secondary electrons 211 emitted from the sample surface It is set to go straight, and the irradiated primary electron beam 210 is perpendicularly incident on the sample surface.
  • Such an apparatus is disclosed in, for example, Japanese Patent Application Laid-Open No. 11-32975.
  • Such an electron beam inspection apparatus is a single function, and as the wafer size increases to 8 inches, 12 inches, and 15 inches, the floor area of the electron beam inspection apparatus increases, and various inspections and inspections are performed. Due to the necessity of measurement, there is a problem that the ratio of the floor area of the electron beam inspection device in the clean room increases.
  • One object of the present embodiment is to provide an electron beam inspection apparatus having a plurality of functions with one electron beam inspection apparatus, and to be able to inspect a wafer during a process with a small number of electron beam inspection apparatuses. is there. Another object of the present embodiment is to reduce the ratio of the floor area of the electron beam inspection apparatus in the clean room of the semiconductor manufacturing equipment by providing the electron beam inspection apparatus having a plurality of functions.
  • a multipurpose electron beam inspection system that inspects the surface of a sample by irradiating the sample with a secondary electron beam and detecting secondary electrons generated from the surface of the sample.
  • Lens system for shaping the electron beam optical system for scanning the primary electron beam, sample stage for supporting the sample, optical system for directing the secondary electrons to the detector, and generating image signals by detecting the secondary electrons It has a detector and has at least two functions: defect detection on the sample surface, defect review on the sample surface, pattern line width measurement, and pattern potential measurement. The two functions can be sample surface defect detection and sample surface defect review.
  • the defect detection on the sample surface is performed by comparing the image obtained by the image signal with the pattern data or by comparing the images of the dies with each other. Is performed by image observation obtained by scanning the beam on the monitor synchronized with the scanning of the primary electron beam on the wafer surface, and the pattern line width measurement is performed by scanning the primary electron beam on the wafer surface.
  • the pattern potential measurement is performed in the direction of the short side of the pattern using the secondary electron image at that time, and the pattern potential measurement gives a negative potential to the electrode closest to the sample surface and is emitted from the pattern with the higher potential on the sample surface. This can be done by selectively driving the secondary electrons back to the sample side.
  • the multipurpose electron beam inspection apparatus which inspects the state of the sample surface by irradiating the sample with a primary electron beam and detecting secondary electrons generated from the sample surface, uses a rectangular primary electron beam.
  • a lens system that can be shaped into at least two of a circular shape and a spot, a primary electron optical system that has a deflection system for scanning an electron beam in any direction, and a secondary electron emitted from the sample It has a detection system for directing it from the surface to the detector, a function for automatically detecting defects, a function for outputting position information of defects, and a function for observing the shape of the defects.
  • the detection system may include a projection optical system. Further, the detection system may include a secondary electron multiplier.
  • the combination of the multipurpose electron beam inspection apparatuses according to the present embodiment is such that a plurality of the multipurpose electron beam inspection apparatuses are arranged in one or more rows, and that the sample stages are made common and the samples on the common sample stage can be inspected. I do.
  • each of the multipurpose electron beam inspection apparatuses can irradiate a sample with a plurality of primary electron beams. With such a combination Inspection process throughput (inspection volume per unit time) can be increased.
  • FIG. 11 is a schematic diagram showing a multipurpose electron beam inspection apparatus 2030.
  • the electron beam inspection apparatus 2030 includes a lens barrel 2028 for accommodating the electron gun 2001 for generating the primary electron beam 2102 and the like, and a shield case 2029 covering a lower part of the lens barrel 2028.
  • the shield case 2029 accommodates the wafer (sample) 2 110 and is communicated with the lower part of the lens barrel, and is evacuated and evacuated as in the lens barrel.
  • the lens barrel 2028 has therein an electron gun 2001, a condenser lens 2002, 2003, 2004 for irradiating the primary electron beam 2102 to the wafer surface 211, a rectangular aperture 2005, and a circular aperture 20.
  • the primary electron beam 210 emitted from the electron gun 2001 is converted into a condenser lens 2002, 2003, 2004, a rectangular aperture 2005, a circular aperture 2006, a lens 2024, 2025, a deflector. Irradiates the wafer (sample) surface 110 through the 2007, Wien filter 2009, etc.
  • the primary electron beam 2102 emitted from the electron gun 2001 is converged by the condenser lenses 2002, 2003, and 2004, and irradiates the rectangular opening 2005 or the rear circular opening 2006 with uniform intensity.
  • a reduced image of the rectangular opening 2005, a reduced image of the circular opening 2006, or a reduced image of the cross aperture is formed on the wafer surface 210. It can be selectively formed.
  • an image of the wafer surface is created as follows. That is, the electron beam emitted from the wafer surface 21 10 irradiated with the primary electron beam 2 102 The emitted secondary electrons are imaged on the microchannel plate 210 through the lens system 210, 210, 210, 210, and then to the scintillator 2 on the surface. The light is converted into an image of light by 0 18 ′, extracted outside by a bundle of optical fibers 200 and 200, and converted into an electric signal by a two-dimensional CCD 200 to create an image. This created image can be compared with the pattern pattern (automatic pattern matching procedure), or images created at the same location on the next die (ie, next chip arranged on the same page 18).
  • the defect can be automatically detected by comparing the dies, ie, comparing the dies (defect comparison processor), and the defect position can be output (defect post-processor).
  • the electron beam inspection apparatus 230 has the function of the projection type electron beam inspection apparatus.
  • the brightness modulation of the monitor 230 is performed as follows. That is, by applying a specific voltage to the lens 201 adjacent to the wafer surface 211, the secondary electron trajectory 210 14 is placed adjacent to the edge of the wafer surface. The secondary electrons are amplified by the secondary electron multiplier 2021, and the obtained electric signal is amplified by the amplifier 222, and used for the luminance modulation of the monitor 202.
  • the observation of defects on the wafer surface can also be performed as follows. That is, the beam of the monitor 1203 is scanned in synchronization with the scanning of the primary electron beam 210 on the wafer surface 211 by operating the deflector 207, and the obtained image is obtained. That is, the defect on the wafer surface is observed by comparing the SEM image with the image based on the pattern data.
  • the electron beam inspection apparatus 230 also has the function of an electron beam inspection apparatus using a scanning electron beam system (SEM system). Therefore, by operating the deflector 2007 and scanning the primary electron beam on the wafer surface in a rectangular or rectangular pattern, for example, in the short side direction, the secondary electron beam obtained by the monitor 203 is obtained.
  • the line width of the pattern arranged along the long side direction can be measured by the electronic image.
  • the lens 201 closest to the wafer surface 211 is closest to the wafer.
  • the other electrode 2 0 1 2a By selectively repelling secondary electrons emitted from the high-potential pattern on the wafer surface to the wafer side, the potential of the pattern is evaluated, and the electrical conduction failure (open, short-circuit, etc.) generated on the wafer ) That is, the contact failure can be more accurately inspected.
  • Switching between the projection system and the scanning electron beam system of the electron beam inspection apparatus 230 can be performed by the control apparatus 290.
  • the multi-purpose electron beam inspection apparatus of this embodiment can perform multi-purpose inspections and measurements such as defect detection, defect review, pattern line width measurement, and pattern potential measurement with one apparatus.
  • a large amount of floor space is not occupied in the clean room, so that a large number of device manufacturing apparatuses can be arranged, and effective use of the clean room can be achieved.
  • the electron beam inspection apparatus relates to an electron beam inspection apparatus suitable for performing shape observation and defect inspection of a high-density pattern having a minimum line width of 0.1 ⁇ m or less with high accuracy and high reliability. Things.
  • This projection electron beam inspection system irradiates the surface of a sample with a primary electron beam from an electron gun, thereby forming a secondary electron beam generated from the sample on a microchannel plate to multiply the electrons. After that, the electron beam is converted into light representing its intensity by the scintillator, and this is detected by the TDI-CCD, converted into an electric signal, and the electric signal is synchronized with the scanning of the sample, thereby producing a continuous image. Is what you get.
  • the moving direction of the stage must match the arrangement direction of the light-receiving surface of the TDI-CCD with high accuracy, such as the processing accuracy during manufacturing and the assembly accuracy.
  • high accuracy such as the processing accuracy during manufacturing and the assembly accuracy.
  • mechanical accuracy of the there has been a problem that it has been difficult to achieve the required accuracy with the related technology in recent years for devices for observing shapes and defect inspection of 0.1 micron or less.
  • an electron irradiation unit for irradiating the sample with the primary electron beam, and a secondary electron beam generated from the sample by the irradiation of the primary electron beam.
  • An optical system that optically processes a secondary electron beam to generate an image of the sample, a microchannel plate that receives the image, and that the output of the microchannel plate is converted into light by scintillation, and then the optical signal And a stage for moving the sample.
  • a CCD for converting the sample into an electric signal
  • an image display unit for processing the output of the CCD
  • a stage for moving the sample are provided.
  • a magnetic lens for rotating the image is arranged between the sample and the microchannel plate.
  • the magnetic lens may be located between a last lens of the optical system and the microchannel plate.
  • the magnetic lens may be arranged at one position of a crossover closest to the microchannel plate.
  • the magnetic lens may be arranged at an imaging position closest to the last lens on the opposite side of the microphone port channel plate with respect to the last lens.
  • FIG. 12 is a diagram schematically showing a configuration of an electron beam inspection apparatus according to the present embodiment.
  • the electron beam inspection apparatus is realized as a projection type electron beam inspection apparatus.
  • the electron beam inspection apparatus includes an electron gun 3001, a primary electron beam 3002 emitted from the electron gun 3001 is shaped by a rectangular opening, and a two-stage lens 300 is formed. After passing through 03 and 304, the light is incident on a Wien 'filter 3007 having an electrode 300 and a magnet 300. At this time, the primary electron beam 3002 is imaged on the surface of the Wien's filter 3007 at, for example, a 1 mm ⁇ 0.25 mm square.
  • the primary electron beam 3002 is re-directed by the filter 3007 and reduced to 1Z5 after passing through the lenses 3008 and 3009. Projected perpendicular to 0 1 0.
  • the sample 31010 is, for example, AHA8, and a circuit pattern is formed on the surface thereof. Irradiation with the primary electron beam 3002 causes a secondary electron beam to be emitted from the surface of the sample 3010, and a part of the primary electron beam 3002 is reflected by the surface of the sample 3010.
  • the reflected electron beam and the secondary electron beam 3011 include information representing a circuit pattern on the sample 3010.
  • the secondary electron beam 301 1 goes straight through the Wien filter 300.7 via the lenses 3009 and 3008, and passes through the lenses 3012 and 3013 of the electrostatic lens system via a path deviated from the path of the primary electron beam 3002.
  • the secondary electron beam 301 1 is expanded by lenses 3009, 3008, 3012, and 3013.
  • the secondary electron beam 3011 emitted from the final lens 3013 of the electrostatic lens system passes through the magnetic lens 3014 and forms an image on the microchannel plate 3015 as a rectangular image.
  • the reason for disposing the magnetic lens 3014 here will be described later.
  • the formed rectangular image is sensitized 10,000 times by the microchannel plate 3015 and illuminates the fluorescent part 3016.
  • the fluorescent section 3016 converts the sensitized rectangular image into light, and the converted light irradiates the TDI CCD 3018 via the relay optical system 3017. Therefore, the TDI CCD 3018 converts the incident light into an electric signal synchronized with the scanning speed at which the sample 3010 is scanned by the moving stage, and supplies the electric signal to the image processing unit 3019 as a continuous image.
  • the image thus obtained by the image processing unit 3019 is used for on-time image comparison of a plurality of cells and detection of a defect on the surface of the sample 3010 by comparing images of a plurality of dies.
  • the features, number, position coordinates, and the like of the shape of the defect on the sample 3010 detected by the image processing unit 3019 are displayed and recorded on the CRT as necessary.
  • the substrate of the sample 3010 may be made of, for example, an oxide film / nitride film, so that the surface structure is different and the manufacturing process is different. It is desirable to irradiate the sample 3010 with charged particles under appropriate conditions, irradiate the sample under optimal irradiation conditions, obtain an image, and perform shape observation and defect inspection.
  • the magnetic lens 1304 has an annular shape when viewed from above, and has a U-shaped cross section on the left and right sides.
  • (A) and (B) of FIG. 13 are views showing only the central portion of the magnetic lens 134. As shown in (A) and (B) of FIG.
  • a magnetic lens 301 is arranged between the lens 301 and the microchannel plate 301, and the intensity of the magnetic field generated by the magnetic lens 304 is reduced.
  • the intensity of the magnetic field generated by the magnetic lens 304 is reduced.
  • the magnetic lens 301 is arranged between the last lens 301 of the electrostatic lens system 301 and the micro channel plate 301, it will affect the electrostatic lens system. (For example, changing the magnification of the electrostatic lens system or causing aberration or distortion), the rotation of the secondary electron beam 301 by the magnetic lens 304, Therefore, it is possible to rotate the formed image.
  • the magnetic lens 3014 described above is desirably arranged at the position shown in FIG. 14 or FIG. Fig. 14 shows that the magnetic lens 30 14 is the crossover position 3031 between the last lens 30 13 of the electrostatic lens system and the micro channel plate 30 15 closest to the lens 3013. It is located at As a result, the rotating action of the magnetic lens 3014 on the secondary electron beam can be used, and the effect on the focusing condition of the electrostatic lens system of the image projection system can be almost ignored.
  • the magnetic lens 3014 is arranged at an imaging position 3041 closest to the lens 3013 on the side opposite to the microchannel plate 3015 with respect to the last lens 3013 of the electrostatic lens system.
  • the imaging position 3041 is a position conjugate with the surface of the sample 3010 and the secondary electron beam incident surface of the micro-channel plate 3015, and is a position where no lens operation other than the rotation of the magnetic lens 3014 works. Therefore, the magnetic lens 3014 only performs a function of correcting a deviation between the scanning direction of the sample 3010 and the arrangement direction of the light receiving surface of the TDI-CCD 3018. In other words, such a deviation in the direction can be easily corrected by the magnetic lens 3014.
  • the electrostatic lens system of the projection system is not affected by the rotation effect of the magnetic lens 3014 and causes aberration and distortion, excellent accuracy equivalent to or better than the arrangement shown in Fig. 14 is achieved. can do.
  • the scanning direction of the sample and the arrangement direction of the light receiving surface of the TDI-CCD can be easily matched. Therefore, blurring of the image due to the mismatch of these directions can be eliminated or minimized, and highly reliable shape observation and defect inspection can be performed with an excellent resolution of 0.1 micron or less. It has a special effect.
  • TD I-CCD can be used, further It is also possible to provide a highly sensitive electron beam inspection, and thus achieve an effect that a high throughput can be realized.
  • the present embodiment relates to an electron beam inspection apparatus that evaluates the surface of a solid sample using one or a plurality of electron beams, and particularly evaluates a sample such as a wafer and a mask having a pattern with a minimum line width of 0.1 m or less.
  • High throughput throughput per hour
  • Evaluation items include defect inspection of samples such as semiconductor wafers, line width measurement, overlay accuracy measurement, and high time resolution potential contrast measurement. Potential contrast measurement enables the measurement of electrical defects below the surface of the wafer and small particles on the surface of the wafer.
  • the dimension D of the electron beam means the diameter (diameter or diagonal length) of the image of the electron beam on the sample surface.
  • the interval between the electron beams means the distance between the centers of adjacent images of adjacent electron beams on the sample surface.
  • An electron beam inspection apparatus of this type for evaluating a defect or the like of a sample to be evaluated on a wafer is disclosed in, for example, Japanese Patent Application Laid-Open No. Hei 9-31111.
  • This publication discloses a pattern inspection apparatus that irradiates a sample to be inspected with a pattern such as a mask or a wafer with a primary electron beam and uses secondary electrons from the sample to be inspected.
  • a decelerating electric field is applied between the objective lens and the sample to be inspected, the primary electron beam is narrowed and illuminated on the sample to be inspected, and secondary electrons from the sample to be inspected are detected with high efficiency. are doing.
  • the potential contrast of the pattern on the surface of the sample is measured using a secondary zero-ray energy filter consisting of a hemispherical mesh.
  • the decelerating electric field type objective lens used in this kind of related technology passes all secondary electrons, so it is difficult to measure the potential contrast.
  • the secondary electron filter consisting of a hemispherical mesh electrode has the following problems: if a mesh electrode is provided between the objective lens and the sample, the image plane distance of the objective lens becomes longer and the axial chromatic aberration coefficient becomes larger. There is a problem in that the beam cannot be narrowed down or the beam current becomes small when trying to narrow down the beam.
  • the mesh electrode passes near the mesh Since the trajectory of the primary electron beam is bent irregularly, there is a problem that the beam is blurred or scanning distortion occurs.
  • the purpose of the present embodiment was made in view of such problems of the related art, and it was possible to obtain a large beam current while narrowing down the primary electron beam, to measure the potential contrast, and to perform scanning distortion.
  • the object of the present invention is to provide a defect-free electron beam inspection.
  • a single-potential electrostatic lens having at least three axially symmetric electrodes that is, an electrode closer to the electron gun (upper electrode), an electrode closer to the sample (sample-side electrode,
  • a primary electron beam is focused on the sample surface by an electrostatic lens having a lower electrode) and a central electrode between them, and the primary electron beam is scanned by a deflector and generated from the sample. Secondary electrons are detected and the sample surface is evaluated.
  • the potential of the pattern on the sample surface is obtained by applying a lower voltage to the lower electrode than the sample surface.
  • a voltage close to the ground is applied to the sample-side electrode (lower electrode) when performing an evaluation without obtaining the potential contrast. Adjustment of the focusing condition when the voltage applied to the lower electrode is greatly changed is performed by changing the positive high voltage applied to the center electrode.
  • the voltage applied to the electrode (upper electrode) on the electron gun side from the center electrode of the electrostatic lens is adjusted.
  • a contrast image is obtained by changing the generation of secondary electrons from the sample surface to which the potential distribution is given.
  • FIG. 16 is a schematic diagram illustrating a schematic configuration of the electron beam inspection according to the present embodiment.
  • the electron gun 420 is composed of a force source 4002 arranged inside the Wehnelt 4201 and an anode 40 arranged below the Wehnelt 4201. 23, the primary electron beam is emitted from the power source 402 toward the anode 4203, and the electron beam passing through the anode 402 They are aligned at 0 2 5 and pass through the centers of the condenser lenses 4 0 3 4 3 4 3 4 5 6.
  • the primary electron beam emitted from the force sword 4202 is a condenser lens 4003, 4
  • the imaging magnification on the sample surface is adjusted by 0 35, 40 36 to obtain the primary electron beam 40 16, and the objective lens 40 32, 40 38, 40 39 uses the sample 40 33 Focus on the surface of 3.
  • the primary electron beam 410 forms a crossover at the center of deflection of the EXB separator 430, and the electrostatic deflector 407 and the EXB separators 409, 430 Inside of the sample, it is deflected in two steps by the electromagnetic deflector 4029, and raster scans the surface of the sample 4003.
  • the specimen was inspected by scanning the stage 4 with a primary electron beam in the X direction over a predetermined width in the surface X direction (perpendicular to the paper in Fig. 16). This is performed by continuously moving 0 4 1 in the y direction.
  • the stage 4401 is moved in the X direction by a predetermined width or a slightly larger width, and the next stripe (adjacent area) is moved.
  • To inspect. By irradiating the surface of the sample 430 with the primary electron beam 406 by raster scanning, secondary electrons are emitted from the scanning point of the sample 433.
  • Secondary electrons emitted from the irradiation point on the sample 4003 3 are applied to the high voltage of the central electrode 4003 of the objective lens 4001, upper electrode 4003, and lower electrode 40032.
  • the ground voltage of the sample, and the accelerating electric field for the secondary electrons formed by the negative high voltage of the sample 4003, were pulled up to the electron gun side, and were reduced by 1 at the 8 separators 420, 4300. It is deflected by the secondary optical system and takes the trajectory in the direction of the dotted line in Fig. 16 and is detected by the secondary electron beam detector 4028 to form an SEM image (scanning electron microscope image).
  • the axial potential distribution is described below by applying a voltage lower than the sample potential to the sample electrode 403 of the objective lens 403. Make it lower than the surface of the sample as shown in Fig. 18.
  • the control device 4900 shown in FIG. 16 allows a voltage of a desired potential to be applied to the upper electrode 4008, the center electrode 4009, and the lower electrode 4002, respectively. I'm sorry.
  • Figure 18 shows 4.5 kV, 8 kV, and 350 kV for the upper electrode 4038, the center electrode 4039, the lower electrode 40032, and the sample 4003, respectively.
  • the horizontal axis represents the Z axis, that is, the distance between the axes extending perpendicularly to the plane of the sample 4003, and the reference point of 0 mm corresponds to the upper electrode 4003
  • FIG. 18 shows 4.5 kV, 8 kV, and 350 kV for the upper electrode 4038, the center electrode 4039, the lower electrode 40032, and the sample 4003, respectively.
  • the horizontal axis represents the Z axis, that is, the distance between the
  • the sample 4003 is placed at the position corresponding to the point 4002, and the center position between the point 4001 and the point 4002 is located.
  • the lower electrode 40032 is arranged at a position corresponding to the position, and the center electrode 400 is arranged at a position corresponding to the point 4003. Since a voltage lower than the sample potential is applied to the lower electrode 4 0 3 2, the on-axis potential is within the range of points 4 0 1 and 4 0 2 in FIG. It is getting lower.
  • those generated from a pattern with a high potential have low potential energy and low velocity, and are driven to the sample side by a potential barrier between points 4001 and 4002. Returned and not detected.
  • a secondary electron generated from a pattern having a low potential has a higher potential energy and a higher velocity, and reaches the detector 28 across this potential barrier.
  • the lens structure (condenser lenses 430, 405, 430) in the electron beam inspection shown in Fig. 16 is made by cutting out the integral ceramics 406, and the surface is selectively metallized.
  • the outer diameter of the lens barrel 400 can be reduced.
  • Fig. 17 shows a reduced outer diameter lens barrel.
  • FIG. 17 This shows a state in which 4 0 4 0 are arranged in 2 rows of 4 cylinders.
  • eight lens barrels 4040 are arranged on an 8-inch wafer with the secondary electron detectors 420 facing the side that does not interfere with the adjacent optical system.
  • the evaluation was performed while moving the sample stages 40 and 41 in the y-direction by the arrangement of the eight lens barrels 4040 (when the outer diameter of each is 40 mm ⁇ or less) in Fig. 17, Eight times the throughput (processing volume per hour) can be obtained compared to using a single lens barrel. In the case of making an image based on the difference in pattern and material of the sample 4003, the higher the secondary electron detection efficiency, the better.
  • a potential close to the ground may be applied to the sample side electrode and the poles 4032.
  • the voltage applied to the center electrode 400 at that time is a positive high voltage.
  • the electron beam inspection apparatus of this embodiment has been described as a scanning electron beam (SEM) electron beam inspection apparatus, the features of this embodiment are applicable to a projection type electron beam inspection apparatus. It is possible.
  • SEM scanning electron beam
  • the image surface distance of the objective lens is increased by applying a voltage of a lower potential than the sample surface to the electrode closer to the sample of the electrostatic lens having three axially symmetric electrodes.
  • a voltage of a lower potential than the sample surface is applied to the electrode closer to the sample of the electrostatic lens having three axially symmetric electrodes.
  • the focus of the primary electron beam on the specimen surface is adjusted and maintained by changing the positive high voltage applied to the center electrode.
  • secondary electrodes can be detected efficiently by applying a voltage close to the ground to the electrode close to the sample.
  • a charged beam apparatus for irradiating a sample placed on a stage (hereinafter simply referred to as a stage) movable in a two-dimensional or three-dimensional direction with an electron beam as a charged beam, and on a stage
  • the present invention relates to a method for transporting a sample to a computer.
  • the entire stage of the charged beam needs to be in a vacuum environment. Installed in a vacuum vessel. Also, in order for the stage to function in a vacuum, special consideration must be given to the stage actuator, the support structure of the guide section, lubrication, and materials, unlike operating the stage in the atmosphere. 7
  • a servomotor in the case of actuators, if a servomotor is placed in a vacuum, it is difficult to dissipate heat. Restrictions must be imposed on conditions, and solid lubricants and vacuum grease must be used to lubricate the rotating shaft.
  • a vacuum seal mechanism such as a magnetic fluid seal is provided on the rotation introduction part, and another stage guide is provided on the stage in addition to the X and Y direction guides. Therefore, the structure must be such that the servomotor does not need to move with the guide in the X or Y direction, and the structure is complicated and large compared to a stage that operates in the atmosphere.
  • the material of the stage it is necessary to select a material that emits a small amount of gas in a vacuum, aluminum material is rarely used, and the surface finish of parts is also exceptional in order to reduce the surface area of the material. Consideration was needed.
  • the vacuum stage mechanism includes a vacuum container with a built-in stage, a load lock chamber for transferring samples from the atmosphere to the vacuum environment, a vacuum transfer mechanism for samples, and a vacuum for the vacuum container. Piping, valves and a vacuum pump were required.
  • the related charged beam system requires the stage to be installed in a vacuum environment, which increases the manufacturing cost of the system, requires a large installation area and occupied area, and has a complicated mechanism and Maintenance was also difficult. Furthermore, in general, when an object exposed to the atmosphere is evacuated, gas molecules adsorbed on its surface are released, so a certain amount of evacuation time is required to obtain a predetermined degree of vacuum. And Most of the released gas is water molecules (ie, water vapor adsorbed on the surface in the atmosphere) at high vacuum. Therefore, when the sample exposed to the atmosphere was transported to the charged beam irradiation area without sufficiently evacuating it, it was adsorbed on the sample surface as soon as it was transferred into the vacuum environment of the charged beam irradiation area.
  • One object of the present embodiment is to provide a charged beam device that is compact and can be manufactured at low manufacturing cost by providing a structure such as a stage actuator and a guide mechanism in the atmosphere.
  • Another purpose is to keep the sample and the charged beam optics and the vacuum system only in places where a vacuum environment is necessary, so that the sample and the charged beam optics are not contaminated with particles or outgassed gas. It is.
  • Another object is to provide a charged beam apparatus capable of greatly reducing the amount of gas released into a vacuum from the sample surface or the surface of a component of a stage.
  • Another object is to provide a method of transporting a sample into a charged beam device that can significantly reduce the amount of gas released into a vacuum from the sample surface or the surface of a component of the stage. To provide.
  • the charged beam device in the device for irradiating the surface of the sample placed on the stage with the charged beam, in order to keep only the vicinity of the portion irradiated with the charged beam at a predetermined degree of vacuum, At least a single differential pumping structure is provided around a region irradiated with the charged beam, and further, a structure for ejecting an inert gas to a sample surface is provided on the outer peripheral side of the differential pumping structure. I have. With this configuration, the stage used in the atmosphere can be used, and the charged beam device can be manufactured compactly and at low manufacturing cost. Further, it is possible to prevent particles from entering the sample surface from the atmosphere side, reduce the chance of the sample coming into direct contact with the atmosphere, and reduce the amount of gas emitted in the charged beam irradiation region.
  • the structure for ejecting the inert gas is configured so that the inert gas ejected to the sample surface flows out mainly to the outside around the charged beam irradiation area. Is formed. This makes it easier to evacuate the differential evacuation section, making it possible to form a compact differential evacuation structure and reduce the capacity of the vacuum pump for differential evacuation.
  • the differential pumping structure comprises a high vacuum differential pumping passage and a low vacuum differential pumping passage, wherein the differential pumping passages are On the downstream side of the exhaust structure, the air is exhausted through the same pipe after communicating with each other, and on the upstream side of the differential exhaust structure, the exhaust is lower than the differential exhaust passage having the high vacuum degree.
  • the differential evacuation passage with a vacuum degree is formed so that the evacuation resistance is larger. This makes it possible to reduce the number of differential evacuation passages and the number of Z or vacuum pumps, and to achieve a reduction in the size and cost of the charged beam device.
  • the stage is further finely tuned so that the surface of the stage entering under the differential pumping structure is flush with the surface of the sample mounted on the stage.
  • a height adjustment mechanism for adjustment is provided.
  • the entire range of movement of the stage for moving the sample to a predetermined position or the movable range of the sample is always covered with a container filled with an inert gas.
  • a vacuum vessel is connected to a vessel filled with an inert gas via a shutoff valve, and a sample is supplied to the inert gas via the vacuum vessel. 'They allow gas to enter and leave the container.
  • the vacuum container is evacuated to a predetermined pressure, then a high-purity inert gas is introduced into the vacuum container, and then the shut-off valve is opened to open the high-purity inert gas.
  • the sample was set on the stage in a vacuum container filled with gas.
  • the sample is first placed in a vacuum vessel and evacuated once, a large amount of gas is released from the surface of the sample to be cleaned, and then a high-purity inert gas is introduced into the vacuum vessel.
  • a high-purity inert gas is introduced into the vacuum vessel.
  • the sample is transported to the stage in a high-purity inert gas. Therefore, even if the sample is inserted into the charged beam irradiation area and placed in a vacuum environment, only high-purity inert gas is released from the surface of the sample. Since the high-purity inert gas has a very small absorption energy with the surface of the object and is released from the surface of the sample in a very short time, the degree of vacuum in the charged beam irradiation area does not deteriorate. As described above, the charged beam irradiation region can be easily maintained at a high degree of vacuum, and the possibility of contamination of the sample surface can be reduced.
  • the inert gas ejected on the sample surface is recovered by a vacuum pump and / or compressor and then pressurized so that the inert gas is ejected again on the sample surface.
  • a gas circulation mechanism is provided.
  • the high-purity inert gas can be reused, so that the high-purity inert gas can be saved.
  • the suffocation due to the high-purity inert gas is performed. It also eliminates the danger of accidents.
  • examples of the inert gas include nitrogen (N 2 ), argon (Ar), and xenon (Xe).
  • Another modification of the present embodiment provides a method for transporting a sample. That is, a charged beam device in which a vacuum container was connected to a container filled with an inert gas as described above via a shutoff valve was prepared, and a sample was inserted into the vacuum container of the charged beam device. After that, the vacuum vessel is evacuated to a predetermined pressure, and after introducing an inert gas into the vacuum vessel, a shut-off valve is opened and the stage in the vessel filled with the inert gas is filled with the inert gas. Placing a sample. By such a method, the charged beam irradiation region can be easily maintained at a high degree of vacuum.
  • Still another modification is to provide a wafer defect inspection apparatus for inspecting a surface defect of a semiconductor wafer by utilizing the above-described invention of the charged beam apparatus or the method of transporting a sample. Is what you do. This makes it possible to provide a low-cost, small-footprint, small-footprint, and highly reliable Jehachi defect inspection apparatus.
  • Another modification is to provide an exposure apparatus that exposes a circuit pattern of a semiconductor device on a surface of a semiconductor wafer by using the above-described charged beam apparatus or the method of transporting a sample. This makes it possible to provide a highly reliable charged beam exposure apparatus with a small installation area and occupied area at low cost.
  • Another modification is to provide a semiconductor manufacturing method using the above-described charged beam apparatus or the method for transporting a sample. As a result, the cost of the semiconductor manufacturing method can be reduced.
  • FIG. 19 is a diagram showing an embodiment of the charged beam device, and shows a part of the charged beam device in an enlarged manner.
  • Reference numeral 5001 designates a lens barrel having a known structure for housing an optical system for irradiating the sample 5002 with the charged beam 5005, and only the distal end thereof is shown.
  • a differential exhaust unit 5004 is attached so as to surround the distal end of the lens barrel 5001.
  • the hole 504 1 at the center of the differential pumping section 504 needs to be large enough not to affect the charged beam 550.
  • the sample 5002 is placed on the stage 503, and moves together with the stage 503.
  • the lens barrel 500 is maintained so that a minute gap of several microns to several hundreds of microns is maintained between the differential pumping section 504 and the surface of the sample (hereinafter referred to as the sample surface) 5002. 1 is fixed to the gantry (Fig. 24). Since the optical system in the lens barrel is not the gist of the present invention, a detailed description will be omitted.
  • annular grooves 505 and 506 for differential pumping are formed from the center toward the outside in the radial direction. And 509.
  • the gas flowing from the outer peripheral side of the differential pumping section 504 toward the charged beam is evacuated through the annular grooves 505 and 506 to evacuate the charged beam irradiation area. Keep the leaked gas flow below the allowable level and maintain the charged beam area at a specified vacuum level.
  • the annular groove 5005 has a higher degree of vacuum than the annular groove 506 and the amount of gas leakage into the charged beam irradiation area is below an allowable level, or the annular groove is larger than the charged beam irradiation area.
  • the performance of vacuum piping and vacuum pump is determined as appropriate.
  • the annular groove for differential pumping constitutes a differential pumping structure, which is formed double in FIG. 19, but is not limited to this, and the degree of vacuum in the charged beam irradiation area It may be formed as a single layer, or may be formed as a triple layer or more depending on the configuration of an annular groove, a vacuum pipe, or the like.
  • An annular groove 507 is further formed on the outer peripheral side of the annular groove 506 of the differential pumping section 504, and communicates with the pipe 510.
  • a high-purity inert gas is supplied through the pipe 510 and is ejected from the annular groove 507 onto the sample surface. Part of the injected high-purity inert gas is sucked by the annular groove 506 and discharged to the charged beam irradiation area, and the rest flows in the opposite direction and is discharged from the outer periphery of the differential exhaust part. .
  • the high-purity inert gas flows outward and acts as a shield, the atmosphere is not hindered by the flow of the high-purity inert gas and does not flow into the charged beam irradiation region. If a shield mechanism using this high-purity inert gas is not provided, particles and water vapor in the atmosphere will enter the charged beam irradiation area due to the inflow of outside air, and will cause the sample surface and the lenses in the lens barrel 5001, etc. It may contaminate and adversely affect operations such as wafer inspection with charged beams and exposure of patterns on wafers.
  • FIG. 20 shows another modification of the charged beam device.
  • the high-purity inert gas jet port 507a is formed so as to face the outer peripheral side. Therefore, the gas is supplied to the sample surface 502 and the differential exhaust section 504 Between the two and flows in the direction of arrow A, vigorously outward.
  • the high-purity inert gas injection port 507a is formed in this manner, and the supply pressure of the high-purity inert gas is increased to an appropriate value with respect to the atmospheric pressure.
  • the flow of high-purity inert gas This acts like an ejector to generate a flow as indicated by an arrow B, so that the inside diameter side of the differential exhaust unit 504 can be maintained at a negative pressure.
  • a heating head BH is provided in the differential pumping section 504 to heat the differential pumping section, and the vacuum pipes 508 and 500 are supplied from the annular grooves 505 and 506.
  • the gas flowing to 09 may be heated and expanded to increase the evacuation efficiency so that a high degree of vacuum can be more easily achieved by differential evacuation.
  • FIG. 21 shows another modification of the charged beam device.
  • a vacuum chamber 501 is provided in the differential pumping section 504, and the vacuum chamber 501 is provided with a high vacuum side exhaust passage 505a and a low vacuum side exhaust It communicates with passageway 506a.
  • the high vacuum side annular groove 505 and the low vacuum side annular groove 505 for differential evacuation are respectively provided with the high vacuum side exhaust passage 505a and the low vacuum side exhaust.
  • the vacuum chamber 509 is connected to the passage 506a, and the vacuum chamber 501 is kept in vacuum by a vacuum pipe 508a.
  • the conductance of the low-vacuum-side exhaust passage 506a is extremely smaller than that of the high-vacuum-side exhaust passage 505a.
  • each of the exhaust passages 505a and 506a is the same as the pressure in the vacuum chamber 501, but is the same, but the annular groove 5 on the upstream side.
  • the pressures of 005 and 506 are greatly different from each other, and the annular groove 5006 maintains a low vacuum, the annular groove 505 maintains a high vacuum, and differential pumping is performed. You can do it properly.
  • FIG. 22 shows still another modification of the charged beam apparatus.
  • FIG. 22 a shows a state in which the lens barrel is located near one end of the stage, and FIG. This shows a state in which it is located near the other end.
  • a sample 5002 is placed on the surface 503 1 of the stage, and plate members 506 and 5061 are attached around the sample 502. I have.
  • the plate-shaped members 506 and 506 1 The height from the surface 503 1 of the stage is adjusted so that the upper surfaces 560 1 and 561 1 of the plate-shaped member are at the same height as the surface 521 of the sample. By attaching such a height adjustment mechanism, the stage is moved or the position of the lens barrel with respect to the stage is moved to the position indicated by 500 or 500 '(FIGS.
  • the gap between the differential pumping section 504 ′ (or 504 ”) and the stage surface 503 1 or sample surface 520 1 ′ ( Or 505 1) is always kept constant, so that the differential evacuation is appropriately performed and the charged beam irradiation area can always maintain a predetermined degree of vacuum.
  • FIG. 23 shows still another modification of the charged beam apparatus.
  • a sample stage that supports and fixes the sample in the present embodiment, for example, a sample fixing stage using an electrostatic chuck
  • An up-down mechanism 506 is provided underneath. By moving the vertical movement mechanism 506 up and down according to the height of the sample 5002, the height of the stage surface and the sample surface are adjusted so as to match. The smaller the height difference between the sample surface and the surface of the stage is, the better, and preferably on the order of submicron.
  • a fine adjustment mechanism using a piezoelectric element may be provided as the up-down mechanism.
  • the sample table 506 3 Adjusting members 506 'and 506 may be provided on the side, and these adjusting members may be replaced according to the thickness of the sample.
  • the adjusting members 506 ′ and 506 ′ may be a single part or may be divided into two or more parts depending on the shape of the sample and the stage.
  • the adjusting members 506 ′ and 506 1 ′ and the up-and-down mechanism 506 are used even when the size of the sample changes from, for example, an 8-inch wafer to a 12-inch wafer. It is desirable to configure so that any size can be accommodated simply by replacing parts according to the size of the device.
  • FIG. 24 shows another modification of the charged beam device.
  • a sample 5002 is placed on the stage 503, and the sample moves in a range indicated by a movable range L as the stage 503 moves.
  • the lens barrel 5001 is fixed to the mount 5001
  • a differential pumping section 504 is provided so as to surround the tip, and the differential pumping section 504 and the sample surface 5002 or the stage are provided. Differential exhaust and blowing of high-purity inert gas are performed between the surface and the surface 503.
  • the container 512 is mounted on the stage 503 so as to completely cover the movable range L of the sample 5002, and the sample surface 5002 or the plate member 506, 50 is mounted.
  • a minute gap 520 is provided between 61 and the lower surface of the container 501.
  • the high-purity inert gas blown out from the differential pumping section 504 flows between the differential pumping section 504 and the sample surface 5002 or the plate member 506, 5061.
  • the same amount of gas is blown out from the minute gap 505 2 to the outside of the vessel 510 2 through the gap 505 1 and blown into the vessel 510 2.
  • the inside of the container 501 is always filled with the high-purity inert gas, and the container is kept clean without invasion of outside air from the minute gap 552. Therefore, even if the sample moves in the container 501, there is no risk of the sample being contaminated by particles, water vapor, etc., and the charged beam irradiation area can be easily stabilized in a high vacuum state. There is no risk of contaminating the lens and the like, and the reliability and operation rate of the device can be improved.
  • FIG. 25 shows another modification of the charged beam apparatus.
  • the container 500 is formed so as to completely surround not only the movable range of the sample but also the stage 5003.
  • the high-purity inert gas blown out from 4 is discharged through a discharge pipe 516.
  • Such a configuration also has the same effect as the modification of FIG. 24, but moreover, since the container 515 completely covers the stage 503, contamination from the outside air such as particles and water vapor can occur. The possibility of material intrusion can be completely eliminated, and the reliability and availability of the equipment can be further improved. '
  • FIG. 26 shows another modification of the charged beam device.
  • a container 50017 which completely covers the stage 5003 and is filled with a high-purity inert gas is provided.
  • a vacuum vessel 520 for loading and unloading the sample is connected to the 017.
  • a vacuum pipe 500 and a supply pipe for high-purity inert gas 500 are connected to the vacuum vessel 502, and a shutoff valve 50 is provided between the vacuum vessel 500 and the vessel 501.
  • the charged beam device performs T processes in the following manner.
  • the vacuum vessel 507 First, open the opening / closing lid (not shown) of the vacuum vessel 507, place the sample 502 to be processed by the charged beam into the vacuum vessel 520, and seal the opening / closing lid. And the vacuum vessel 520 27 is evacuated to a predetermined degree of vacuum by a vacuum pipe 500. Next, a high purity inert gas is supplied from a supply pipe 530 to fill the vacuum vessel with the high purity inert gas. When the internal pressure of the vacuum container 507 reaches the same pressure as the internal pressure of the container 507, the shut-off valve 508 is moved in the direction of arrow C and the sample 504 is placed in the container 510 The sample 502 is placed at a predetermined position on the stage 503 by a sample transport mechanism (not shown).
  • the vacuum vessel 502 is evacuated to a predetermined pressure, and then a high-purity inert gas is introduced into the vacuum vessel 5027. Then, the shut-off valve is opened, and the sample 5002 is placed on the stage 5003 in a vacuum vessel filled with a high-purity inert gas. After that, the stage 5003 is moved to transfer the sample 5002 to the charged beam irradiation area, and the processing by the charged beam is performed.
  • the sample surface 5021 which has been cleaned by evacuation, is always covered with high-purity inert gas until it is transported to the charged beam irradiation area, and is exposed to the atmosphere. There is no. Therefore, even if the sample is carried into the charged beam irradiation area and exposed again to the vacuum environment, the only gas released from the sample surface 502 is the high-purity inert gas that covered the sample surface. It is evacuated in a very short time, and the degree of vacuum in the charged beam irradiation area does not deteriorate. Thus, the charged beam irradiation area is maintained at a high degree of vacuum, and the possibility of contaminating the sample surface can be reduced.
  • FIG. 27 schematically shows one embodiment of the evacuation path of the charged beam apparatus. Things.
  • a pipe 50013 for evacuating the inside of the lens barrel 5001 is connected to the ultrahigh vacuum pump 50018.
  • the high vacuum piping 500 of the differential pumping section 504 is connected to an ultra high vacuum pump 520
  • the low vacuum piping 509 is an ultra high vacuum pump 502. 0 Connected to the roughing pipe and exhausted by the roughing pump 5 201.
  • a high-purity inert gas for example, nitrogen gas is supplied from a nitrogen gas source 502 to a differential pumping section 504 via a pipe 510.
  • FIG. 28 schematically shows another modification of the evacuation path of the charged beam device.
  • an ultra-high vacuum pump 500 1 is formed by joining a pipe 500 1 3 for evacuating the lens barrel 500 1 and a high vacuum pipe 500 0 8 of the differential pumping section 500 4. 8 and evacuate it with the ultra-high vacuum pump 508, while the low vacuum piping 509 of the differential pumping section 504 is roughened by the ultra-high vacuum pump 508. It is connected to a pulling pipe and exhausted by a roughing pump 509. With such a configuration, the number of vacuum pumps can be reduced as compared with the embodiment of FIG.
  • ultra-high vacuum pump for example, a one-point molecular pump or an ion pump can be applied, and as the roughing pump, for example, a dry pump, a diaphragm pump, or the like can be applied.
  • FIG. 29 shows another modification of the charged beam apparatus, and schematically shows a circulation path of the inert gas.
  • a high purity inert gas is supplied from a differential pumping section 504 provided in the lens barrel 5001 into the container 515 filled with the high purity inert gas.
  • the supplied high-purity inert gas is discharged from the container 515 through the discharge pipe 516, and is pressurized by the compressor 523.
  • the pressurized high-purity inert gas is sent to a gas purifier 504 such as a cold trap or a high-purity filter via a pipe 505, and is purified. Is again sent to the differential exhaust section 504 and supplied into the container 515.
  • the gas purifying device may not be provided if there is no problem in the purity deterioration even if the gas is circulated.
  • the high-purity inert gas supplied from the differential pumping section 504 is sucked by the differential pumping mechanism, and is passed through the low-vacuum pipe 509 and the high-vacuum pipe 508. It is evacuated by an ultrahigh vacuum pump 520 and a roughing pump 520. Drawing pump 5 2 Since the pipe 50 0 26 provided on the exhaust side of 01 is connected to the pipe 50 0 25 on the exhaust side of the compressor 50 23, the high purity inert gas passing through this path is also connected to the pipe 50 0 It is again supplied to the differential exhaust unit 504 via 10.
  • the high-purity inert gas can be circulated and reused, so that the high-purity inert gas can be saved, and the high-purity inert gas is not discharged into the room where the device is installed. Therefore, the possibility that an accident such as suffocation due to an inert gas may occur can be eliminated.
  • FIG. 30 one modified example in which the projection type charged beam apparatus according to the present embodiment is applied to a Jeight defect inspection apparatus will be described.
  • the electron beam E generated by the electron gun 507 of the lens barrel 570 of the primary optical system passes through the lens group 507 and is formed into a predetermined sectional shape.
  • the orbit of the formed electron beam (charged beam) 550 is changed by a Wien filter 5073, and vertically incident on a wafer 502 to be inspected.
  • secondary electrons are emitted from the sample surface.
  • These secondary electrons are accelerated by the objective lens 507, go straight through the Wien filter 507, and are enlarged by the lens unit 507 to be detected. It is projected onto 5 0 7 6.
  • the detector 506 generates a secondary electron projection image. This image is subjected to image processing and, if necessary, compared with an image of another portion to determine whether or not the surface of the wafer has a defect. The result is recorded on a device by a predetermined method and displayed. .
  • the structure and operation of the differential pumping section 500 .4, vessel 500, vacuum pipes 500 and 509, and high purity inert gas supply pipe 500 is similar to the embodiment described with reference to FIGS. 19 to 29 described above.
  • the high-purity inert gas flows through the container 515 as shown by the arrow D in the figure and is discharged from the discharge pipe 516.
  • the charged beam irradiation area can be maintained at a high degree of vacuum.
  • stage used in the atmosphere can be used as it is, it is also possible to use a hydrostatic gas bearing for the stage guide, and by combining it with a high precision actuator such as a linear motor It is possible to make the stage for the charged beam device as high as the high-precision stage for the atmosphere used in the exposure apparatus and the like.
  • FIG. 31 is a flowchart showing one embodiment of a method for manufacturing a semiconductor device according to the present invention.
  • the manufacturing process of this embodiment includes the following main processes.
  • Step 6400 Wafer manufacturing process for manufacturing wafers (or wafer preparation process for preparing wafers)
  • Step 6401 Mask manufacturing process for manufacturing a mask used for exposure (or mask preparation process for preparing a mask)
  • Step 6403 Chip assembling process to cut out chips formed on the wafer one by one and make them operable
  • Each of the above main steps further includes some sub-steps.
  • the wafer processing step (3) has a decisive effect on the performance of semiconductor devices.
  • the designed circuit patterns are sequentially stacked on a wafer to form a large number of chips that operate as memories and MPUs.
  • This wafer processing step includes the following steps.
  • a thin film forming process for forming a dielectric thin film to be an insulating layer, a wiring portion, or a metal thin film to form an electrode portion using CVD, sputtering, etc.
  • B Oxidation process to oxidize this thin film layer and Jehachi substrate
  • the wafer processing process is repeated as many times as necessary to manufacture semiconductor devices that operate as designed.
  • FIG. 32 is a flowchart showing a lithography step which is the core of the wafer processing step of FIG. This lithography step includes the following steps.
  • Step 650 A resist coating step of coating a resist on a wafer on which a circuit pattern has been formed in the previous step
  • Step 6501 Step of exposing the resist
  • step 6502 A developing step of developing the exposed resist to obtain a resist pattern
  • the defect inspection method and the defect inspection apparatus according to the present invention are used in the inspection step (G), even a semiconductor device having a fine pattern can be inspected with a high throughput, so that 100% inspection can be performed, and the product yield can be improved. It is possible to prevent defective products from being shipped.
  • defect inspection equipment using electron beams is expensive and has a lower throughput than other processing equipment. Therefore, at present, the most important inspection processes that are considered to be most important (eg, etching, film formation ( (Including plating), or CMP (chemical mechanical polishing) Used after the planarization process.
  • etching etching
  • film formation Including plating
  • CMP chemical mechanical polishing
  • the wafer to be inspected is positioned on the ultra-precision XY stage through the atmospheric transfer system and the vacuum transfer system, and then fixed by the electrostatic chuck mechanism, etc., and the procedure of (Test flow in Fig. 33) Inspection is performed according to the above.
  • the optical microscope also acquires an optical microscope image of a place where a defect or the like is desired to be observed, and is also used for comparison with an electron beam image.
  • Step 7002 enter the recipe information according to the type of AA8 (after the process, the size of the wafer is 20 cm or 30 cm, etc.) into the device (Step 7002), and then inspect After specifying the location (Step 7003), setting the electron optical system, setting the inspection conditions, etc. (Step 7004), usually perform real-time defect inspection while acquiring images (Step 7). 0 0 5). Cell-to-cell comparison, die comparison, etc. are inspected by a high-speed information processing system equipped with an algorithm, and the results are output to a CRT or the like as necessary, and stored in memory (Step 706) . Defects include particle defects, shape abnormalities (pattern defects), and electrical defects (such as disconnections and poor conduction in wiring or vias).
  • Detection of electrical defects is achieved by detecting contrast abnormalities. For example, a location with poor conduction is normally charged positively by electron beam irradiation (about 5 OO eV), and the contrast is reduced, so that it can be distinguished from a normal location.
  • the electron beam irradiating means in this case is a low-potential (energy) electron beam generating means (thermo-electron generator, U VZ photoelectrons). This low potential (energy) electron beam is generated and irradiated before irradiating the inspection target area with the electron beam for inspection.
  • defect detection can be performed due to differences in contrast caused by applying a positive or negative potential to a sample such as an aerial or the like with respect to a reference potential (caused by the ease of flow depending on the forward or reverse direction of the element). I can do it. It can also be used for line width measurement equipment and alignment accuracy measurement As a method for inspecting an electrical defect of an inspection sample, it is possible to use the fact that, when a part that is originally electrically insulated and the part are in an energized state, the potential of the part is different.
  • the target substance when an electron beam is generated, the target substance floats and is attracted to the high potential region by proximity interaction (particle charging near the surface), and is used for forming and deflecting the electron beam.
  • Organic materials as insulators are deposited on the surface of various electrodes. In this way, the insulator that gradually accumulates on the surface of the electrode due to the charging of the surface of the electrode adversely affects the formation of the electron beam and the deflecting mechanism, so the deposited insulator must be removed periodically. Must. Periodic removal of the insulator is performed as follows.
  • the inspection according to the present application includes not only simply detecting the presence or absence of a defective state such as a defect but also evaluating the inspection result.

Description

明細書 電子線による検査装置、 検査方法、 及びその検査装置を用いたデバイス製造方
技術分野
本発明は、 電子ビームを用いてゥエーハなどの検査対象の表面に形成されたパ ターンの欠陥等を検査する検査装置に関し、 詳しくは、 半導体製造工程における ゥェ一ハの欠陥を検出する場合のように、 電子ビームを検査対象に照射してその 表面の性状に応じて変化する二次電子量から画像データを形成し、 その画像デ一 夕に基づいて検査対象の表面に形成されたパターン等を高いスループットで検査 する検査装置、 検査方法、 並びにそのような検査装置を用いて歩留まり良くデバ イスを製造するデバイス製造方法に関する。 背景技術
半導体プロセスにおいて、 デザインルールは 1 0 0 n mの時代を迎えようとし ており、 また生産形態は D R AMに代表される少品種大量生産から S O C ( S i l i c o n o n c h i ) のように多品種少量生産へ移行しつつある。 それ に伴い、 製造工程数が増加し、 各工程毎の歩留まり向上は必須となり、 プロセス 起因の欠陥検査が重要になってきている。 従来より、 半導体プロセスにおける各 工程後にゥエー八の欠陥検査が行われている。 そして、 半導体デバイスの高集積 ィ匕、 パターンの微細化に伴い、 高分解能、 高スループットの欠陥検査装置が要求 されている。 というのは、 1 0 0 n mデザインルールのゥエーハー基板の欠陥を 調べるためには、 1 0 0 n m以下の分解能が必要だからである。 また、 半導体デ パイスの高集積化による製造工程の増加により、 検査量が増大するため、 高スル 一プットが要求されるからである。 さらに、 半導体デバイスの多層化が進むにつ れて、 層間の配線をつなぐビアのコンタクト不良 (電気的欠陥) を検出する機能 も、 欠陥検査装置に要求されている。
この種の欠陥検査装置としては、 従来より、 光方式の欠陥検査装置が使用され ている。 しかしならが、 光方式の欠陥検査装置においては、 その分解能は使用す る光の波長の 1 Z 2が限界であり、 実用化されている可視光の例では 0 . 2 111 程度である。 このように、 光方式の欠陥検査装置においては、 分解能が要求に追 いっかないという問題点があった。 さらに、 光方式の欠陥検査装置では、 半導体 ゥエー八に生じた電気的な導通不良(オープン、 ショート不良など)、すなわち、 コンタクト不良検査をすることができなかった。
そこで、 最近、 光方式の欠陥検査装置に代わって電子ビームを用いた欠陥検査 装置が開発されてきている。
かかる電子ビーム方式欠陥検査装置では、 通常、 走査型電子ビーム方式 (S E M方式) が実用化されており、 その分解能は 0 . 1 x mと比較的に高くなつてお り、 電気的欠陥 (配線の断線、 導通不良、 ビアの導通不良等) も検査可能となつ ている。 しかし、 S E Mを応用した欠陥検査装置では、 ビーム電流量と検出器の 応答速度に限界があり、 そのために、 欠陥検査に多くの時間を必要としていた。 例えば、 検査時間には 8時間 Z枚 (2 0 c mゥェ一ハ) も要し、 検査時間は非常 に長く、 スループット (単位時間当たりの検査量) が光方式の欠陥検査装置など の他のプロセス装置に比べて低いという問題点がある。 また、 電子ビーム方式欠 陥検査装置は、 非常に高価であるという問題点もある。 そのため、 半導体製造の 各工程の後に使用することは困難な状態にあり、 現状では重要な工程の後、 例え ばエッチング、 成膜 (銅メツキを含む)、 又は C M P (化学機械研磨) 平坦化処理 後等に使用されている。
このような走査型電子ビーム方式 (S E M方式) を用いた欠陥検査装置を更に 説明すると、 かかる欠陥検査装置では、 電子ビームを細く絞って (このビーム径 が分解能に相当する)これを走査してライン状にゥェ一ハなどの試料を照射する。 一方、 ゥェ一ハを載置したステージを、 電子ビームの走査方向に直角の方向に移 動させることにより、 ゥェ一八上の観察領域を電子ビームで平面状に照射する。 電子ビームの走査幅は一般に数 1 0 0 mである。 前記細く絞られた電子ビーム (一次電子線と呼ぶ) 照射によりゥエーハなどの試料から二次電子が発生し、 こ の二次電子を検出器 (シンチレ一夕 +フォトマルチプライヤー (光電子増倍管) 又は半導体方式の検出器 (P I Nダイオード型) 等) で検出する。 電子ビームの 照射位置の座標と二次電子の量 (信号強度) を合成して画像化し、 記憶装置に記 憶し、 あるいは CRT (ブラウン管) 上に画像を出力する。 以上は SEM (走査 型電子顕微鏡)の原理であり、この方式で得られた画像から工程途中の半導体(通 常は S i )ゥエー八の欠陥を検出する。 (スループットに相当する)検査速度は、 一次電子線の量 (電流値)、 ビーム径、検出器の応答速度で決まる。 ビーム径 0. 1 (分解能と同じと考えてよい)、電流値 100 nA、検出器の応答速度 10 0 MHzが現在の最高値となっており、 この場合で、 上述したように、 20 cm 径のゥエーハー枚あたり約 8時間の検査時間がかかっている。 このように、 走査 型電子ビーム方式の欠陥検査装置では、 検査速度が、 光方式の欠陥検査装置など の他のプロセス装置に比べてきわめて遅い (1Z20以下) ことが大きな問題点 となっている。
本発明は、 かかる問題点に鑑みなされたもので、 ゥエーハなどの試料の欠陥を 検出する検査速度を向上させることを目的とする。 発明の概要
本発明は、 走査型電子ビーム方式 (SEM方式) の欠点である検査速度を向上 する方法として、 電子ビームを用いた写像投影方式と呼ばれる方式を利用した欠 陥検査装置に係るものである。 以下にその写像投影方式について説明をする。 写像投影方式においては、 試料の観察領域が一次電子線で一括して照射 (走査 は行わず一定の面積を照射) され、 照射された領域から発生した二次電子をレン ズ系により、 一括して検出器 (マイクロチャンネルプレート +蛍光板) 上に電子 線の画像として結像させるようになつている。 そして、 かかる結像画像が、 二次 元 CCD (固体撮像素子)又は TD I -CCD (ラインイメージセンサー)により、 電気信号に変換され、 画像情報として、 CRT上に出力され又は記憶装置に記憶 される。 この画像情報から試料ゥエーハ (工程途中の半導体 (S i ) ゥェ一八) の欠陥が検出される。 CCDの場合、 ステージの移動方向は短軸方向であり (長 軸方向でもかまわない)、移動はステップアンドリピート方式である。 TD I— C CDの場合のステージ移動は、 積算方向に連続移動をする。 TD I— CCDでは 画像を連続的に取得できるので、 欠陥検査を連続で行う場合は TD I—CCDを 使用する。 分解能は結像光学系 (二次光学系) の倍率及び精度等できまり、 ある 実験例では 0. 0 5 mの分解能が得られている。 この実験例において、 分解能 を 0. l mとし、 一方、 電子線照射条件に関して、 ゥエーハ上の検査領域を 2 00 mX 50 mとし、 一次電子線の量 (電流値) を 1. 6 Aとしたとき、 検査時間は 20 cmのゥエーハ 1枚当たり 1時間程度であった。 すなわち、 写像 投影方式では、 SEM方式に比較して 8倍の検査速度が得られている。 なお、 こ の実験例で使われた TD I— CCDの仕様は 2048画素 (ピクセル) X 5 1 2 段でラインレートが 3. 3 n s (ライン周波数 300 kHz) であった。
この例の照射面積は T D I— C C Dの仕様に合わせているが、 照射対象物によ つて、 照射面積を変更することもある。
さらに、 写像投影方式を用いた電子線検査装置の概要を説明する。
この電子線検查装置は、 電子銃から放出された電子線を所望の形状 (例えば、 矩形若しくは楕円形等) に成形し、 成形された電子ビームを検査されるべき試料 (例えばウェハ若しくはマスク等、 以下ではしばしばウェハとして説明する) の 表面上に一括照射する一次電子光学系と、 ウェハから放出された二次電子を検出 器に照射する二次電子光学系と、 二次電子を受けて光学的像に変換し、 ウェハの 像を結像させる検出器と、 検出器を制御するための制御装置とを備えている。 一 次電子光学系は、 電子ビームを放出する電子銃と、 電子ビームを所定の断面形状 のビームに成形する一次系静電レンズとを備えている。 一次電子光学系は、 ゥェ 八の表面に垂直な方向に対し一定の角度を有していて、.電子銃を最上部にして順 に配置されている。 一次電子光学系と二次電子光学系との間には、 電界と磁界と が直交する場により電子ビームを偏向すると共にウェハからの二次電子を分離す るための EXB偏向器 (ウィーンフィルター又は EXB分離器とも言う) が、 ゥ ェハ Sの表面に垂直な方向に沿って配置されている。 二次電子光学系は、 EXB 分離器で分離されたウェハからの二次電子の光軸に沿って、 ウェハの表面に対し て垂直な方向に配置されており、 二次電子を偏向して集束する二次系静電レンズ を備えている。
電子銃は、 電子放出材 (力ソード) を加熱することにより電子を放出する熱電 子線源タイプが用いられている。 力ソードとしての電子放出材 (ェミツ夕) は、 ランタンへキサボライド (L aB6) が用いられている。 高融点 (高温での蒸気 圧が低い) で仕事関数の小さい材料であれば、 他の材料を使用することが可能で ある。 ランタンへキサポライド (LaB6) の力ソードは、 その先端を円錐形状 にしたものが使用されているが、 円錐の先端を切り落とした円錐台形状のものを 使用してもよい。 円錐台先端の直径は 1 00 程度である。 他の方式としては 電界放出型の電子線源あるいは熱電界放出型の電子線源が使用されているが、 本 発明の場合のように比較的広い領域 (例えば 1 00 X 25〜400 Χ 1 00 ιη 2) を大きな電流 (1 iA程度) で照射する場合は L a B6を用いた熱電子源が最 適である。なお、 S EM方式では一般に熱電界放出型電子線源が使用されている。 もちろん、 本実施例において、 熱電子線源の代わりに、 電界放出型の電子線源あ るいは熱電界放出型の電子線源を使用してもよい。 熱電界放出電子線源とは、 電 子放出材に高電界をかけることにより電子を放出させ、 更に電子線放出部を加熱 することにより、 電子放出を安定させた方式のことである。
一次電子光学系は、 電子銃より照射される一次電子ビームを形成すると共に、 かかる一次電子ビームを所望の形、 例えば、 矩形、 又は円形 (楕円) に形成し、 このような矩形、 又は円形 (楕円) の一次電子ビ一ムをゥエーハ面上に照射する 部分を構成している。 一次電子光学系に設けられたレンズの条件を制御する事に より一次電子ビームのビームサイズや一次電子ビームの電流密度を制御できる。 また、 一次電子光学系と二次電子光学系との連結部に設けられた E X Bフィル夕 一 (ウィーンフィルター) により、 一次電子ビームはその方向が変えられゥエー 八に垂直に入射させることができる。
電子銃には、 更に、 ウェーネルト、 トリプルアノードレンズ、 及びガン絞りな どが設けられている。 L aB6で構成された力ソードから放出した熱電子は、 ゥ エーネルト、 トリブルアノ一ドレンズでガン絞り上にクロスォ一パー像として結 像させられる。
一次電子光学系には、 更に、 一次電子ビームのレンズへの入射角を適正化する 照明視野絞りと、 NA絞りとが設けられている。 照明視野絞りでレンズへの入射 角を適正化した一次電子ビームを一次系静電レンズを制御することによって、 回 転非対称の形で NA絞り上に結像させ、 その後ウェハ面上に面照射する。 一次系 静電レンズの後段は、 3段 4極子 (QL) と、 1段の開口収差補正用電極で構成 されている。 4極子レンズはァライメント精度が厳しいといった制約はあるが、' 回転対称レンズに比べ強い収束作用を持つ特徴があり、 回転対称レンズの球面収 差に相当する開口収差を開口収差補正電極に適切な電圧を印加するとで補正を行 うことができる。 これにより、 所定の領域に均一な面ビームを照射出来る。
二次電子光学系は、 対物レンズに相当する静電レンズ(CL)、 中間レンズ (T L) と、 視野絞り (FA) 位置と、 視野絞り位置に対して検出器側に設けられた 後段のレンズ (PL) とを備えている。 このようにして、 ウェハ上に照射された 電子ビームにより発生する二次元の二次電子画像は、 対物レンズに相当する静電 レンズ (CL:)、 中間レンズ (TL) により視野絞り位置で結像させられ、 後段の レンズ (PL) で拡大投影させられる。 この結像投影光学系が、 二次電子光学系 と呼ばれている。
ウェハにはマイナスのバイアス電圧 (減速電界電圧) が印加されていることが 好ましい。 減速電界は照射.ビームに対して減速の効果を持ち、 試料のダメージを 低減させるとともに、 静電レンズ (CL) とウェハ間の電位差で試料面上から発 生した二次電子を加速させ、 色収差を低減させる効果を持つ。 静電レンズ(CL) によって収束した電子は中間レンズ (TL) で視野絞り (FA) 上に結像させ、 その像を後段のレンズ (PL) で拡大投影し、 マイクロチャンネルプレート (M CP) 上に結像させる。 本光学系では静電レンズ CL一中間レンズ TL間にニュ —メリカルアパーチャ N A (N e ume r i c a 1 A e r t u r e) を配置 し、 これを最適化することで軸外収差低減が可能な光学系を構成している。
また、 電子光学系の製造上の誤差や、 EXBフィルター (ウィーンフィル夕一) を通過することによって発生する像の非点収差や異方性倍率を補正するため、 静 電 8極子のスティグメータ (ST I G) を配置し補正を行い、 軸ズレに対しては 各レンズ間に配置した偏向器 (〇P) で補正を行う。 これにより視野内における 均一な分解能での写像光学系が達成できる。
EXB偏向器は、 電極と磁極を直交方向に配置し、 電界と磁界を直交させた電 磁プリズム光学系のユニットである。 電磁界を選択的に与えると、 一方向からそ の場に入射する電子ビームは偏向させられ、 その反対方向から入射する電子ビー ムは、 電界から受ける力と磁界から受ける力の影響が相殺される条件 (ウィーン 条件) を作ることが可能で、 これにより一次電子ビームは偏向され、 ウェハ上に 垂直に照射し、 二次電子ビームは検出器に向け直進することができる。
二次光学系で結像されるウェハからの二次電子画像は、 まずマイクロチャンネ ルプレート (M C P ) で増幅されたのち、 蛍光スクリーンにあたり光の像に変換 される。 M C Pの原理としては直径 6〜2 5 m、 長さ 0 . 2 4〜1 . 0蒯とい う非常に細い導電性のガラスキヤビラリを数百万本束ね、 薄い板状に整形したも ので、 所定の電圧印加を行うことで、 一本一本のキヤビラリが、 独立した二次電 子増幅器として働き、 全体として二次電子増幅器を形成する。
この検出器により光に変換された画像は、 真空透過窓を介して大気中に置かれ た又は真空フィードスルーを兼ねたリレー光学系で、 T D I一 C C D上に 1対 1 で投影される。. 図面の簡単な説明
図 1は、 本発明の一実施例に係る写像投影方式の電子線検査装置の全体構成図 を示す。
図 2は、 電子線検査装置における E X B偏向器(すなわち、 電子ビーム偏向部) の詳細な構成を示した横断面図を示す。
図 3は、 図 2における A— A線に沿う縦断面構造を示した断面図を示す。
図 4は、 複数の一次電子線を二次元に走査しながら試料表面の観察領域を照射 する、 写像投影方式の電子線検査装置の全体構成図を示す。
図 5は、 図 4に示す装置において一次電子線の照射方法を説明するための図で ある。
図 6は、 本発明の別の実施例に係る電子線検査装置を模式的に示す構成図であ る。
図 7は、 図 6に示す電子線検査装置の制御装置をより詳細に示すプロック図で ある。
図 8は、'ウェハの検査の手順を示す図である。
図 9は、 ラインセンサの画素の配列を示す図である。 図 1 0は、 関連する技術の写像投影型電子線検査装置の構成を示す図である。 図 1 1は、 本発明のさらに別の実施例に係る写像投影型の電子線検査装置を示 す構成図である。
図 1 2は、 本発明の別の実施例に係る写像投影型の電子線検査装置を概略的に 示す図である。
図 1 3 (A) 及び図 1 3 (B ) は、 図 1 2に示す磁気レンズの動作原理を説明 する図である。
図 1 4は、 図 1 2に示す磁気レンズの配置例を示す図である。
図 1 5は、 図 1.2に示す磁気レンズの他の配置例を示す図である。
図 1 6は、 本発明の別の実施例に係る電子線検査装置の概略構成を示す図であ る。
図 1 7は、 図 1 6の電子線検査装置の鏡筒を変形し複数としたマルチ鏡筒の配 置図である。
図 1 8は、 各電極及び試料に、 それぞれ電圧を与えた時の軸上ポテンシャルの 分布を示すグラフ。
図 1 9は、 本発明の電子線検査装置としての荷電ビーム検査装置に設けられる 差動排気構造の一実施例を示した図である。
図 2 0は、 差動排気構造の変形例を示す図であって、 高純度不活性ガスの噴出 口の向きを外周側に向けて形成した状態を示す図である。
図 2 1は、 差動排気構造の他の変形例を示す図であって、 差動排気構造に真空 室を設けた図である。
図 2 2 ( a ) は、 差動排気構造の他の変形例を示す図であって、 ステージに高 さ調整用の部材を設けた図であり、 鏡筒がステージの一端部の近傍に位置してい る状態を示す。
図 2 2 ( b ) は、 図 2 2 ( a ) と同様のステージに高さ調整用の部材を設けた 図であり、 鏡筒がステージの他端部の近傍に位置している状態を示す。
図 2 3は、 本発明による荷電ビーム装置の変形例であって、 ステージに高さ調 整機構を設けた図である。
図 2 4は、 差動排気構造の他の変形例を示す図であって、 ステージにより移動 される試料の可動範囲を不活性ガスが充満した容器で覆った状態 ¾示す図である c 図 2 5は、 差動排気構造の他の変形例を示す図であって、 ステージが移動する 範囲の全体を不活性ガスが充満した容器で覆った状態を示す図である。
図 2 6は、 差動排気構造の他の変形例を示す図であって、 不活性ガスが充満し た容器に真空室を連接した状態を示す図である。
図 2 7は、 差動排気.構造の他の変形例を示す図であって、 真空排気経路の一つ の実施形態を図解的に示した図である。
図 2 8は、 差動排気構造の他の変形例を示す図であって、 真空排気経路の別の 変形例を図解的に示した図である。
図 2 9は、 差動排気構造の他の変形例を示す図であって、 不活性ガスの循環経 路を図解的に示した図である。
図 3 0は、 本発明の別の実施例に係る荷電ビーム装置をウェハ欠陥検査装置に 適用した図である。
図 3 1は、 本発明による半導体デバイスの製造方法の一実施例を示すフローチ ヤー卜である。
図 3 2は、 図 3 1のウェハプロセッシング工程の中核をなすリソグラフィ一ェ 程を示すフローチャートである。
図 3 3は本発明の検査手順を示すフローチャートである。 発明を実施するための最良の形態
次ぎに、写像投影方式の主な機能の関係とその全体像をより明瞭にするために、 本願発明の一実施例に係る写像投影方式の電子線検査装置を具体的に説明する。 図 1に本実施の形態による写像投影方式の電子線検査装置の全体構成図を示す。 但し、 一部構成を省略して図示してある。
図 1において、 電子線検査装置は一次コラム 1、 二次コラム 2およびチャンバ 一 3を有している。 一次コラム 1の内部には、 電子銃 4が設けられており、 電子 銃 4から照射される電子ビーム (一次ビーム) の光軸上に一次光学系 5.が配置さ れる。 また、 チャンパ一 3の内部には、 ステージ 6が設置され、 ステージ 6上に は試料 Wが載置される。 一方、 二次コラム 2の内部には、 試料 Wから発生する二次ビームの光軸上に、 力ソードレンズ 8、 二ュ一メ二カルアパーチャ 9、 ウィーンフィルタ 1 .0、 第 2 レンズ 1 1、 フィールドアパーチャ 1 2、 第 3レンズ 1 3、 第 4レンズ 1 4およ び検出器 1 5が配置される。 なお、 ニューメニカルアパーチャ 9は、 開口絞りに 相当するもので、 円形の穴が開いた金属製 (M o等) の薄板である。 そして、 開 口部が一次ビームの集束位置および力ソードレンズ 8の焦点位置になるように配 置されている。 したがって、 力ソードレンズ 8とニューメニカルアパーチャ 2 9 とは、 テレセントリックな電子光学系を構成している。
一方、 検出器 1 5の出力は、 コントロールユニット 1 6に入力され、 コント口 ールュニット 1 6の出力は、 C P U 1 7に入力される。 C P U 1 7の制御信号は、 一次コラム制御ュニット 1 8、 二次コラム制御ュニット 1 9およびステージ駆動 機構 7に入力される。 一次コラム制御ユニット 1 8は、 一次光学系 5のレンズ電 圧制御を行い、 二次コラム制御ユニット 1 9は、 力ソードレンズ 8、 第 2レンズ 1 1ないし第 4レンズ 1 4のレンズ電圧制御およびウィーンフィルタ 1 0に印加 する電磁界制御を行う。
また、 ステージ駆動機構 7は、 ステージの位置情報を C P U 1 7に伝達する。 さらに、 一次コラム 1 8、 二次コラム 1 9、 チャンバ一 3は、 真空排気系 (不図 示) と繋がっており、 真空排気系のターボポンプ等により排気されて、 内部は真 空状態を維持している。
電子銃 4からの一次ビームは、一次光学系 5によってレンズ作用を受けながら、 ウィーンフィル夕 1 0に入射する。 ここでは、 電子銃のチップとしては、 矩形陰 極で大電流を取り出すことができる L a B 6を用いる。 また、 一次光学系 5は、 非回転対称の四重極または八重極の静電 (または電磁) レンズを使用する。 これ は、 X軸、 Y軸各々で集束と発散とを引き起こすことができる。 このレンズを 2 段、 3段で構成し、 各レンズ条件を最適化することによって、 照射電子を損失す ることなく、 試料面上のビーム照射領域を、 任意の矩形状、 または楕円形状に整 形することができる。
具体的には、 静電レンズを用いた場合、 4つの円柱ロッド (四重極) を使用す る。 対向する電極同士を等電位にし、 互いに逆の電圧特性を与える。 なお、 四重極レンズとして円柱形ではなく、 静電偏向器で、 通常使用される円 形板を 4分割した形状のレンズを用いてもよい。 この場合レンズの小型化を図る こ.とができる。 一次光学系 5を通過した一次ビームは、 ウィーンフィルタ 1 0の 偏向作用により軌道が曲げられる。 ウィーンフィルタ 1 0は、 磁界と電界を直交 させ、 電界を E、 磁界を B、 荷電粒子の速度を Vとした場合、 E == v Bのウイ一 ン条件を満たす荷電粒子のみを直進させ、 それ以外の荷電粒子の軌道を曲げる。 一次ビームに対しては、 磁界による力 F Bと電界による力 F Eとが発生し、 ビー ム軌道は曲げられる。 一方、 二次ビームに対しては、 力 F Bと力 F Eとが逆方向 に働くため、 互いに相殺されるので二次ビームはそのまま直進する。
一次光学系 5のレンズ電圧は、 光源像がニューメニカルアパーチャ 9の開口部 で結像するように、 予め設定されている。 すなわち、 光学顕微鏡でいうケーラー 照明が実現される。 この二.ユーメニカルァパ一チヤ 9は、 装置内に散乱する余計 な電子ビームが試料面に到達することを阻止し、'試料 Wのチヤージアツプゃ汚染 を防いでいる。
一次ビームが試料に照射されると、 試料のビーム照射面からは、 二次ビームと して、 二次電子、 反射電子または後方散乱電子が発生する。
二次ビームは、 力ソ一ドレンズ 8によるレンズ作用を受けながら、 レ ズを透 過する。
ところで、 カソードレンズ 8は、 3枚の電極で構成されている。 一番下の電極 は、 試料 Wに対して、 正の電界を形成し、 2次電子を引き込み、 効率よくレンズ 内に導くように設計されている。
また、 レンズ作用は、 カゾードレンズ 8の 1番目、 2番目の電極に電圧を印加 し、 3番目の電極をゼロ電位にすることで行われる。 一方、 ニューメニカルアバ 一チヤ 9は、 力ソードレンズ 8の焦点位置、 すなわち試料 Wからのパックフォー カス位置に配置されている。 したがって、 視野中心外 (軸外) から出た電子ビー ムの光束も、 平行ビームとなって、 このニューメニカルアパーチャ 9の中心位置 を、 けられが生じることなく通過する。
なお、 ニューメニカルアパーチャ 9は、 二次ビームに対しては、 第 2レンズ 1 1ないし第 4レンズ 1 4のレンズ収差を抑える役割を果たしている。 ニューメニ カルアパーチャ 9を通過した二次ビームは、 ウィーンフィルタ 1 0の偏向作用を 受けずに、 そのまま直進して通過する。
二次ビームを、 力ソードレンズ 8のみで結像させると、 倍率の色収差及び歪曲 収差が発生しやすい。 'そこで、 第 2レンズ 1 1と合わせて、 1回の結像を行わせ る。 二次ビームは、 力ソードレンズ 8および第 2レンズ 1 1により、 フィールド アパーチャ 1 2上で中間結像を得る。 この場合、 通常、 二次光学系として必要な 拡大倍率が、 不足することが多いため、 中間像を拡大するためのレンズとして、 第 3レンズ 1 3、 第 4レンズ 1 4を加えた構成にする。 二次ビームは、 第 3レン ズ 1 3、 第 4レンズ 1 4各々により拡大結像し、 ここでは、 合計 3回結像する。 なお、 第 3レンズ 1 3と第 4レンズ 1 4とを合わせて 1回 (合計 2回) 結像させ てもよい。
また、 第 2レンズ 1 1ないし第 4レンズ 1 4はすべて、 ュニポテンシャルレン ズまたはァインツェルレンズとよばれる回転軸対称型のレンズである。 各レンズ は、 3枚電極の構成で、 通常は外側の 2電極をゼロ電位とし、 中央の電極に印加 する電圧で、 レンズ作用を行わせて制御する。 また、 中間の結像点には、 フィー ルドアパーチャ 1 2が配置されている。 フィールドアパーチャ 1 2は光学顕微鏡 の視野絞りと同様に、 視野を必要範囲に制限しているが、 電子ビームの場合、 余 計なビームを、 後段の第 3レンズ 1 3および第 4レンズ 1 4と共に遮断して、 検 出器 1 5のノイズ発生や汚染を防いでいる。 なお、 拡大倍率は、 この第 3レンズ 1 3および第 4レンズ 1 4のレンズ条件(焦点距離)を変えることで設定される。 二次ビームは、 二次光学系により拡大投影され、 検出器 1 5の検出面に結像す る。 検出器 1 5は、 電子を増幅するマイクロチャンネルプレート (M C P ) と、 電子を光に変換する蛍光板と、 真空系と外部との中継および光学像を伝達させる ためのレンズやその他の光学系と、 撮像素子 (C C D等) とから構成される。 二 次ビームは、 M C P検出面で結像し、 増幅され、 蛍光板によって電子は光信号に 変換され、 撮像素子によって光電信号に変換される。
コントロールユニット 1 6は、 検出器 1 5から試料の画像信号を読み出し、 C P U 1 7に伝達する。 C P U 1 7は、 画像信号からテンプレートマッチング等に よってパターンの欠陥検査を実施する。 また、 ステージ 6は、 ステージ駆動機構 7により、 X Y方向に移動可能となっている。 C P U 1 7は、 ステージ 6の位置 を読み取り、 ステージ駆動機構 7に駆動制御信号を出力し、 ステージ 6を駆動さ せ、 順次画像の検出、 検査を行う。
さらに、 二次ビームに対しては、 試料 Wからの全ての主光線が、 力ソードレン ズ 8に垂直 (レンズ光軸に平行) に入射し、 ニューメニカルアパーチャ 9を通過 するので、 周辺光もけられることがなく、 試料周辺部の画像輝度が低下すること がない。 また、 電子が有するエネルギーのばらつきによって、 結像する位置が異 なる、 いわゆる倍率色収差が起こる (特に、 二次電子は、 エネルギーのばらつき が大きいため、 倍率色収差が大きい) が、 力ソードレンズ 8の焦点位置に、 ニュ ーメニカルアパーチャ 9を配置することで、 この倍率色収差を抑えることができ る。
また、 拡大倍率の変更は、 二ュ一メ二カルアパーチャ 9の通過後に行われるの で、 第 3レンズ 1 3、 第 4レンズ 1 4のレンズ条件の設定倍率を変えても、 検出 側での視野全面に均一な像が得られる。 なお、 本実施例では、 むらのない均一な 像を取得することができるが、 通常、 拡大倍率を高倍にすると、 像の明るさが低 下するという問題点が生じた。 そこで、 これを改善するために、 二次光学系のレ ンズ条件を変えて拡大倍率を変更する際、 それに伴って決まる試料面上の有効視 野と、 試料面上に照射される電子ビームとを、 同一の大きさになるように一次光 学系のレンズ条件を設定する。
すなわち、 倍率を上げていけば、 それに伴って視野が狭くなるが、 それと同時 に電子ビームの照射エネルギー密度を上げていくことで、 二次光学系で拡大投影 されても、 検出電子の信号密度は、 常に一定に保たれ、像の明るさは低下しない。 また、 本実施例の電子線検査装置では、 一次ビームの軌道を曲げて、 二次ビ一 ムを直進させるウィーンフィルタ 1 0を用いたが、 それに限定されず、 一次ビー ムの軌道を直進させ、 二次ビームの軌道を曲げるウィーンフィルタを用いた構成 の検査装置でもよい。 また、 本実施例では、 矩形陰極と四極子レンズとから矩形 ビームを形成したが、 それに限定されず、 例えば円形ビームから矩形ビームゃ楕 円形ビームを作り出してもよいし、 円形ビームをスリッ卜に通して矩形ビームを 取り出してもよい。 ウィーンフィルタすなわち E X B偏向器としての電子ビーム偏向部 1 0の詳細 な構造について、 図 2、 及び図 2の A— A線に沿う縦断面を示した図 3を用いて 説明する。 図 2に示すように、 電子ビーム偏向部の場は、 写像投影光学部 (試料 に電子ビームが照射されて、 試料表面に応じて発生した二次電子及び反射電子の 一次元像又は二次元像を電子ビーム検出器上に結像させる部分) の光軸に垂直な 平面内において、 電界と磁界とを直交させた構造、 即ち E X B構造とする。
ここで、 電界は凹面状の曲面を持つ電極 1 0— 1及び 1 0 — 2により発生させ る。 電極 1 0— 1及び 1 0 - 2が発生する電界は、 それぞれ制御部 1 0 a及び 1 0 dにより制御される。 一方、 電界発生用の電極 1 0— 1及び 1 0— 2と直交す るように、 電磁コイル 1 0— 1 a及び 1 0— 2 aを配置させることにより、 磁界 を発生させている。尚、電界発生用の電極 1 0— 1、 1 0— 2は点対象である。(同 心円でも構わない。)
この場合は磁界の均一性を向上させるために、 平行平板形状を有するポールピ ースを持たせて、 磁路を形成している。 A— A線に沿う縦断面における電子ビ一 ムの挙動は、 図 3に示されるようになる。 照射された電子ビーム 1 aは、 電極 1 0 - 1及び 1 0— 2が発生する電界と、 電磁コイル 1 0— 1 a及び 1 0— 2 aが 発生する磁界とによって偏向された後、 試料面上に対して垂直方向に入射する。 ここで、照射電子ビーム 1 aの電子ビーム偏向部 1 0への入射位置及び角度は、 電子のエネルギーが決定されると一義的に決定される。 さらに、 二次電子 2 aが 直進するように、 電界及び磁界の条件、 即ち v B = Eとなるように電極 1 0— 1 及び 1 0 — 2が発生する電界と、 電磁コイル 1 0— 1 a及び 1 0— 2 aが発生す る磁界とを、 それぞれの制御部 1 0 a及び 1 0 d、 1 0 c及び 1 0 bが制御する. ことで、 二次電子は電子ビーム偏向部 1 0を直進して、 写像投影光学部に入射す る。 ここで、 Vは電子 2 aの速度 (mZ s ) , Bは磁場 (T)、 Εは電界 (V/m) であ- 3。
次ぎに、 写像投影方式を利用した欠陥検査装置の別の実施例を説明する。
写像投影方式を利用した欠陥検査装置においては、 ①電子線を一括照射するた めに、 試料表面上でチャージアップしやすいこと、 ②本方式で得られる電子線電 流に限界が有り (1 . 6 A程度) 検査速度向上の妨げとなっているという問題 点があった。
本実施例では、 一次電子線を複数とし、 前記複数の一次電子線を二次元 (X— Y方向) に走査しながら (すなわち、 ラスタ一スキャンしながら) 試料表面の観 察領域を照射し、 二次電子光学系に写像投影方式を採用することによって解決す ることができる。 この実施例では、 前述の写像投影方式の利点を持つとともに、 この写像方式の課題である①電子線を一括照射するために、 試料表面上でチヤ一 ジアップしやすいこと、 ②本方式で得られる電子線電流に限界が有り (1 . ら β Α程度) 検査速度向上の妨げとなっていること、 については、 複数の一次電子線 を走査することにより解決できる。 即ち、 電子線照射点が移動するので電荷が逃 げやすく、 チャージアップが減少する。 また、 複数の電子線の本数を増やすこと により、 容易に電流値を増加できる。 この実施例において、 例えば、 4本の一次 電子線を使う場合、 一本の電子線電流が 5 0 0 n A (電子線の径 1 0 ^ m) で合 計 2 Aが得られた。 1 6本程度には容易に一次電子線の数を増やすことが可能 であり、 この場合で 8 Aを得ることが原理的に可能である。 複数の一次電子線 を走査する場合、 当該複数の一次電子線による照射量が、 照射領域に均一になる ように照射することにより、 前記のようにラスタスキャンに限らず、 リサ一ジュ 図形などの他の形状の走査を行うことができる。 従って、 ステージの走査方向は 複数の電子線の走査方向に垂直である必要は無い。
この実施例に用いられる電子線源として、 熱電子線源 (電子放出材を加熱する ことにより電子を放出する方式) を使用することができる。 この場合も、 電子放 出 (ェミッタ) 材は L a B 6とすることが好ましい。 高融点 (高温での蒸気圧が 低い)で仕事関数の小さい材料であれば、他の材料を使用することも可能である。 複数の電子線を得るために、 2通りの方法を用いることができる。 一つは、 一本 のェミッタ (矣起が一つ)から一本の電子線引き出し、複数の穴のあいた薄板(開 口板) を通すことにより、 複数の一次電子線を得る方法である。 もう一つの方法 は、 一本のェミッタに複数の突起を形成してそこから直に複数の一次電子線を引 き出す方法である。 いずれの場合も電子線は突起の先端から放出されやすい性質 を利用している。 他の方式の電子線源、 例えば熱電界放出型の電子線も使用可能 である。 熱電界放出電子線源とは、 電子放出材に高電界をかけることにより電子 を放出させ、 更に電子線放出部を加熱することにより、 電子放出を安定させた方 式のことである。
次に、 複数の一次電子線を二次元 (X— Y方向) に走査しながら (すなわち、 ラスタースキャンしながら) 試料表面の観察領域を照射し、 二次電子光学系に写 像投影方式を採用した上記実施例を図 4及び図 5を参照しながらより詳細に説明 する。
下記実施例では、 複数の一次電子線を得る方法として、 一本のェミッタに複数 の突起を形成してそこから直に複数の一次電子線を引き出す方法を採用している。 図 4に示されるように、 電子銃 2 0から放出された 4本の電子線 2 1 (2 1 - 1、 2 1 - 2, 2 1— 3、 2 1 - 4) は開口 5 0— 1で整形され、 2段のレンズ 22— 1、 2 2— 2でウィーンフィルタ 2 3の偏向中心面に 1 O ^mX l 2 τη の楕円状に結像され、 図の紙面垂直方向に偏向器 2 6によりラスタースキャンさ れ、 4本の電子線全体として lmmx 0. 2 5 mmの矩形領域を均一にカバーす るように結像される。 ウイーンフィルタとしての EXB 2 3で偏向された複数の 電子線はニューメリカルアパーチャ一 NAでクロスォ一パーを結び、 レンズ 2 4 で 1Z5に縮小され試料 Wに 2 0 0 ^ X 5 0 mをカバーし、 かつ試料面に垂直 になるように照射、 投影される。 試料から放出されたパターン画像 (試料像 F) の情報を持った 4本の 2次電子線 2 5は、 レンズ 2 4、 2 7 - 1 , 2 7— 2で拡 大され、 MCP 2 8— 1上に全体として 4本の電子線 2 5で合成された矩形画像 (拡大投影像 F ') として結像する。 この二次電子線 2 5による拡大投影像 F' は、 MCP 2 8— 1で 1万倍に増感され、 蛍光部 2 8— 2により光に変換され、 TD I (T i me D e l a y I n t e g r a t i o n) - C CD 2 9で試料の連 続移動速度に同期された電気信号となり、 画像表示部 3 0で連続した画像として 取得され、 CRT上等に出力した。
電子線照射部は試料表面をできるだけ均一に、 かつ照射むらを少なくして、 矩 形状に電子線で照射 "'る必要があり、 また、 スループットをあげるためにはより 大きな電流で照射領域を電子線照射する必要がある。 従来の電子線照射むらは士 1 0 %程度であり画像のコントラストむらが大きく、 また、 電子線照射電流は照 射領域において 5 0 0 nA程度と少ないために、 高いスループットが得られない という問題があった。 また、 走査型電子線顕微鏡 (SEM) 方式に比べて、 本方 式は広い画像観察領域を一括して電子線照射するためにチャージアップによる結 像障害が生じゃすいという問題があつた。
本実施例の一次電子線照射方法を図 5に示す。 一次電子線 21は、 4本の電子 線 21— 1、 21— 2、 21— 3、 21—4で構成されている。、 それぞれのビー ムは 2 ^mX 2. 4 mの楕円状しており、それぞれ 1本当り 200 ΠΙΧ 12. 5 mの矩形領域をラスタ一スキャンし、 それらが重なり合わないように足し合 わせて全体として 200 mX 50 mの矩形領域を照射する。 21— 1のビ一 ムは 21 - 1 ' へ有限の時間で到達し次にピームスポット径分 (10 xm) ずれ た 21— 1の直下 (21— 2方向) にほとんど時間損失なしに戻り、 再度前記と 同じ有限の時間で 21—1〜21—1' に平行に 21— 1 ' の直下 ( 21— 2 ' 方向) に移動し、 これを繰り返して図の点線で示す矩形の照射領域の 1Z4 (2 00 mx 12. 5 /m) を走査した後はじめの点 21— 1に戻りこれを高速に 繰り返す。 他の電子線 21— 2〜21— 4も電子線 21— 1と同様に同じ速度で 走査を繰り返し、 全体として図の矩形の照射領域 (200 mX 50 m) を均 一に高速に照射する。 均一に照射できれば、 前記のラスタ一スキャンでなくても 良い。 例えばリサ一ジュ形を描くように走査しても良い。 従って、 ステージの移 動方向は図に示す方向 Aである必要は無い。 即ち、 ステージの移動方向はスキヤ ン方向 (図の横方向の高速走査方向) に垂直である必要は無い。 本実施例では電 子線照射むらは土 3 %程度で照射できた。 照射電流は 1本の電子線当たり 250 n Aで試料表面で全体として、 4本の電子ビームで 1. 0 Aを得ることができ た (従来の 2倍)。 電子線の本数を増やすことにより、 電流を増加でき、 高スルー プットを得る.ことができる。 また、 照射点が従来に比べて小さく (面積で約 1ノ 80) また移動しているのでチャージアップは従来の 1/20以下に抑えること ができた。
図中には示していないが、 本装置には、 レンズの他に、 制限視野絞り、 電子線 の軸調整のための 4極またはそれ以上の極数を有する偏向器(ァライナー)、非点 収差補正器(スティグメータ)、 さらにビーム形状を整形する複数の 4重極レンズ (4極子レンズ) 等電子線の照明、 結像に必要なユニットを備えている。 次に、 写像投影方式の電子線検査装置の別の実施例を説明する。 当該実施例に 係る電子線検査装置は、 試料 (例えばウェハ若しくはマスク) 特に、 最小線幅が
0 . 1 m 以下のデバイスパターンを有するウェハ等の欠陥検査を、 高いスル一 プットでかつ高い信頼性で行えるようにしたものである。
まず、 本実施例の概要について説明する。
本実施例に係る写像投影方式の電子線検査装置は、 電子銃から放出した電子線 を矩形の電子ビームに成形し、 その電子ビームをウェハの表面に照射し、 ウェハ 面から放出された二次電子の像を検出器に結像させる。 このような写像投影方式 の欠陥検査用の電子線検査装置は、 走査型電子顕微鏡のビームスポット径よりも 大きい矩形状又は面状のビームを使用し、 その照射領を一括して結像させ、 画像 を取得する。 従って、 走査型に比べて高いスループット化の要求を満足させるこ とができる。 また、 この装置においては、 ステー を連続的に移動させてウェハ 全面を走査することによりウェハから放出された二次電子を蛍光板で光学的像に 変換し、 変換された像をラインセンサ (T D I— C C D) で撮像する。
このようなラインセンサでは、 図 9に示されているように、 直交した 2軸の 1 軸方向 (図で左右方向) に C 1ないし C nのライン状に並べられている n個の C C D画素列が他の軸方向 (図で上下方向) に R OW— 1ないし R OW— mの m個 並べられて、 C C Dアレイを構成している。 各 C C D画素列に蓄積された電荷は 外部からの一垂直クロック信号により一度に垂直方向へ C C D—画素分だけ転送 される (即ち電荷が矢印 Eの方向に移動する) 。 ある時点で R OW— 1に撮像さ れた n個の画素のライン画像は、 クロック信号が与えられたときに R OW— 2に 転送される。 続けてクロック信号が与えられると R OW— 2に転送されたライン 画像は垂直方向に更に一画素分だけ移動し R OW— 3に転送される。 こようにし て画像の移動に追従して R OW— mまで電荷の転送が繰り返し行われて最終的に 水平出力レジス夕から画像データとしてラインセンサの外部に取り出される。 しかしながら、 ラインセンサの電荷移動時間 (以下、 ラインレートと呼ぶ) を 一定として撮像を行うと、 ステージの移動速度の変動に伴って走査型電子顕微鏡 方式による欠陥検査装置では問題とならないラインセンサの電荷移動の非同期に 起因した像ぼけを生じる。 更に、 ウェハ全面検査に伴う焦点機構により電子光学 系の倍率変動が生じ、 ウェハ上の画素サイズが変化するために最適ラインレート が変動し、 それにより同様な像ぼけが生じる。
本実施例の一つの目的は、 ラインセンサのラインレートを常にステージの移動 速度と同期させ、 電荷移動の非同期により生じる像ぼけを回避することができる 欠陥検査用の電子線検査装置を提供することである。
本実施例の他の目的は、 電子光学系の倍率変動に伴う像ぼけを回避することが できる欠陥検査用の電子線検査装置を提供することである。
そこで、 本実施例に係る写像投影方式の欠陥検査用の電子線検査装置では、 電 子銃から放出された電子線を所望の形状に成形し、 該成形された電子ビ一ムを検 査されるべき試料面上に照射する一次電子光学系と、 前記試料から放出された二 次電子を結像する二次電子光学系と、 前記結像された二次電子像を蛍光板を介し て光学像に変換し、 ラインセンサで検出させる検出器とを備えた写像投影型の欠 陥検査用の電子線検査装置において、 前記ラインセンサに設けられた画素列にお' いて撮像されたライン画像を転送するときの電荷移動時間を、 試料を移動させる ステージの移動速度に連動して制御する制御装置を設けている。 ステージの移動 速度を検出し、 最適なラインレートを算出してフィードパックすることにより、 ラインセンサのラインレートを常にステージの移動速度と同期させ、 電荷移動の 非同期により生じる像ぼけを回避することができる。
また、 本実施例に係る電子線検査装置の一つ変形例では、 前記ラインセンサの 電荷移動時間を前記電子光学系の倍率の変動に連動して制御するように構成され ている。 それにより、 ウェハ全面検査に伴う焦点機構により電子光学系の倍率変 動が生じた場合でも、 電荷移動の非同期により生じる像ぼけを回避することがで さる。
また、他の変形例において、前記二次電子光学系の二次電子を倍増するために、 前記蛍光板の前段にマイクロチヤンネルプレートを配置している。
また、 他の変形例において、 前記ステージの位置を測定するためのレーザ干渉 計を備えている。 それにより、 ステージの位置情報をレーザ干渉計から検出し、 ステージの移動速度から最適なラインレートを算出してフィ一ドバックすること ができ、 ラインセンサのラインレートを常にステージの移動速度と同期させ、 電 荷移動の非同期により生じる像ぼけを回避することができる。
以下図面を参照して、 本実施例に係る写像投影方式の欠陥検査用の電子線検査 装置をより具体的に説明する。
図 6において、 本実施例の欠陥検査用の電子線検査装置 1 0 0 1が模式的に示 されている。 この欠陥検査用の電子線検査装置 1 0 0 1ば、 電子銃から放出され た電子線を所望の形状 (例えば、 矩形、 若しくは楕円形等) に成形し、 成形され た電子ビームを検査されるべき試料 (例えばウェハ若しくはマスク等、 本実施例 においては以下ウェハと呼ぶ) Sの表面上に照射する一次電子光学系 1 0 0 2と、 ウェハ Sから放出された二次電子を検出器に拡大投影する二次電子光学系 1 0 0 3と、 二次電子を受けて光の像に変換し、 更に電気信号に変換する検出器 1 0 0 4と、 検出器 1 0 0 4を制御するための制御装置 1 0 0 5 (図 7 ) とを備えてい る。
一次電子光学系 1 0 0 2は、 電子線 1 0 2 1を放出する電子銃 1 0 2 2と、 電 子線 1 0 2 1を所定の断面形状のビームに成形する一次系静電レンズ 1 0 2 3と を備え、 それらは、 図 6に示すように、 ウェハ Sの表面に垂直な方向に対し一定 の角度を有していて、 電子銃 1 0 2 2を最上部にして順に配置されている。 一次 電子光学系 1 0 0 2は更に、 電界と磁界とが直交する場により電子ビームを偏向 すると共にウェハ Sからの二次電子を分離するための E X B分離器 Γ0 2 4と、 静電対物レンズ 1 0 2 5とを備え、 これらはウェハ Sの表面に垂直な方向に沿つ て配置されている。
二次電子光学系 1 0 0 3は、 E X B分離器1 0 2 4で分離されたウェハ Sから の二次電子 1 0 3 1の光軸 Aに沿って、 ウェハ Sの表面に対して垂直な方向に配 置されており、二次電子を拡大投影する二次系静電レンズ 1 0 3 2を備えている。 検出装置 1 0 0 4は、 M C P (マイク口チャンネルプレー卜) 1 0 4 1と、 二 次電子光学系からの二次電子を光の像に変換する蛍光板 1 0 4 2と、 該光の像を 検出するラインセンサ 1 0 4 3と、 検出されたウェハ画像情報を格納するメモリ — 1 0 4 4と、 ウェハ画像を表示する C R Tモニター 1 0 4 5とを備えている。 制御装置 1 0 0 5は、 図 7において示されているように、 ステ一ジの位置を測 定するレーザ一干渉計 1 0 5 1と、 レーザー干渉計 1 0 5 1からの位置信号を変 換する A_/D変換器 1 0 5 '2と、 レーザー干渉計 1 0 5 1からの位置信号に基づ いて最適ラインレートを演算し出力するラインレート制御部 1 0 5 3と、 ライン レート制御部 1 0 5 3からの出力信号を変換する D ZA変換器 1 0 5 4と、 ライ ンレート制御部 1 0 5 3からの信号に基づいてラインセンサー 1 0 4 3を.制御す' るラインセンサ一制御部 1 0 5 5とを備えている。
上記各構成要素は公知のものであってもよく、 それらの構造の詳細説明は省略 する。
上記構成の電子線検査装置 1 0 0において、 電子銃 1 0 2 2から放出された電 子は、 加速されて電子ビーム 1 0 2 1として一次系静電レンズ 1 0 2 3でその断 面形状が矩形状又は楕円状に成形される。 成形ざれた電子ビ一ムは、 E X B分離 器 1 0 2 4の偏向主面より僅かに上側で矩形又は楕円の像を結像するようにされ る。 E X B分離器 1 0 2 4に入射し結像したビーム像は、 そこでウェハ Sの表面 に垂直な方向に偏向され、 静電対物レンズ 1 0 2 5により縮小減速されてウェハ S上を照射する。
電子ビームの照射によってウェハ Sから放出された二次電子 1 0 3 1は、 静電 対物レンズ 1 0 2 5で収束され E X B分離器 1 0 2 4に入射される。 E X B分離 器 1 0 2 4により二次系静電レンズ 1 0 3 2の方向に向けられた二次電子線は、 二次系静電レンズ 1 0 3 2を通過し、 更に M C P 1 0 4 1上に拡大投影される。
M C P 1 0 1に入射した二次電子 1 0 3 1はそこで増倍されて、 蛍光板 1 0 4 2を照射する。 蛍光板 1 0 4 2に照射された二次電子 1 0 3 1はそこで光の像 に変換される。 この画像はラインセンサ 1 0 4 3により検出され、 電気信号に変 換される。 電気信号に変換されたウェハ画像データは、 光ファイバ一ケーブルを 介してウェハ画像情報としてパーソナルコンピュータのメモリ一 1 0 4 4に格納 される。 このウェハ画像情報は C R Tモニターに表示されて欠陥が検出される。 次に、 制御装置 1 0 0 5の作用について図 6及び図 7を参照して説明する。 検 査されるウェハ Sは X— Yステージ 1 0 0 6の上に配置されている。 上記で説明 したような方法でウェハを検査する塲合において、 X— Yステージ 1 0 0 6を一 定速度で Y方向に移動させ、 X—Yステージ駆動速度とウェハ上のピクセルサイ ズから算出されるラインレートを定数としてラインセンサ制御部 1 0 5 5に設定 し、 .ラインセンサ 1 0 4 3の画像を C R Tモニター 1 0 4 5に表示させる。 同期 がとれていない場合、 X—Yステージの速度変動と同期したステージ走査方向に 垂直なステージ速度とラインレートとの非同期に起因する縞模様が数本生成され て、 像ぼけが生じることとなる。
そのような縞模様による像ぼけを解消するべく、 制御装置 1 0 0 5は以下のよ うな制御を行う。 X— Yステージ 1 0 0 6の移動位置はレーザー干渉計で測定さ れ、 そのシリアル出力信号はクロック周波数 2 0 0メガヘルツ、 1 6ピットで A /D変換器 1 0 5 2によりデジタル信号に変換され、 現在のステージの位置情報 X tがラインレー卜制御部 1 0 5 3に出力される。 それと共に、 ラインレート制 御部 1 0 5 3には 1サイクル前の位置情報 X t — 1及び遅延時間も入力される。 ラインレート制御部 1 0 5 3は、 これらの位置情報と遅延時間からステージの速 度成分を算出し、 更に、 ステージの速度成分及びウェハ上の画素サイズから最適 ラインレートの計算を行い、 それらの情報信号を出力する。 この出力信号はクロ ック周波数 2 0 0メガヘルツ、 1 6ピットで D ZA変換器 1 0 5 4でアナログ信 号に変換され、 ラインセンサ制御部 1 0 5 5に入力される。 ラインセンサ 1 0 4 3のラインレートはラインセンサ制御部 1 0 5 5からの信号により制御される。 ラインセンサ制御部 1 0 5 5のコマンドによりラインセンサ 1 0 4 3のラインレ ートを更新して、 画像の像ぼけを回避することが可能となる。 この場合、 X— Y ステージの振動周期が数マイクロ秒より十分大きいことに対して、 入出力を含む 制御装置 1 0 0 5全体の時間遅れは十分に小さい。
本実施例による上記電子線検査装置を使用してウェハの実際の検査を行った。 図 8において、 約 1 3 O mm X 1 3 O mmのウェハ検査領域 S 1の左上の検査開 始点 S 2をまず電子ピ一ム 1 0 2 1の照射領域の中心に移動させた後、 X— Yス テージ 1 0 0 6を + Y方向に 1 O mm/ s e cで移動しながらウェハの検査を行 つた。 従って、 ウェハ検査領域 S 1は矢印 Bの方向に検査される。 次に、 X— Y ステージ 1 0 0 6を一 X方向に移動させた後、 — X方向に 5 0 0ミクロンほどス テツプ移動させる。 従って、 ウェハ検査領域 S 1は矢印 Cの方向に移されること となる。 次に、 X— Yステージ 1 0 0 6を— Y方向に移動させながらウェハの検 查を行った。 この場合ウェハ検査領域 S 1は矢印 Dの方向に検査される。 このよ うにして、 走査を繰り返しながらウェハ検査領域 S 1の全面の検査を行った。 検査開始点 S 2から X— Yステージ 1 0 0 6を + Y方向にステージ速度 1 0 m m/ s e cで移動しながら走査を行ったときには、 ステージ速度変位 ± 1 0 %程 度の変動を周期 2 . 5ミリ秒毎に繰り返した。 この場合、 3 0 0キロへルツ付近 の周波数を有するラインレートは、 ラインレート制御部 1 0 5 3によってステー ジの速度変位と同期した振動を繰り返すことにより、 像ぼけのない良好な画像を 取得することができた。
また、 X— Yステージ 1 0 0 6を一 Y方向にステージ速度 1 O mm s e cで 移動しながら走査を行ったときも、 + Y方向に走査を行ったときと同様なステー ジ速度変位を示したが、 同様な制御を行うことにより良好な画像を取得すること ができた。
本実施例によれば、 以下のような効果を奏する。
( 1 )ラインセンサのラインレートを制御するラインセンサ制御部の外部入力に、 ラインレート制御部で算出した最適なラインレート信号をフィードパックするこ とにより、 ラインセンサのラインレートが X— Yステージの移動速度と常時同期 し、 ラインセンサの電荷移動遅延により生じる像ぼけを回避することができる。
( 2 ) ウェハ全面検査に伴う自動焦点機構により電子光学系の倍率変動が生じた 場合でも、 ラインセンサ制御部の外部入力に、 ラインレート制御部で算出した最 適なラインレート信号をフィードバックすることにより、 電荷移動の非同期によ り生じる像ぼけを回避することができる。
( 3 ) X— Yステージの振動、 又は X— Yステージ駆動モータの速度変動に伴う ラインセンサによる画像の像ぼけを能動的に制御できる。
次ぎに、 写像投影方式の電子線検査装置の別の実施例を説明する。 当該実施例 に係る電子線検査装置は、 多目的な電子線検査装置に関する。
まず、 本実施例に係る写像投影方式の電子線検査装置の関連技術について説明 する。
写像投影方式の電子線検査装置は、 一般に 1つの電子線照射部を有する。 この 場合、 電子線を試料表面に対し斜め方向から電子線を照射し試料表面に垂直の方 向から電子線を取り出すと、試料表面の凹凸により陰影が生じる問題があるので、 ウィーンフィルタ ( E X Bフィルタ) を用いて斜め方向の電子線を偏向し試料表 面に対し垂直方向に入射するようにし、 一方試料からの二次電子は、 試料表面か ら垂直方向に取出し、 偏向を受けないようにウィーンフィル夕の電界及び磁界の 強度を設定している。
図 1 0は、 関連する技術の写像投影型電子線検査装置装置 2 0 3 4の構成を示 すブロック図である。 この電子線検査装置 2 0 3 4は、 試料 2 1 1 0に 1次電子 線 2 1 0 2を照射する電子銃 2 0 0 1、 1次電子線 2 1 0 2の照射により試料表 面から発生した 2次電子 2 1 1 1を検出し画像信号を生成する検出部 2 1 1 4、 電極 2 1 0 6及び磁石 2 1 0 7を備えるウイーンフィルタ 2 1 0 5、 1次電子線 2 1 0 2を成形する第 1レンズ系 2 0 0 3及び第 2レンズ系 2 0 0 4、 ウィーン フィルタ 2 1 0 5と試料 2 1 1 0との間に配置される第 3レンズ系 2 1 0 8及び 第 4レンズ系 2 1 0 9、 ウィーンフィルタ 2 1 0 5と検出部 2 1 1 4との間に配 置される第 6レンズ系 2 1 1 2及び第 7レンズ系 2 1 1 3を備える。 この電子線 検査装置において、 ウィーンフィルタ 2 1 0 5は、 電子銃 2 0 0 1から照射され た一次電子線 2 1 0 2を偏向するが試料表面から放出される 2次電子 2 1 1 1は 直進するように設定され、 照射一次電子線 2 1 0 2を試料表面へ垂直に入射させ ている。 このような装置は、 例えば、 特開平 1 1— 1 3 2 9 7 5号公報に開示さ れる。
このような電子線検査装置は、 単機能であり、 ウェハのサイズが 8インチ、 1 2インチ、 1 5インチと大型化するにつれて電子線検査装置の床面積が大きくな り、 しかも種々の検査や測定を行う必要があるため、 クリ一ンルームに占める電 子線検査装置の床面積の割合が増加する問題を生じている。
本実施例一つの目的は、 1台の電子線検査装置で複数の機能を有する電子線検 査装置を提供し、 少ない電子線検査装置台数でプロセス途中のウェハの検査を可 能にすることである。 本実施例の他の目的は、 複数の機能を有する電子線検査装 置を備えることにより半導体製造設備のクリーンルームに占める電子線検査装置 の床面積の割合を減少することである。 本実施例のその他の目的及び利点は、 以 下の説明において明らかにされる。 ,
そこで、 本実施例に係る写像投影方式の欠陥検査用の電子線検査装置では、 1 次電子線を試料に照射し試料表面から発生する 2次電子を検出することにより試 料表面の状態を検査する多目的電子線検査装置であって、 1次電子線を発生する 電子源、 1次電子線を整形するレンズ系、 1次電子線を走査する光学系、 試料を 支持する試料ステージ、 2次電子を検出器へ向かわせる光学系、 及び 2次電子を 検出して画像信号を生成する検出器を有し、 試料表面の欠陥検出、 試料表面の欠 陥レビュー、 パターン線幅測定、 及びパターン電位測定の.内少なくとも 2つの機 能を有する。 2つの機能は、 試料表面の欠陥検出、 及び試料表面の欠陥レビュー であることができる。
本実施例に係る多目的電子線検査装置において、 試料表面の欠陥検出が画像信 号により得られる画像をパターンデータと比較するか又はダイ同士の画像を比較 することにより行われ、 試料表面の欠陥レビューがウェハ表面上における 1次電 子線の走査と同期させたモニタ一上のビームの走査により得られる画像観察によ り行われ、 パターン線幅測定がウェハ表面上における 1次電子線の走査がパター ンの短辺方向に行われそのときの 2次電子像により行われ、 パターン電位測定が 試料表面に最も近い電極に負の電位を与え試料表面の高い電位を持つパターンか ら放出される 2次電子を選択的に試料側へ追い戻すことにより行われることがで きる。
1次電子線を試料に照射し試料表面から発生する 2次電乎を検出することによ り試料表面の状態を検査する本実施例に係る多目的電子線検査装置は、 1次電子 線を矩形、 円形、 及ぴスポットの内の少なくとも 2種類に整形可能なレンズ系、 電子線を任意の方向に走査するための偏向系を有する 1次電子光学系、 試料から 放出される 2次電子を試料表面から検出器へ向わせる検出系を有し、 欠陥を自動 的に検出する機能及び欠陥の位置情報を出力する機能を有し、 更に上記欠陥の形 状を観察可能にする機能を有する。 検出系は、 写像投影光学系を含むものである ことができる。 また検出系は、 2次電子倍増管を含むものであり得る。
本実施例に係る多目的電子線検査装置の組合せは、 当該多目的電子線検査装置 を 1以上の列に複数台ずつ配置し、 それらの試料ステージを共通とし共通の試料 ステージ上の試料を検査可能とする。 また多目的電子線検査装置の各々が複数の 1次電子線を試料へ照射するものであることができる。 このような組合せにより 検査工程のスループット (単位時間当たりの検査量) を高めることがきる。
以下図面を参照して、 本実施例に係る欠陥検査用の多目的電子線検査装置をよ り具体的に説明する。
図 1 1は、 多目的電子線検査装置 2030を示す概要図である。 電子線検査装 置 20 30は、 一次電子線 210 2を発生する電子銃 200 1等を収容する鏡筒 20 2 8及び鏡筒 2028の下方を覆うシールドケース 2029を備える。 シー ルドケース 2029は、 ウェハ (試料) 2 1 10を収容レ、 鏡筒の下方と連通さ れ、 鏡筒内と同様に排気され真空にされる。 鏡筒 2028は、 その内部に、 電子 銃 20 0 1、 一次電子線 2 1 02をウェハ表面 2 1 1 0へ照射するためのコンデ ンサレンズ 2002、 2003、 2004、 矩形開口 20 0 5、 円形開口 20 0 6、 レンズ 2024、 2025、 偏向器 2007、 ウイーンフィルタ 2009、 一次電子線が照射されるウェハ表面 2 1 1 0から放出される 2次電子に作用する レンズ系 20 1 2、 20 1 0、 2 0 1 5、 201 7、 マイクロチャンネルプレー ト 20 1 8、 シンチレ一夕 20 1 8 ' 、 光ファイバ一束 2 0 1 9を収容する。 シ 一ルドケース 2029は、ステンレスで作った場合は別途磁気遮蔽が必要であり、 強磁性体で作った場合は磁気遮蔽を無くすことも可能にされる。
電子線検査装置 2030において、 電子銃 2001から放出された一次電子線 2 1 0 2は、 コンデンサレンズ 2 002、 2003、 20 04、 矩形開口 200 5、 円形開口 2006、 レンズ 2 024、 2025、 偏向器 2007、 ウィーン フィルタ 2009等を通り、 ウェハ (試料) 表面 1 1 1 0へ照射される。 電子銃 20 0 1から放出された一次電子線 2 1 02は、 コンデンサレンズ 2002、 2 0 0 3、 2 004で収束され、 矩形開口 2005又は後方の円形開口 2006を 一様な強度で照射する。 図 1 1の装置においては、 レンズ 2024及び 202 5 を調整することにより、 ウェハ表面 2 1 1 0上に、 矩形開口 20 05の縮小像、 円形開口 2006の縮小像又はクロスォ一パーの縮小像を選択形成可能にされる。 また偏向器 2007を作動させることにより、 ウェハ表面上で一次電子線 2 1 0 2を走査することが可能になっている。
図 1 1の電子線検査装置 203 0において、 ウェハ表面の画像は、 次のように 作成される。 即ち、 一次電子線 2 102が照射されたウェハ表面 21 1 0から放 出される 2次電子が、 レンズ系 2 0 1 2、 2 0 1 0、 2 0 1 5、 2 0 1 7を経て マイクロチャンネルプレート 2 0 1 8上に結像され、 その後面のシンチレ一夕 2 0 1 8 ' で光の像に変換され、 光ファイバ一束 2 0 1 9、 2 0 2 0で外部へ取出 され、 2次元 C C D 2 0 2 7により電気信号に変換され画像が作成される。 この 作成された画像をパターンデ一夕と比較 (自動パターン整合手順) したり、 隣り のダイ (すなわち、 同じゥェ一八上に配列された隣のチップ) の同じ場所で作成 された画像同志を比較する、 即ちダイ同志を比較する (欠陥比較処理機) ことに よって欠陥を自動的に検出し、 欠陥位置を出力 (欠陥後処理機) できる。 このよ うに、 電子線検査装置 2 0 3 0は、 写像投影方式の電子線検査装置の機能を有し ている。
図 1 1の電子線検査装置 2 0 3 0において、 モニター 2 0 2 3の輝度変調は次 のように行う。 即ち、 ウェハ表面 2 1 1 0に隣接するレンズ 2 0 1 2に特定の電 圧を与えることにより、 2次電子の軌道 2 0 1 4をウェハ表面の縁部に隣接する 2次電子倍増管 2 0 2 1へ向け、 2次電子を 2次電子倍増管 2 0 2 1で増幅し、 得られた電気信号を増幅器 2 0 2 2により増幅し、 モニター 2 0 2 3の輝度変調 に用いる。
図 1 1の電子線検査装置 2 0 3 0において、 ウェハ表面の欠陥の観察は、 次の ようにして行うこともできる。 即ち、 偏向器 2 0 0 7を作動させウェハ表面 2 1 1 0上での一次電子線 2 1 0 2の走査と同期してモニタ一 2 0 2 3のビームを走 査し、 得られた画像、 即ち S E M画像とパターンデータによる画像とを比較する ことによりウェハ表面の欠陥の観察を行う。 このように、 電子線検査装置 2 0 3 0は、 走査型電子ビーム方式 (S E M方式) を用いた電子線検査装置の機能をも 有している。 したがって、 偏向器 2 0 0 7を作動させて、 ウェハ表面上で一次電 子線を矩形又は長方形のパターンの例えば短辺方向に走査することにより、 モニ ター 2 0 2 3で得られた 2次電子像により長辺方向に沿って配列されたパターン 線幅を測定するができる。
また、 電子線検査装置 2 0 3 0を写像投影方式として用いた場合でも、 走査型 電子ビーム方式として用いた場合でも、 ウェハ表面 2 1 1 0に隣接するレンズ 2 0 1 2の最もウェハに近い方の電極 2 0 1 2 aに負の電位を与えることによって、 ウェハ表面の高い電位を持つパターンから放出された 2次電子を選択的にウェハ 側へ追い戻すことによって、 パターンの電位を評価して、 ゥエーハに生じた電気 的な導通不良 (オープン、 ショート不良など)、 すなわち、 コンタクト不良をより 正確に検査する'ことができる。
電子線検査装置 2 0 3 0の写像投影方式と走査型電子ビーム方式との切換は、 制御装置 2 9 0 0により行うことができるようになつている。
本実施例多目的電子線検査装置は、 上述のように、 1台の装置により、 欠陥検 出、 欠陥レビュー、 パターン線幅測定、 パターン電位測定等多目的の検査及び測 定を行うことができるので、 クリーンルーム内に多くの床面積を占めることがな く、 それ故、 デバイス製造装置を多く配置することができ、 クリーンルームの有 効利用を図ることができる。
次ぎに、 写像投影方式の電子線検査装置の別の実施例を説明する。 当該実施例 に係る電子線検査装置は、 最小線幅が 0 . 1ミクロン以下の高密度パターンの形 状観察や欠陥検査を高精度且つ高信頼性で行うのに適した電子線検査装置に関す るものである。
上記のように、 半導体デバイスの高密度化に伴ない、 半導体ゥェ一八等の基板 の表面の欠陥を高精度に検査する必要が生じ、 これに応えるものとして、 写像投 影型電子線検査装置が提案されている。 この写像投影型電子線検査装置は、 試料 の表面に電子銃から一次電子線を照射し、 それによつて試料から生成された二次 電子線をマイクロチャンネルプレートに結像させて電子を増倍した後、 シンチレ 一夕によって電子線をその強度を表す光に変換し、 これを T D I一 C C Dで検出 して電気信号へ変換し、 この電気信号を試料の走査に同期させることにより、 連 続した画像を得るものである。
しかしながら、 こうした写像投影型の電子線検査装置においては、 ステージの 移動方向を T D I 一 C C Dの受光面の配列方向と精度良く一致させる必要があり、 その精度は、 製作時の加工精度や組立て精度等の機械的精度に依存している。 し かし、 近年の 0 . 1ミクロン以下の形状観察や欠陥検査を対象とする装置におい ては、 関連する技術では、 必要とする精度を達成することが困難であるという問 題があった。 本実施例は、 機械的精度で達成することが不可能であった、 試料の走査方向と
T D I - C C D受光面の配列方向との高精度のァラインメントを達成可能にし、 信頼性の高い形状観察及び欠陥検査を行うことができる電子線検査装置を提供す ることを目的とする。
そこで、 本実施例に係る写像投影方式の欠陥検査用の電子線検査装置では、 一 次電子線により試料を照射する電子照射部と、 該一次電子線の照射により前記試 料から生成された二次電子線を光学的に処理して前記試料の画像を生成する光学 系と、 該画像を受け取るマイクロチャンネルプレートと、 該マイクロチャンネル プレートの出力をシンチレ一夕で光に変換した後、 該光信号を電気信号へ変換す る C C Dと、 該 C C Dの出力を処理する画像表示部と、 前記試料を移動させるス テージとを備えてなり、 前記ステージによって前記試料を走査する電子線検査に おいて、 前記試料と前記マイクロチャンネルプレートとの間に、 前記画像を回転 させる磁気レンズが配置されている。
前記磁気レンズは、 前記光学系の終段のレンズと前記マイクロチャンネルプレ 一卜との間に位置してもよい。
前記磁気レンズは、 前記マイクロチャンネルプレートに最も近いクロスオーバ 一位置に配置してもよい。
前記磁気レンズは、 前記終段のレンズに関して前記マイク口チャンネルプレー トとは反対側の前記終段のレンズに最も近い結像位置に配置してもよい。
図 1 2は、 本実施例に係る電子線検査装置の構成を概略的に示す図で、 該電子 線検査装置は写像投影型の電子線検査装置として実現されている。同図において、 電子線検査装置は電子銃 3 0 0 1を備え、 電子銃 3 0 0 1から放出された一次電 子線 3 0 0 2は矩形の開口で整形され、 2段のレンズ 3 0 0 3、 3 0 0 4を経て、 電極 3 0 0 5と磁石 3 0 0 6とを有するウィーン'フィルタ 3 0 0 7に入射する。 このとき、 一次電子線 3 0 0 2は、 ウィーン 'フィルタ 3 0 0 7の面に例えば 1 mm X 0 . 2 5 mm角で結像される。 ウィーン .フィルタ 3 0 0 7で一次電子線 3 0 0 2は進路を変更され、 レンズ 3 0 0 8、 3 0 0 9を通過して 1 Z 5に縮小 された後、 ステージ S上の試料 3 0 1 0に垂直に投影される。 試料 3 0 1 0は、 例えばゥエー八であり、 その表面には回路パターンが形成されている。 一次電子線 3002によって照射されて、 試料 3010の表面から二次電子線 が放出され、 また、 一次電子線 3002の一部は試料 3010の表面で反射され る。 これらの反射電子線及び二次電子線 301 1は、 試料 3010上の回路パ夕 —ンを表す情報を含んでいる。 次電子線 301 1はレンズ 3009、 3008 を経てウィーン ·フィルタ 300.7を直進し、 一次電子線 3002の経路から外 れた経路を経て静電型レンズ系のレンズ 3012、 3013を通過する。 二次電 子線 301 1はレンズ 3009、 3008、 3012、 3013によつて拡大さ れる。
静電型レンズ系の最終段のレンズ 30 13から出た二次電子線 30 1 1は磁気 レンズ 30 14を通った後、 マイクロチャンネルプレート 30 15上に矩形の像 として結像する。ここに磁気レンズ 3014を配置した理由については後述する。 結像された矩形の像はマイクロチャンネルプレート 3015によって 1万倍に増 感されて蛍光部 3016を照射する。 これにより、 蛍光部 30 16は増感された 矩形の画像を光に変換し、 変換された光はリレー光学系 30 17を経て TD I一 C CD 3018を照射する。 そこで、 TD I CCD 3018は入射した光を、 試 料 30 10を移動ステージによって走査する走査速度に同期した電気信号へ変換 し、 連続した画像として画像処理部 30 19へ与える。
こうして画像処理部 3019により取得された画像は、 オンタイムでの複数の セルの画像比較や複数のダイの画像の比較による試料 3010の表面の欠陥の検 出に供される。 画像処理部 3019で検出された試料 3010上の欠陥の形状の 特徴、 数及び位置座標等は、 必要に応じて、 CRT上に表示され、 また記録され る。
なお、 上記の試料表面の形状観察や欠陥検査においては、 試料 30 10の基板 が例えば酸化膜ゃ窒化膜が用いられることがあって表面構造が違うことや、 製造 工程が異なることを考慮して、 適切な条件の下で荷電粒子を試料 30 1 0に照射 し、 最適な照射条件で照射を行った後、 画像を取得して形状観察や欠陥検査を行 うことが望ましい。
さらに、 2次電子だけでなく散乱電子や反射電子による画像も上記のように取 得できるが、 ここでは 2次電子画像を取得した場合について述べている。 ここで、 図 1 3を用いて、 図 1 2に示す磁気レンズ 1 3 0 4の動作原理を説明 する。 磁気レンズ 1 3 0 4は、 上から見て環状の形状をしていると共に、 その横 断面は左右に U字形状が表される形状となっている。 図 1 3の (A) 及び (B ) は、 磁気レンズ 1 3 0 4の中心部分のみを表した図である。 図 1 3の (A) 及び (B ) に示すように、 磁気レンズ 3 0 1 4のポールピースの中心を二次電子線 3 0 1 1が通過するとき、 上側のポールピース 3 0 2 1 aと下側のポールピース 3 0 2 1 bとの間に配置された図示しない環状のコイルにより上下のポールピース を通って磁路が形成されて、 二次電子線 3 0 1 1に磁界が印加され、 それによつ て、 二次電子線 3 0 1 1は、 二次電子線 3 0 1 1の光軸中心に対して矢印 3 0 2 2で示す方向に回転させられる。 このときの二次電子線 3 0 1 1の回転量は、 ポ ールピース 3 0 2 1 a及び bを通って二次電子線 3 0 1 1に印加される磁界を強 くすればするほど大きくなる。
この原理を利用すると、 例えば、 磁気レンズ 3 0 1 4をレンズ 3 0 1 3とマイ クロチャンネルプレート 3 0 1 5との間に配置し、 磁気レンズ 3 0 1 4が発生す る磁界の強度を調整することにより、 試料 3 0 1 0から放出された二次電子線 3 0 1 1がマイクロチャンネルプレート 3 0 1 5上に結像したときの画像を回転さ せることができる。 したがって、 磁気レンズ 3 0 1 4の磁界強度の調整により、 移動ステージ Sで試料 3 0 1 0を走査するときの走査方向と T D I - C C D 3 0 1 8の受光面の積算方向とを一致させることが可能になる。
また、 磁気レンズ 3 0 1 4を静電型レンズ系の最終段のレンズ 3 0 1 3とマイ クロチャンネルプレ一ト 3 0 1 5との間に配置すると、 静電型レンズ系に対して 影響 (例えば、 静電型レンズ系の倍率を変えてしまったり、 収差や歪みを生じさ せたりすること) を与えることなく、 磁気レンズ 3 0 1 4による二次電子線 3 0 1 1の回転、 したがって、 結像画像の回転を行うことができる。
実際、 図 1 2に示すように、 磁気レンズ 3 0 1 4を最終段のレンズ 3 0 1 3と マイクロチャンネルプレート 3 0 1 5との間に配置した場合、 試料 3 0 1 0の走 査方向と T D I C C D 3 0 1 8の受光面の配列方向とを予め機械的に ± 1度以内 に調整した後、 磁気レンズ 3 0 1 4の磁界強度を変えて二次電子線の回転角度を 測定したところ、 二次'電子線の回転を ± 1 0秒以内の角度で行い得ることがわか つた。 これは、 角度精度が、 (視野寸法 / 2) X (角度精度) < (1Z10) X (ピクセル寸法) の関係を満たせばよく、 したがって、 角度精度く (1/204 8 X 5) r a d= 9. 77 X 10 _5 r a d = 20. 2秒であることによる。
上で説明した磁気レンズ 3014は、 図 14又は図 15に示す位置に配置され ることが望ましい。 図 14は、.これまで説明したとおり、磁気レンズ 30 14は、 静電型レンズ系の最終段のレンズ 30 13とマイクロチャンネルプレート 30 1 5との間の、 レンズ 3013に最も近いクロスオーバー位置 3031に配置され る。 これにより、磁気レンズ 3014の二次電子線に対する回転作用が利用でき、 しかも写像投影系の静電レンズ系の合焦条件に与える影響を殆ど無視し得る位に することができる。
一方、 図 15においては、 磁気レンズ 3014は、 静電型レンズ系の最終段の レンズ 3013に関してマイクロチャンネルプレート 301 5とは反対の側の、 レンズ 3013に最も近い結像位置 3041に配置される。結像位置 3041は、 試料 3010の表面及びマイクロチヤンネルプレート 301 5の二次電子線入射 面と共役の位置であり、 磁気レンズ 3014の回転作用以外のいかなるレンズ作 用も働かない位置である。 このため、 磁気レンズ 30 14は、 試料 3010の走 査方向と TD I -CCD 3018の受光面の配列方向とのずれを補正する作用を 行うだけである。 換言すると、 磁気レンズ 3014により、 こうした方向のずれ を容易に補正することができる。 また、 磁気レンズ 3014による回転作用によ り写像投影系の静電型レンズ系が影響されて収差や歪みを生じることがないので、 図 14に示す配置と同等又はそれ以上の優れた精度を達成することができる。 以上、 本実施例に係る電子線検査装置の説明から理解されるように、 この実施 例は、 試料の走査方向と TD I一 CCDの受光面の配列方向とを容易に一致させ ることができるので、 これらの方向の不一致に起因する画像のぼけを除去又は最 小化することができ、 分解能が 0. 1ミクロン以下という優れた分解能の下で信 頼性の高い形状観察や欠陥検査が可能になるという格別の効果を奏する。
また、 この実施例においては、 TD I—CCDの段数を増やしても、 試料に対 する走査の方向と TD I一 CCD受光面の配列方向との不一致による画像ボケが 少ないため、 一層高い段数の TD I— CCDを使用することが可能になり、 一層 高感度の電子線検査を提供することができ、 したがって高スループットを実現す ることができるという効果も奏される。
次ぎに、 電子線検査装置の別の実施例を説明する。 本実施例は、 単一又は複数 の電子線を使用し固体試料表面の評価を行う電子線検査装置に関し、 特に最小線 幅 0 . 1 m以下のパターンを有するウェハ、 マスク等の試料の評価を、 高スル 一プット (時間当たり処理量) 、 高精度、 高信頼性をもって行う電子線検査装置 に関する。 評価項目は、 半導体ウェハ等の試料の欠陥検査、 線幅測定、 重ね精度 測定、高時間分解能の電位コントラスト測定等である。電位コントラスト測定は、 ウェハの表面下の電気的な欠陥や、 ウェハ表面上の微小粒子の測定を可能にする ものである。
本実施例において、 電子線の寸法 Dは、 電子線の試料表面上の像の径寸法 (直 径又は対角線長) を意味するものとする。 また、 本実施例において、 電子線の間 隔は、 隣接する電子線の試料表面上における隣接する像の中心間の距離を意味す るものとする。
まず、 本実施例に係る電子線検査装置の関連技術について説明する。
ウェハの被評価試料の欠陥等を評価する、 この種の電子線検査装置は、例えば、 特開平 9一 3 1 1 1 1 2号公報に開示される。 この公報は、 1次電子線をマスク、 ウェハ等のパターンが形成された被検査試料に照射し被検査試料からの 2次電子 を利用するパターン検査装置を開示する。 また、 関連技術においては、 対物レン ズと被検査試料の間に減速電界を印加し、 1次電子線を細く絞り被検査試料に照 射し被検査試料からの 2次電子を高率良く検出している。 また、 半球状のメッシ ュから成る 2次零子エネルギーフィルターを利用して試料の表面のパターンの電 位コントラストを測定している。
この種の関連技術で用いられている減速電界型対物レンズは、 2次電子を全て 通過させるので電位コントラストを測定することが困難である。 また半球状のメ ッシュ電極から成る 2次電子フィルターは、 対物レンズと試料間にメッシュ電極 を設けると対物レンズの像面距離が長くなり、軸上色収差係数が大きくなる問題、 及び 1次電子線ビームを細く絞ることができないか又は細く絞ろうとするとビー ム電流が小さくなる問題を有する。 更にメッシュ電極は、 メッシュの近傍を通る 1次電子線の軌道を不規則に曲げるので、 ビームがボケを生じだり、 走査歪が生 じる問題を有する。
本実施例の目的は、 このような関連技術の問題に鑑みなされたものであり、 1 次電子線を細く絞りながら大きなビーム電流を得ることができ、 電位コントラス トの測定が可能であり走査歪のない電子線検査を提供することにある。
本実施例の電子線検査は、 少なくとも 3枚の軸対称電極を有する単ポテンシャ ル静電レンズ、 即ち電子銃に近い側の電極(上側電極)、試料に近い方の電極(試 料側電極、 下側電極) 及び両者の間の中央電極、 を有する静電レンズによって試 料表面に 1次電子線を合焦させ偏向器で 1次電子線 'を走査させることによつて試 料から発生する 2次電子を検出し試料表面の評価を行う。 電子線検査は、 下側電 極に試料表面より低い電位の電圧を与えることによって試料表面上のパターンの 電位コントラストを得るようにしている。
本実施例の電子線検査においては、 電位コントラストを得る必要のない評価を 行う時、 試料側電極(下側電極) に対してアースに近い電圧が与えられる。 また、 下側電極に与える電圧を大きく変化した時の合焦条件の調整は、 中央電極に与え る正の高圧を変えることにより行われる。
本実施例の電子線検査においては、 合焦条件を高速で小変化させる時は、 静電 レンズの中央電極より電子銃側の電極(上側電極) に与える電圧を調整して行う。 本実施例においては、 電位分布を与えられた試料表面からの 2次電子の発生の変 化により、 コン卜ラスト像が得られる。
以下、 図面を参照して、 本実施例の具体的な内容を詳細に説明する。 図 1 6は、 本実施例に係る電子線検査の概略構成を示す概要図である。図 1 6に示すように、 電子銃 4 0 2 0は、ウェーネルト 4 0 2 1の内部に配置される力ソ一ド 4 0 2 2、 ウェーネルト 4 0 2 1の下部に配置されるアノード 4 0 2 3を備え、 1次電子線 が力ソード 4 0 2 2からアノード 4 0 2 3に向けて放出され、 アノード 4 0 2 3 を通過した電子線は、 軸合せ偏向器 4 0 2 4、 4 0 2 5で軸合せされ、 コンデン サレンズ 4 0 3 4、 4 0 3 5、 4 0 3 6の中心を通るようにされる。
力ソード 4 0 2 2が、 熱電界放出力ソード (T E F力ソード) である場合は、 力ソード 4 0 2 2から放出された 1次電子線は、 コンデンサレンズ 4 0 3 4、 4 0 3 5、 4 0 3 6によって試料表面への結像倍率が調整され 1次電子線 4 0 1 6 とされ、 対物レンズ 4 0 3 2、 4 0 3 8、 4 0 3 9で試料 4 0 3 3の表面へ合焦 される。 そして 1次電子線 4 0 1 6は、 E X B分離器 4 0 3 0の偏向中心にクロ スォ一パを作り、 静電偏向器 4 0 2 7及び E X B分離器 4 0 2 9、 4 0 3 0 ©内 電磁偏向器 4 0 2 9により 2段偏向され、 試料 4 0 3 3の表面上をラスタ走査す る。
図 1 6の電子線検査において、 試料の検査は、 1次電子線により試料 3 3の表 面 X方向 (図 1 6において紙面に垂直方向) の所定幅を X方向に走査しながらス テージ 4 0 4 1を y方向へ連続移動させて行われる。 試料の y方向の端まで (一 定の領域) の検査が終了すると、 ステージ 4 0 4 1を X方向へ所定幅又はそれよ り僅かに大きい幅だけ移動させ、 隣のストライプ (隣接する領域) を検査する。 1次電子線 4 0 1 6が試料 4 0 3 3の表面上をラスタ走査で照射することにより 試料 4 0 3 3の走査点から 2次電子が放出される。
試料 4 0 3 3上の照射点から放出された 2次電子は、 対物レンズ 4 0 3 1の中 央電極 4 0 3 9の高電圧、 上側電極 4 0 3 8及び下側電極 4 0 3 2の接地電圧、 並びに試料 4 0 3 3の負の高電圧で形成される 2次電子に対する加速電界により '電子銃側へ引上げられ、 £ズ8分離器4 0 2 9、 4 0 3 0で 1次光学系から偏向 され図 1 6の点線の方向の軌道を取り、 2次電子線検出器 4 0 2 8で検出され、 S E M像 (走查型電子顕微鏡像) が形成される。 試料 4 0 3 3の電位コントラス トを評価する場合は、 対物レンズ 4 0 3 1の試料側電極 4 0 3 2に試料電位より 低い電位の電圧を与えることによって、 軸上ポテンシャル分布を次に述べる図 1 8のように試料の表面より低くなるようにする。 図 1 6に示す制御装置 4 9 0 0 により、 所望の電位の電圧を、 上側電極 4 0 3 8、 中央電極 4 0 3 9及び下側電 極 4 0 3 2にそれぞれ与えることができるようになつている。
図 1 8は、 上側電極 4 0 3 8、 中央電極 4 0 3 9、 下側電極 4 0 3 2、 及び試 料 4 0 3 3に、 それぞれ 4 . 5 k V, 8 k V、 3 5 0 V , 5 0 0 Vをそれぞれ与 えた時の軸上ポテンシャルの分布を示す。 図 1 8において、 横軸は、 Z軸、 すな わち試料 4 0 3 3の面に対して垂直に伸びる軸線の距離を表しており、 基準点の 0 mmは、 上側電極 4 0 3 8から前記軸線に向けて垂直に伸びる線と前記軸線と の交点となっている。 したがって、 図中の 4 . 0 0 0 mmは、 前記交点からの距' 離を示している。 図 1 8において、 図示されていないが、 点 4 0 0 2の対応する 位置に試料 4 0 3 3が配置されており、 点 4 0 0 1と点 4 0 0 2との間の中央位 置に対応する位置に下側電極 4 0 3 2が配置されており、 点 4 0 0 3の対応する 位置に中央電極 4 0 3 9が配置されている。 下側電極 4 0 3 2に試料電位より低 い電位の電圧が与えられているので、 図 1 8の点 4 0 0 1と点 4 0 0 2の範囲で 軸上ポテンシャルが試料 3 3の表面より低くなつている。 2次電子の内の高い電 位を持つパターンから発生したものは、 ポテンシャルエネルギーが低くその速度 が低いことから、 点 4 0 0 1と点 4 0 0 2間のポテンシャル障壁により、 試料側 へ追い戻され検出されない。 一方、 2次電子の内の低い電位を持つパターンから 発生したものは、 ポテンシャルエネルギーが高くより大きな速度を持っているの で、 このポテンシャル障壁を越えて検出器 2 8へ達する。
試料側 (下側) 電極 4 0 3 2に試料よりも低い電位を与えると、 中央電極 4 0
3 9と下側電極 4 0 3 2の間に大きい電界が発生するので、 両者の間は、 上側電 極 4 0 3 8と中央電極 4 0 3 9の間より広くする方がよい。 また中央電極 4 0 3
9と下側電極 4 0 3 2との間の電界が大きくなることにより、 レンズ作用が強く なり過ぎ、 合焦条件が大きくずれることになる。 これを補正するためには、 中央 電極 4 0 3 9に与える正の高電圧を大きく変化させ、 より低い電圧を与えれば良 い。
図 1 6の電子線検査におけるレンズ構造 (コンデンサレンズ 4 0 3 4、 4 0 3 5、 4 0 3 6 ) は、 一体のセラミックス 4 0 2 6から削り出して作り、 その表面 に選択的に金属をコ一ティングすることによって電極を形成することにより、 鏡 筒 4 0 4 0の外径を小さくすることができる。 図 1 7は、 小さくした外径の鏡筒
4 0 4 0を 4筒 X 2列に配置した様子を示す。 図 1 7の例では、 2次電子検出器 4 0 2 8を隣接する光学系と干渉しない側へ向け、 8インチのウェハ上に 8本の 鏡筒 4 0 4 0を配置した。 図 1 7の 8本の鏡筒 4 0 4 0 (各々の外径が 4 0 mm Φ以下の場合) の配置により、 試料ステージ 4 0, 4 1を y方向へ移動させながら 評価を行うと、 単一の鏡筒 4 0 4 0を使用する場合の 8倍のスループット (時間 当り処理量) を得ることができる。 試料 4 0 3 3のパ夕ーン段差や材質の差で画像を作る場合は、 2次電子検出効 率は、 大きい方が良い。 その場合は、 試料側電,極 4 0 3 2にはアースに近い電位 を与えれば良い。その時の中央電極 4 0 3 9に与える電圧は、正の高電圧となる。 また試料の表面の凹凸等に応答させるためダイナミックに焦点合せを行う場合は、 アースに近い電圧の上側電極 4 0 3 8に与える電圧を調整する。 この場合は、 制 御電圧を高速で変化させることが可能である。
なお、 本実施例の電子線検査装置は、 走査型電子ビーム方式 (S E M方式) の 電子線検査装置として説明したが、 本実施例の特徴部分は、 写像投影型の電子線 検査装置にも適用可能である。
本実施例の電子線検査装置は、 3枚の軸対称電極を有する静電レンズの試料に 近い方の電極に試料表面より低い電位の電圧を与えることによって、 対物レンズ の像面距離が長くなり軸上色収差係数が大きくなることや 1次電子線ビームを細 く絞ることができない等の問題を生じることがない。 この場合、 1次電子線の試 科表面への合焦は、 中央電極に与える正の高圧を変えることにより調整保持され る。 電位コントラストを得る必要のない時は、 試料に近い側の電極にアースに近 い電圧を与えることにより 2次電子の検出を効率良く行うことができる。
次に、 本発明の別の実施例を説明する。 本実施例は、 2次元又は 3次元の方向 に移動可能なステージ (以下、 単にステージと呼ぶ) 上に設置された試料に対し て荷電ビームとしての電子線を照射する荷電ビーム装置、 及びステージ上に試料 を搬送する方法に関する。
まず、 本実施例に係る荷電ビーム装置の関連技術について説明する。
ステージ上に設置された試料に対して荷電ビームを照射する、 この種の荷電ビ ーム装置としての電子線装置においては、 荷電ビームの通路全体を真空環境にす る必要性から、 ステージ全体を真空容器内に設置している。 また、 真空中でステ ージを機能させるには、 大気中でステージを作動させるのと異なり、 ステージの ァクチユエ一夕、 ガイド部の支持構造や潤滑、 及び材料等に特別の配慮が必要で あつ 7こ。
例えば、 ァクチユエ一夕に関しては、 真空中にサーポモータを配置する場合に は、 放熱が困難であるためサーポモ一夕を高温仕様にしたりサ一ポモータの仕様 条件に制限を設ける必要が生じたり、 回転軸の潤滑に固体潤滑剤や真空グリスを 使用しなければならない。 一方、 大気側にサーボモータを配置する場合には、 回 転導入部に磁性流体シール等の真空シール機構を設けると共に、 ステージに X方 向と Y方向のガイドの他にもう一段のガイドを設けて、 サーポモータが X方向ま たは Y方向のガイドと共に移動することを要しない構造にする必要があり、 大気 中で作動するステージに比べて構造が複雑で大型になっていた。
ガイド部の支持構造に関しては、 大気中で使用される高精度のステージに対し て使用されるような静圧を利用した空気軸受が、 真空環境のもとでは使用できな レ^ また、 クロスローラーベアリング等の高精度転がり軸受を使用する場合にお いても、 大気中で用いられる潤滑剤よりも潤滑性が劣る真空グリスや、 低蒸気圧 のフッ素系潤滑油を、 潤滑剤として使用しなければならなかった。 このため、 真 空用の高精度ステージを製作するのが困難であった。
ステージの材料に関しては、 真空中での放出ガス量が少ない材料を選定する必 要があり、 アルミニウム材はあまり使用されず、 また、 材料の表面積を小さくす るために部品表面の仕上げにも格別の配慮を必要としていた。
真空用のステージ機構には、 上記の他にも、 ステージを内蔵する真空容器、 試 料を大気中から真空環境内に移送するためのロードロック室、 試料の真空搬送機 構、 真空容器の真空配管、 バルブ類、 及び真空ポンプ等が必要であった。
また、 試料は真空中に載置されるので、 試料を固定する方法として吸着力で固 定するような真空チャックが使用できず、 静電チャックまたは試料の表面もしく は側面を固定子で押さえる機械式チャックを使用しなければならなかった。 しか しながら、 静電チャックには、 高価かつパ一ティクルを吸着し易く、 一部のタイ プの静電チャックでは除電に時間を要するといった問題点があり、 一方、 機械式 チヤックには、 平坦に保持する事が不可能な上に試料の表面または側面を固定子 で押さえなければならず、 試料であるウェハの裏面以外にチャックを接触させた くないという半導体メーカの要求を満足できないという問題点があった。
以上に述べたように、 関連する荷電ビーム装置は、 ステージを真空環境内に設 けなければならないため、 装置の製造コストが高く、 大きな設置面積や占有面積 を必要とし、 機構が複雑で装置の保守管理も困難であった。 更に、 一般的には、 大気に曝された'物体を真空排気すると、 その表面に吸着さ れたガス分子が離脱してくるため、 所定の真空度を得るためにはある程度の排気 時間を必要とする。 この離脱してくるガスのほとんどは、 高い真空度では水分子 (即ち、 大気中で表面に吸着した水蒸気) である。 従って、 大気に曝された試料 を十分に真空排気せずに荷電ビーム照射領域に搬送した場合には、 荷電ビーム照 射領域の真空環境内に搬送された途端に試料面に吸着されていたガス分子が放出 されて、 荷電ビーム照射領域の真空度を悪化させてしまい、 求められる所定の性 能での処理が行えないという問題点も従来からあった。 従って、 試料面やステー ジの構成部品の表面から荷電ビーム照射領域内に放出されるガスの量を大幅に減 少させかつ放出ガスを迅速に排気することが求められている。
本実施例の一つの目的は、 ステージのァクチユエ一夕やガイド機構等の構造を 大気中に設けることにより、 荷電ビーム装置をコンパクトで低い製造コストで製 造可能なものとすることである。
他の目的は、 試料や荷電ビームの光学系といつた真空環境が必要な箇所のみを 真空に維持して、 試料及び荷電ビーム光学系等がパ一ティクルや放出ガスで汚染 されないようにすることである。
別の目的は、 試料面やステージの構成部品の表面から真空中に放出されるガス の量を従来より大幅に減少させることができる荷電ビーム装置を提供することで ある。
さらに、 別の目的は、 試料面やステージの構成部品の表面から真空中に放出さ れるガスの量を従来より大幅に減少させることができるような、 荷電ビーム装置 内に試料を搬送する方法を提供することである。
本実施例に係る荷電ビーム装置においては、 ステージ上に置かれた試料の表面 に荷電ビームを照射する装置において、 荷電ビームが照射される箇所の近傍のみ' を所定の真空度に保っために、 荷電ビームが照射される領域を中心として少なく とも一重の差動排気構造を設け、 さらに、 前記差動排気構造の外周側に、 不活性 ガスを試料面に対して噴出する構造を設けるようにしている。 このように構成し たことにより、 ステージは大気中で使用するものを利用できることとなり、 荷電 ビーム装置をコンパク卜で低い製造コス卜で製造可能なものとすることができる。 更に、 大気側から試料面にパ一ティクルが侵入することを防止すると共に、 試料 が大気に直接触れる機会を減少させることができ、 荷電ビーム照射領域での放出 ガスを減少させることができる。
本実施例に係る荷電ビーム装置の別の変形例において、 不活性ガスを噴出する 構造は、 試料面に噴出された不活性ガスが荷電ビーム照射領域を中心として主に 外側方向に流出するように形成されている。 これによつて、 差動排気部の真空排 気が容易になり、 差動排気構造をコンパクトに形成したり、 差動排気用の真空ポ ンプを小容量のものとすることが可能になる。
荷電ビーム装置の別の変形例において、 差動排気構造は、 高い真空度の差動排 気通路と、 低い真空度の差動排気通路とを備え、 これらの差動排気通路は、 前記 差動排気構造の下流側では相互に連通しかつ連通後は同一の配管を介して排気す るようにされ、 前記差動排気構造の上流側では前記高い真空度の差動排気通路よ りも前記低い真空度の差動排気通路の方が排気抵抗が大きくなるように形成され ている。 これによつて、 差動排気通路及び Z又は真空ポンプの数を少なくするこ とができ、 荷電ビーム装置の小型化、 低コスト化を達成することが可能となる。 荷電ビーム装置の別の変形例において、 差動排気構造の下に入り込むステージ の表面が、 ステージ上に載置された試料の表面と同一の高さになるように、 ステ 一ジに更なる微調整用の高さ調整機構が設けられている。 これによつて、 試料面 とステージの表面との間に段差がなくなり、 ステージの可動範囲全域において差 動排気部とステージ間の隙間が一定に維持されるのでステージの可動範囲全域で 差動排気機能を正常に動作させることが可能となる。
荷電ビーム装置の別の変形例において、 試料を所定の位置に移動させるステー ジが移動する範囲の全体もしくは試料の可動範囲を、 不活性ガスが充満した容器 で常に覆うようにした。 このように構成したことにより、 試料面へ侵入するパ一 ティクルをさらに減少することができると共に、 試料面やステージが大気に暴露 されないため、 試料面やステージ構成部品の表面から真空中に放出されるガス量 をさらに減少させることが可能である。
荷電ビーム装置の別の変形例において、 不活性ガスが充満した容器には、 締切 弁を介して真空容器が連接されており、 試料は前記真空容器を介して前記不活性 ガスが充満した容器内に出入りされるようにしている'。 即ち、 試料を真空容器に 挿入した後、 真空容器を所定の圧力まで真空排気し、 次に真空容器内に高純度の 不活性ガスを導入した後、 締切弁を開けて、 高純度の不活性ガスで充満された真 空容器内のステージに試料を設置するようにした。このような構成と手順により、 試料はまず真空容器内に置かれて一旦真空排気され、 その表面からガスが大量に 放出されて清浄になり、 その後真空容器内に高純度不活性ガスが導入されること により、 試料は高純度不活性ガス中をステージまで搬送される。 従って、 試料が 荷電ビーム照射領域に挿入されて真空環境内に置かれても、 試料の表面からは高 純度不活性ガスが放出されるだけである。 高純度不活性ガスは物体の表面との吸 着エネルギーが非常に小さいために極短時間で試料の表面から放出されるので、 荷電ビーム照射領域の真空度を悪化させることがない。 このように、 荷電ビーム 照射領域を高い真空度に維持しやすくなり、 また、 試料面を汚染する恐れも減少 させることができる。
荷電ビーム装置の別の変形例において、 試料面に噴出された不活性ガスが、 真 空ポンプ及び/またはコンプレッサにより回収されかつその後加圧されて、 再度 試料面に噴出されるように、 不活性ガスの循環機構を設けている。 これにより、 高純度不活性ガスを再利用できるので、 高純度不活性ガスを節約でき、 また、 本 装置が設置された室内に高純度不活性ガスを排出しないので高純度不活性ガスに よる窒息等の事故が発生する危険性も解消する。 ここで、 不活性ガスとしては、 窒素 (N 2) 、 アルゴン (A r ) 及びキセノン (X e ) 等が挙げられる。
本実施例の別の変形例は試料の搬送方法を提供するものである。 即ち、 上記の ような不活性ガスが充満 ύた容器に締切弁を介して真空容器が連結された荷電ビ ーム装置を用意することと、 試料を前記荷電ビーム装置の真空容器内に挿入した 後、 前記真空容器を所定の圧力まで真空排気することと、 前記真空容器内に不活 性ガスを導入した後、 締切弁を開放して、 不活性ガスが充満した容器内のステー ジに前記試料を設置することとを備えている。 このような方法により荷電ビーム 照射領域を高い真空度に維持しやすくすることができる。
更に別の変形例は、 上記のような荷電ビーム装置もしくは試料の搬送方法の発 明を利用して、 半導体ゥェ八の表面の欠陥を検査するウェハ欠陥検査装置を提供 するものである。 これにより、低いコストで、 設置面積および占有面積が小さく、 かつ信頼性の高いゥェ八欠陥検査装置を提供することができる。
別の変形例は、 上記のような荷電ビーム装置もしくは試料の搬送方法を利用し て、 半導体ウェハの表面に半導体デバイスの回路パターンを露光する露光装置を 提供するものである。 これにより、 低いコストで設置面積及び占有面積が小さく 信頼性の高い荷電ビーム露光装置を提供することができる。
別の変形例は、 上記のような荷電ビーム装置もしくは試料の搬送方法を利用し た半導体製造方法を提供するものである。 これにより、 半導体製造方法の ί氐コス ト化を図ることができる。
以下図面を参照して、本実施例に係る荷電ビーム装置について詳細に説明する。 図 1 9は、 荷電ビーム装置の実施形態を示す図であり、 荷電ビーム装置の一部 を拡大して示している。 符号 5 0 0 1は荷電ビーム 5 0 5 0を試料 5 0 0 2に照 射するための光学系を収容する公知の構造の鏡筒であり、 その先端部のみが示さ れている。 鏡筒 5 0 0 1の先端部を囲むようにして、 差動排気部 5 0 0 4が取り 付けられている。 差動排気部 5 0 0 4の中心部の穴 5 0 4 1は、 荷電ビーム 5 0 5 0に影響を与えない程度の大きさが必要である。 試料 5 0 0 2はステージ 5 0 0 3上に載置されており、 ステージ 5 0 0 3と共に移動する。 差動排気部 5 0 0 4と試料の表面 (以下試料面と呼ぶ) 5 0 2 1との間に数ミクロンから数百ミク ロン程度の微少隙間が維持されるように、 鏡筒 5 0 0 1は装置の架台 (図 2 4 ) に固定されている。 なお、 鏡筒中の光学系は本発明の要旨ではないので詳細な説 明は省略する。
差動排気部 5 0 0 4には、 中心から半径方向外側に向かって、 差動排気用の環 状溝 5 0 0 5及び 5 0 0 6が形成されており、 それぞれ真空配管 5 0 0 8及び 5 0 0 9に連通されている。 差動排気部 5 0 0 4の外周側から荷電ビームに向かつ て流入してくるガスを環状溝 5 0 0 5及び 5 0 0 6を介して真空排気することに よって、 荷電ビーム照射領域に漏洩してくるガスの流量を許容以下に抑え、 荷電 ビーム領域を所定の真空度に維持する。 このため、 環状溝 5 0 0 5が環状溝 5 0 0 6より高い真空度になりかつ荷電ビーム照射領域へのガスの漏洩量が許容以下 になるように、 または荷電ビーム照射領域よりも環状溝 5 0 0 5の方が高い真空 度になるように、 環状溝 5 0 0 5の形状、 環状溝 5 0 0 5の排気流路の形状、 試 料面 5 0 2 1と差動排気部 5 0 0 4の間の隙間 5 0 5 1、 真空配管及び真空ボン プの性能が適宜決定される。 差動排気を行うための環状溝は差動排気構造を構成 し、これらは図 1 9では二重に形成されているがこれに限定されるものではなく、 荷電ビーム照射領域の真空度の程度及び環状溝や真空配管等の構成により一重で 形成してもよく、 三重以上で形成してもよい。
差動排気部 5 0 0 4の環状溝 5 0 0 6の外周側には更に環状溝 5 0 0 7が形成 されており、 配管 5 0 1 0に連通されている。 この配管 5 0 1 0を通じて高純度 不活性ガスが供給され、 環状溝 5 0 0 7から試料面に噴出される。 噴出された高 純度不活性ガスの一部は環状溝 5 0 0 6により吸引されて荷電ビーム照射領域へ 排出され、 残りはその反対方向に流れて差動排気部の外周から外へ排出される。 このように、 高純度不活性ガスが外側に向かって流れてシールドとして作用する ため、 大気は高純度不活性ガスの流れに妨げられて荷電ビーム照射領域へ流れ込 むことがない。 この高純度不活性ガスによるシ一ルド機構を設けなければ、 外気 の流入によってパーティクルや大気中の水蒸気等が荷電ビーム照射領域に侵入し、 試料面や鏡筒 5 0 0 1内のレンズ等を汚染し、 荷電ビームによるウェハの検査や ウェハ上へのパターンの露光等の作業に対して悪影響を与えるおそれがある。 こ れに対して、 本発明のような高純度不活性ガスを噴出させる機構を設けることに より、 パーティクルや大気中の水蒸気等の侵入が妨げられるので、 試料やレンズ 等を汚染することがなくなり、 ウェハの検査や露光等の作業が問題なく行える。 なお、 符号 5 0 0 4 a (図 2 0 ) で示すように、 差動排気部 5 0 0 4の外径を 外側に延長し、 試料面を覆う面積を大きくすることにより、 パーティクルや水蒸 気を更に侵入しにくくさせる効果を奏することができる。
図 2 0は荷電ビーム装置の別の変形例を示している。 この変形例では、 高純度 不活性ガスの噴出口 5 0 0 7 aの向きを外周側に向けて形成しており、 従って、 ガスは試料面 5 0 2 1と差動排気部 5 0 0 4との間で矢印 Aの方向に、 勢い良く 外側に流れる。高純度不活性ガスの噴出口 5 0 0 7 aの形状をこのように形成し、 かつ高純度不活性ガスの供給圧力を大気圧に対して適切な値まで加圧して高純度 不活性ガスの噴出速度を適切な値に設定することにより、 高純度不活性ガスの流 れがェジェクタのような作用をして矢印 Bのような流れが発生し、 差動排気部 5 0 0 4の内径側を負圧に維持することができる。 それにより、 外気側のパーティ クルや水蒸気等の汚染物質が侵入する可能性を更に低減することが可能となると 共に、 真空排気の効果も得られるため、 差動排気機構における真空排気の負荷を 軽減することができ、 差動排気部 5 0 0 4、 真空配管 5 0 0 8及び 5 0 0 9、 及 び真空ポンプの小型化を図ることが可能となる。
また、 差動排気部 5 0 0 4にべ一キング用ヒー夕 B Hを設けて差動排気部を加 熱し、 環状溝 5 0 0 5及び 5 0 0 6から真空配管 5 0 0 8及び 5 0 0 9へ流れる ガスを加熱膨張させ、 排気効率を高めて、 差動排気による高真空度を更に容易に 達成できるようにしてもよい。
図 2 1は、 荷電ビーム装置の別の変形例を示している。 この変形例では、 差動 排気部 5 0 0 4に真空室 5 0 1 1を設けてあり、 この真空室 5 0 1 1は高真空側 の排気通路 5 0 0 5 aと低真空側の排気通路 5 0 0 6 aに連通している。 また、 差動排気用の高真空側の環状溝 5 0 0 5及び低真空側の環状溝 5 0 0 6は、 それ ぞれ高真空側の排気通路 5 0 0 5 a及び低真空側の排気通路 5 0 0 6 aに連通し ており、 真空室 5 0 1 1は真空配管 5 0 0 8 aにより真空に保たれている。 低真空側の排気通路 5 0 0 6 aは高真空側の排気通路 5 0 0 5 aに対してコン ダクタンスが極めて小さく形成されている。 従って、 それぞれの排気通路 5 0 0 5 a及び 5 0 0 6 aの下流側の圧力は双方とも真空室 5 0 1 1内の圧力となって いて同一であるが、 上流側での環状溝 5 0 0 5及ぴ 5 0 0 6の圧力は相互に大き く相違し、 環状溝 5 0 0 6は低真空度を、 環状溝 5 0 0 5は高真空度をそれぞれ 維持し、 差動排気が適切に行えるようになつている。
このように排気通路を形成することにより、 真空配管が 5 0 0 8 aのみで済む ことになり、 装置の小型化及び低コスト化を図ることができる。
図 2 2は、 荷電ビーム装置の更に別の変形例を示しており、 図 2 2 aは鏡筒が ステージの一端部の近傍に位置している状態を、 図 2 2 bは鏡筒がステージの他 端部の近傍に位置している状態を示す。 この変形例では、 ステージの表面 5 0 3 1に試料 5 0 0 2が載置されており、 試料 5 0 0 2の周囲には板状部材 5 0 6 0 及び 5 0 6 1が取り付けられている。 板状部材 5 0 6 0及び 5 0 6 1は、 それら 板状部材の上面 5 6 0 1及び 5 6 1 1が試料の表面 5 0 2 1と同一の高さとなる ように、 ステージの表面 5 0 3 1からの高さが調整されている。 このような高さ 調整機構を取り付けることにより、 ステージが移動したり、 ステージに対する鏡 筒の位置が 5 0 0 や 5 0 0 'で示される位置(図 2 2 a及び図 2 2 b ) に移 動しても、 差動排気部 5 0 0 4 ' (又は 5 0 0 4 " ) とステージの表面 5 0 3 1も しくは試料面 5 0 2 1との間の隙間 5 0 5 1 ' (又は 5 0 5 1 " )は常に一定に維 持されるため、 差動排気が適切に行われ荷電ビーム照射領域は常に所定の真空度 を維持することができる。
図 2 3は、 荷電ビ一ム装置の更に別の変形例を示している。 それぞれ厚さが異 なる多くの試料を連続的に処理する場合は、 試料の厚さに応じて容易に高さ調整 を行うことが必要である。 そのため、 本変形例では、 ステージ 5 0 0 3の凹部 5 0 3 2内に配置された、 試料を支持固定する試料台 (本実施形態では例えば、 静 電チャックによる試料固定台) 5 0 6 3の下に上下機構 5 0 6 2が設けられてい る。 上下機構 5 0 6 2を試料 5 0 0 2の高さに応じて上下することによりステー ジの表面と試料面との高さが一致するように調整される。 試料面とステージの表 面との高さのずれは小さいほど良く、サブミクロンの程度にすることが好ましい。 このため、 上下機構としては圧電素子を使った微小調整機構等が設けられてもよ レ 上下機構の調整範囲を越えるような厚さの試料を処理する場合には、 試料台 5 0 6 3の側部に調整部材 5 0 6 0 '及び 5 0 6 を設け、 この調整部材を試料 の厚さに合わせて交換するようにしてもよい。
なお、 調整部材 5 0 6 0 '及び 5 0 6 1 'は、 試料とステージの形状に応じて、 単一部品であってもよく、 或いは 2個以上の部品に分割してもよい。 また、 調整 部材 5 0 6 0 '、 5 0 6 1 '及び上下機構 5 0 6 2は、 試料が例えば 8ィンチサイ ズのウェハから 1 2インチサイズのウェハまで大きさが変化しても、 その試料の 大きさに合わせて部品交換するだけでいずれの大きさにも対応できるように構成 することが望ましい。
図 2 4は、 荷電ビーム装置の別の変形例を示している。 ステージ 5 0 0 3の上 に試料 5 0 0 2が載置されており、 試料はステージ 5 0 0 3の移動に伴って、 可 動範囲 Lで示された範囲を移動する。 一方、 鏡筒 5 0 0 1は架台 5 0 1 4に固定 されており、 鏡筒 5 0 0 Γの先端にはその先端を囲繞するように差動排気部 5 0 0 4が設けられ、 差動排気部 5 0 0 4と試料面 5 0 2 1又はステージの表面 5 0 3 1との間で差動排気と高純度不活性ガスの吹き付けが行われる。 そして、 試料 5 0 0 2の可動範囲 Lを完全に覆うように容器 5 0 1 2がステージ 5 0 0 3上に 取り付けられ、 試料面 5 0 2 1又は板状部材 5 0 6 0, 5 0 6 1と容器 5 0 1 2 の下面との間には微小な隙間 5 0 5 2が設けられている。 差動排気部 5 0 0 4か ら吹き出された高純度不活性ガスは、 差動排気部 5 0 0 4と試料面 5 0 2 1又は 板状部材 5 0 6 0 , 5 0 6 1との間の隙間 5 0 5 1を通って容器 5 0 1 2の中に 吹き出し、 それと同量のガスが微小な隙間 5 0 5 2から容器 5 0 1 2の外に吹き 出される。
このような構成にすることにより、 容器 5 0 1 2の中は常時高純度不活性ガス で充満され、 微小な隙間 5 0 5 2から外気が侵入することもなく、 清浄に保たれ る。 従って、 容器 5 0 1 2内を試料が移動しても、 試料はパーティクルや水蒸気 等により汚染されるおそれもなくなり、 荷電ビーム照射領域を高度の真空状態に 安定させ易くなり、 試料面や鏡筒のレンズ等を汚染するおそれもなくなり、 装置 の信頼性や稼働率を向上することができる。
図 2 5は、 荷電ビーム装置の別の変形例である。 この変形例では、 容器 5 0 1 5は、 図 2 4の場合とは異なり試料の可動範囲のみならずステージ 5 0 0 3を完 全に包囲するように形成され、 差動排気部 5 0 0 4から吹き出された高純度不活 性ガスは排出管 5 0 1 6を通って排出される。 このような構成も図 2 4の変形例 と同様な効果を奏するが、 それ以上に、 容器 5 0 1 5がステージ 5 0 0 3を完全 に覆っているため、 外気からパーティクルや水蒸気等の汚染物質が侵入する可能 性を完全に除去することができ、 装置の信頼性や稼働率を更に向上することがで きる。 '
なお、 図 2 4の容器 5 0 1 2及び図 2 5の容器 5 0 1 5とも、 それらの内部の 圧力は、 隙間 5 0 5 2又は排出管 5 0 1 6から高純度不活性ガスが排出されるべ く大気圧より若干高めにする程度でよいため、 容器はカバー程度の簡単な構造で 十分であり、 これらの容器を設けても装置の大きさゃコストへ与える影響は小さ い„ 図 2 6は、 荷電ビーム装置の別の変形例を示している。 本変形例は、 図 2 5に 示された変形例と同様にステージ 5 0 0 3を完全に覆いかつ内部に高純度不活性 ガスを満たした容器 5 0 1 7を設けており、 この容器 5 0 1 7には、 試料のロー ド及ぴアンロードを行う真空容器 5 0 2 7が連接されている。 真空容器 5 0 2 7 には、 真空配管 5 0 2 9と高純度不活性ガスの供給配管 5 0 3 0が接続されてお り、 更に容器 5 0 1 7との間には締切弁 5 0 2 8が設けられている。
本変形例のこのような構成において、 荷電ビーム装置は以下のような方法で処 理を Tつ。
まず、 真空容器 5 0 2 7の開閉蓋 (図示されていない) を開けて、 荷電ビーム により処理を行いたい試料 5 0 0 2を真空容器 5 0 2 7の中に入れ、 開閉蓋を気 密に閉鎖し、 真空配管 5 0 2 9により真空容器 5 0 2 7を所定の真空度まで排気 する。 次に、 高純度不活性ガスを供給配管 5 0 3 0から供給して真空容器内を高 純度不活性ガスで満たす。 真空容器 5 0 2 7の内圧が容器 5 0 1 7の内圧と同じ 圧力になったとき、 締切弁 5 0 2 8を矢印 Cの方向に動かして試料 5 0 0 2を容 器 5 0 1 7の中に入れ、 試料搬送機構 (図示せず) によって試料 5 0 0 2をステ ージ 5 0 0 3の所定位置に載置する。 即ち、 試料を真空容器 5 0 2 7に挿入した 後、 真空容器 5 0 2 7を所定の圧力まで真空排気し、 次に真空容器 5 0 2 7内に 高純度の不活性ガスを導入した後、 締切弁を開けて、 高純度の不活性ガスで充満 された真空容器内のステージ 5 0 0 3に試料 5 0 0 2を設置する。 その後、 ステ ージ 5 0 0 3を移動させて試料 5 0 0 2を荷電ビーム照射領域に移送し荷電ビー ムによる処理を行う。
このようにすれば、 真空排気により清浄になった試料面 5 0 2 1は、 荷電ビー ム照射領域に搬送されるまで高純度の不活性ガスで常時覆われており、 大気に曝 されることがない。 従って、 荷電ビーム照射領域に試料が搬入されて、 再度真空 環境に曝されても、 試料面 5 0 2 1から放出されるガスは、 試料面を覆っていた 高純度不活性ガスだけであるため極短時間で排気されてしまい、 荷電ビーム照射 領域の真空度は悪化しない。 このように荷電ビーム照射領域を高い真空度に維持 しゃすくなり、 試料面を汚染するおそれも減少させることができる。
図 2 7は、 荷電ビーム装置の真空排気経路の一つの実施形態を図解的に示した ものである。 この実施形態では、 鏡筒 5 0 0 1の内部を真空排気するための配管 5 0 1 3が超高真空ポンプ 5 0 1 8に接続されている。 更に、 差動排気部 5 0 0 4の高真空用配管 5 0 0 8は超高真空ポンプ 5 0 2 0に接続されており、 低真空 用配管 5 0 0 9は超高真空ポンプ 5 0 2 0 粗引き配管に接続されて粗引きボン プ 5 2 0 1により排気される。 更に、 高純度不活性ガスとして、 例えば、 窒素ガ スが窒素ガス源 5 0 2 2から配管 5 0 1 0を介して差動排気部 5 0 0 4に供給さ れる。
図 2 8は、 荷電ビーム装置の真空排気経路の別の変形例を図解的に示したもの である。 この変形例では、 鏡筒 5 0 0 1を真空排気する配管 5 0 1 3と差動排気 部 5 0 0 4の高真空用配管 5 0 0 8とを合流させて超高真空ポンプ 5 0 1 8に接 続して超高真空ポンプ 5 0 1 8で排気するようにし、 一方、 差動排気部 5 0 0 4 の低真空用配管 5 0 0 9を超高真空ポンプ 5 0 1 8の粗引き配管に接続させて粗 引きポンプ 5 0 1 9により排気するようにしている。 このような構成により、 図 2 7の実施形態よりも真空ポンプの数を減少させることができる。
なお、 上記超高真空ポンプとしては、 例えば、 夕一ポ分子ポンプやイオンボン プ等が適用でき、 粗引きポンプとしては、 例えば、 ドライポンプやダイヤフラム ポンプ等が適用できる。
図 2 9は、 荷電ビーム装置の別の変形例を示したものであり、 不活性ガスの循 環経路を図解的に示している。高純度不活性ガスが満たされた容器 5 0 1 5内に、 鏡筒 5 0 0 1に設けられた差動排気部 5 0 0 4から高純度不活性ガスが供給され る。 供給された高純度不活性ガスは排出管 5 0 1 6を介して容器 5 0 1 5から排 出され、 コンプレッサ 5 0 2 3により加圧される。 加圧された高純度不活性ガス は配管 5 0 2 5を介してコールドトラップや高純度フィル夕等のガス浄化装置 5 0 2 4へ送られて清浄化された後、 配管 5 0 1 0を介して再度差動排気部 5 0 0 4に送られかつ容器 5 0 1 5内に供給される。 この場合、 ガス浄化装置は、 ガス を循環させても純度の劣化に問題がない場合は設けなくてもよい。
差動排気部 5 0 0 4から供給される高純度不活性ガスは、 差動排気機構によつ て吸引され、 低真空用配管 5 0 0 9及び高真空用配管 5 0 0 8を介して超高真空 ポンプ 5 0 2 0及び粗引きポンプ 5 2 0 1により排気される。 耝引きポンプ 5 2 0 1の排気側に設けられた配管 5 0 2 6はコンプレサ 5 0 2 3の排気側の配管 5 0 2 5に接続されているので、 この経路を通った高純度不活性ガスも配管 5 0 1 0を介して再度差動排気部 5 0 0 4に供給される。
このようにして、 高純度不活性ガスを循環させて再利用できるので高純度不活 性ガスを節約でき、 また、 本装置が設置された室内に高純度不活性ガスを排出す ることもないため、不活性ガスによる窒息等の事故が発生するおそれも解消する。 次に、 図 3 0を参照して、 本実施例に係る写像投影型の荷電ビーム装置をゥェ 八欠陥検査装置に適用した一つの変形例について説明する。
本変形例において、 一次光学系の鏡筒 5 0 7 0の電子銃 5 0 7 1で生成された 電子ビーム Eはレンズ群 5 0 7 2を通って所定の断面形状に成形される。 成形さ れた電子ビーム (荷電ビーム) 5 0 5 0は、 ウィーンフィルタ 5 0 7 3により軌 道を変更され、 被検査試料であるウェハ 5 0 0 2に垂直に入射する。 それにより 試料面から二次電子が放出され、 この二次電子は対物レンズ 5 0 7 4により加速 されてウイーンフィルタ 5 0 7 3を直進した後、 レンズ部 5 0 7 5で拡大されて 検出部 5 0 7 6に写像投影される。 検出部 5 0 7 6では二次電子の投影画像を生 成する。 この画像は画像処理され、 必要に応じて他の箇所の画像と比較され、 ゥ ェハの表面に欠陥があるか否かが判定され、 所定の方法でその結果を装置に記録 し且つ表示する。
差動排気部 5 0 0 .4 , 容器 5 0 1 5、 真空配管 5 0 0 8および 5 0 0 9、 及び 高純度不活性ガス供給用の配管 5 0 1 0等の構成及びそれらの作用は上述の図 1 9ないし図 2 9に関連して説明した実施形態のものと同様である。 高純度不活性 ガスは図中の矢印 Dで示されているように容器 5 0 1 5内を流れ、 排出管 5 0 1 6から排出される。
本実施例によれば、 以下のような効果を奏することが可能である。
( 1 ) 真空環境が必要な箇所のみを真空に維持することが可能なため、 ステージ は大気中で使用するものを利用でき、 荷電ビーム装置をコンパクトで低い製造コ ストで製造可能なものとすることができる。
( 2 ) 大気側から試料面にパーティクルが侵入することを防止すると共に、 試料 が大気に直接触れる機会を減少させることができるため、 試料及び荷電ビーム光 学系等がパーティクルや水蒸気等で汚染されることを防止できる。
(3) 試料面やステージの構成部品の表面から真空環境内に放出されるガスの量 を大幅に減少させることができるため、 荷電ビーム照射領域を高い真空度に維持 することが可能である。
(4) 大気中で使用するステージをそのまま利用することができるので、 ステ一 ジのガイドに対して静圧気体軸受を用いることも可能となり、 リニアモータ等の 高精度ァクチユエ一夕と組み合わせることにより、 荷電ビーム装置用のステージ を露光装置等で用いられる大気用の高精度ステージと同等の高精度にすることが 可能である。
次に図 31及び図 32を参照して本発明による半導体デバイスの製造方法の実 施例を説明する。
図 3 1は、 本発明による半導体デバイスの製造方法の一実施例を示すフローチ ヤートである。 この実施例の製造工程は以下の主工程を含んでいる。
(1)ウェハを製造するウェハ製造工程(又はウェハを準備するウェハ準備工程) (ステップ 6400)
(2) 露光に使用するマスクを製造するマスク製造工程 (又はマスクを準備する マスク準備工程) (ステップ 6401)
(3) ウェハに必要な加工処理を行うウェハプロセッシング工程 (ステップ 64 02)
(4) ウェハ上に形成されたチップを 1個ずつ切り出し、 動作可能にならしめる チップ組立工程 (ステップ 6403 )
(5) できたチップを検査するチップ検査工程 (ステップ 6404)
なお、 上記のそれぞれの主工程は更に幾つかのサブ工程からなっている。
これらの主工程中の中で、 半導体デバイスの性能に決定的な影響を及ぼすのが (3) のウェハプロセッシング工程である。 この工程では、 設計された回路パ夕 ーンをウェハ上に順次積層し、 メモリや MP Uとして動作するチップを多数形成 する。 このウェハプロセッシング工程は以下の各工程を含んでいる。
(A) 絶縁層となる誘電体薄膜や配線部、 或いは電極部を形成する金属薄膜等を 形成する薄膜形成工程 ( C V Dやスパッタリング等を用いる) ( B ) この薄膜層やゥェ八基板を酸化する酸化工程
( C) 薄膜層やウェハ基板等を選択的に加工するためにマスク (レチクル) を用 いてレジストパターンを形成するリソグラフィー工程
(D) レジストパターンに従って薄膜層や基板を加工するエッチング工程 (例え ばドライエッチング技術を用いる)
(E ) イオン ·不純物注入拡散工程
( F ) レジスト剥離工程
(G) 加工されたウェハを検査する工程
なお、 ウェハプロセッシング工程は必要な層数だけ繰り返し行い、 設計通り動作 する半導体デバイスを製造する。
図 3 2は、 図 3 1のウェハプロセッシング工程の中核をなすリソグラフィーェ 程を示すフローチャートである。このリソグラフィー工程は以下の各工程を含む。
( a ) 前段の工程で回路パターンが形成されたウェハ上にレジストをコートする レジスト塗布工程 (ステップ 6 5 0 0 )
( b ) レジストを露光する工程 (ステップ 6 5 0 1 )
( c ) 露光されたレジストを現像してレジストのパターンを得る現像工程 (ステ ップ 6 5 0 2 )
( d ) 現像されたレジストパターンを安定化するためのァニール工程 (ステップ 6 5 0 3 )
上記の半導体デバイス製造工程、 ウェハプロセッシング工程、 リソグラフィ一 工程については、 周知のものでありこれ以上の説明を要しないであろう。
上記(G) の検査工程に本発明に係る欠陥検査方法、 欠陥検査装置を用いると、 微細なパターンを有する半導体デパイスでも、スループット良く検査できるので、 全数検査も可能となり、 製品の歩留まりの向上、 欠陥製品の出荷防止が可能と成 る。
上記(G)の検査工程における検査手順について述べる。
一般に電子線を用いた欠陥検査装置は高価であり、 またスループットも他のプ ロセス装置に比べて低いために、 現状では最も検査が必要と考えられている重要 な工程 (例えばエッチング、 成膜 (メツキを含む)、 又は C M P (化学機械研磨) 平坦化処理等) の後に使用されている。
検査されるゥエーハは大気搬送系及び真空搬送系を通して、 超精密 X— Yステ ージ上に位置合わせ後、静電チャック機構等により固定され、 以後、 (図 3 3の検 査フロー) の手順に従って欠陥検査等が行われる。 はじめに光学顕微鏡により、 必要に応じて各ダイの位置確認や、 各場所の高さ検出が行われ記憶される (ステ ップ 7 0 0 1 )。光学顕微鏡はこの他に欠陥等の見たい所の光学顕微鏡像を取得し、 電子線像との比較等にも使用される。 次にゥエー八の種類 (どの工程後か、 ゥェ —ハのサイズは 2 0 c mか 3 0 c mか等) に応じたレシピの情報を装置に入力し (ステップ 7 0 0 2 )、 以下検査場所の指定 (ステップ 7 0 0 3 )、 電子光学系の 設定、 検査条件の設定等 (ステップ 7 0 0 4 ) を行なった後、 画像取得を行ない ながら通常はリアルタイムで欠陥検査を行なう (ステップ 7 0 0 5 )。セル同士の 比較、 ダイ比較等が、 アルゴリズムを備えた高速の情報処理システムにより検査 が行なわれ、必要に応じて C R T等に結果を出力や、メモリーへ記憶を行なう(ス テツプ 7 0 0 6 )。 欠陥にはパーティクル欠陥、 形状異常 (パターン欠陥)、 及び 電気的欠陥等 (配線又はビア等の断線及び導通不良等) が有り、 これらを区別し たり欠陥の大きさや、 キラー欠陥 (チップの使用が不可能になる重大な欠陥等) の分類を自動的にリアルタイムで行うことも出来る。 電気的欠陥の検出はコント ラスト異状を検出することで達成される。例えば導通不良の場所は霉子線照射(5 O O e V程度) により、 通常正に帯電し、 コントラストが低下するので正常な場 所と区別ができる。 この場合の電子線照射手段とは、 通常検査用の電子線照射手 段以外に別途、 電位差によるコントラストを際立たせるために設けた低電位 (ェ ネルギー) の電子線発生手段 (熱電子発生、 U VZ光電子) を含む。 検査対象領 域に検査用の電子線を照射する前に、 この低電位(エネルギー)の電子線を発生 · 照射している。 検查用の電子線を照射すること自体正に帯電させることができる 写像投影方式の場合は、 仕様によっては、 別途低電位の電子線発生手段を設ける 必要はない。 また、 ゥエーハ等の試料に基準電位に対して、 正又は負の電位をか けること等による (素子の順方向又は逆方向により流れ易さが異なるために生じ る) コントラストの違いから欠陥検出が出来る。 これは、 線幅測定装置及び合わ せ精度測定にも利用できる 検査試料の電気的欠陥を検査する方法としては、 本来電気的に絶縁されている 部分とその部分が通電状態にある場合では、 その部分の電位が異なることを利用 することもできる。
それは、 まず、 試料に事前に電荷を付与することで、 本来電気的に絶縁されて いる部分の電位と、 本来電気的に絶縁されている部分であるが、 何らかの原因で 通電状態にある部分の電位とに電位差を生じさせ、 その後本発明のビームを照射 することで、 電位差があるデータを取得し、 この取得データを解析して、 通電状 態となつていることを検出する。
上記各実施例において、 電子ビームが発生すると、 近接相互作用 (表面近くで の粒子の帯電) により標的物質が浮遊して高電位領域に引きつけられるので、 電 子ビームの形成や偏向に使用される様々な電極の表面には絶縁体としての有機物 質が堆積する。 このように、 電極の表面の帯電により徐々に当該電極の表面に堆 積していく絶縁体は電子ビームの形成や偏向機構に悪影響を及ぼすので、 堆積し た絶縁体は周期的に除去しなければならない。 絶縁体の周期的な除去は次のよう にして行う。 すなわち、 絶縁体の堆積する領域の近傍の電極を利用して、 真空中 で水素や酸素あるいはフッ素及びそれらを含む化合物 HF, 〇2, H20, CMFN 等のプラズマを作り出し、 空間内のブラズマ電位を電極面にスパッ夕が生じる電 位(数 kV、 例えば 20 V〜5 kV)に維持することで、 有機物質のみ酸化、 水素 化、 フッ素化により除去する。
なお、 本願は上記実施例に限定されるものではなく、 例えば、 上記実施例の要 素を任意に組み合わせても良い。
本願の検査とは、 単に欠陥等の不良状態の有無を検出するだけでなく、 検査結 果の評価も行う場合も含む。
The entire disclosure of Japanese Patent Applications Nos. 2001-2722 filed on January 10, 2001, 2001-75865 filed on March 16, 2001, 2001-92748 filed on March 28, 2001, 2001-125349 filed on April 24, 2001 and 2001-189325 filed on June 25, 2001 including specification, claims, drawings and summary is incorporated herein by reference in its entirety.

Claims

請求の'範囲
1 . 電子銃から放出された一次電子線を所望の形状に成形し、 該成形された一次 電子線を検査されるべき試料面上に照射する一次電子光学系と、
前記試料から放出された二次電子を拡大投影する二次電子光学系と.、
前記拡大投影された二次電子像を蛍光板を介して光の像に変換し、 ラインセン サで撮像する検出装置と、
前記ラインセンサに設けられた画素列において撮像されたライン画像を転送す るときの電荷移動時間を、 試料を移動させるステージの移動速度に連動して制御 する制御装置とを備えた写像投影型の電子線検査装置。
2 . 請求項 1に記載の電子線検査装置において、
前記制御装置は、 前記ラインセンサの電荷移動時間を前記一次及び二次の電子 光学系の倍率の変動に連動して制御する電子線検査装置。
3 . 請求項 1に記載の電子線検査装置において、
前記検出装置は、 前記蛍光板の前段に配置された、 前記二次電子光学系の二次 電子を増倍するマイクロチヤンネルプレートを備えている電子線検査装置。
4 . 請求項 1に記載の電子線検査装置において、
前記ステージの位置を測定するレーザ干渉計を更に備えている電子線検査装置。
5 . 請求項 1ないし 4のいずれかに記載の電子線検査装置を使用してプロセス途 中のウェハの評価を行うことを特徴とする、 デバイス製造方法。
6 . 電子銃から放出された一次電子線をを検査すべき試料面上に照射する一次電 子光学系と、
前記試料から放出された二次電子を拡大投影する二次電子光学系と、
前記拡大投影された二次電子像に基づいて画像信号を生成する第 1の検出器と、 前記一次電子線を前記試料面上で走査する偏向器と、
前記走査された一次電子線の前記試料面上への照射により、 前記試料から放出 された二次電子に基づいて画像信号を生成する第 2の検出器とを備えた多目的電
7 . 請求項 6に記載の多目的電子線検査装置において、 前記第 1の検出器から出力される前記画像信号に基づいて、 試料表面の欠陥検 出、 試料表面の欠陥レビュー、 及び試料表面上のパターン電位測定のうちの少な くとも一つを行うことができる多目的電子線^査装置。
8 . 請求項 6に記載の多目的電子線検査装置において、
前記第 2の検出器から出力される前記画像信号に基づいて、 試料表面の欠陥検 出、 試料表面の欠陥レビュー、 試料表面上のパターン線幅測定、 及び試料表面上 のパターン電位測定うちの少なくとも一つを行うことができる多目的電子線検査
9 . 請求項 6に記載の多目的電子線検査装置において、
試料表面の欠陥検出、 試料表面の欠陥レビュー、 試料表面上のパターン線幅測 定、 及び試料表面上のパ夕一ン電位測定のうち少なくとも 2つを行うことができ る多目的電子線検査装置。
1 0 . 請求項 9に記載の多目的電子線検査装置において、
前記試料表面の欠陥検出は、 画像信号により得られる画像をパターンデータと 比較するか又はダイ同士の画像を比較することにより行われ、
試料表面の欠陥レビューは、 ウェハ表面上における 1次電子線の走査と同期さ せたモニター上のビームの走査により得られる画像観察により行われ、
試料表面上のパターン線幅測定は、 ウェハ表面上における 1次電子線の走査が パターンの短辺方向に行われるときの 2次電子像により行われ、
パターン電位測定は、 試料表面に最も近い電極に負の電位を与え試料表面の高 い電位を持つパターンから放出される 2次電子を選択的に試料側へ追い戻すこと により行われる多目的電子線検查装置。
1 1 . 1次電子線を試料に照射し試料表面から発生する 2次電子を検出すること により試料ステージ上の試料表面の状態を検査する多目的電子線検査装置であつ て、
1次電子線を矩形、 円形、 及びスポットの内の少なくとも 2種類に成形可能な レンズ系と、
電子線を任意の方向に走査するための偏向系を有する 1次電子光学系と、 試料から放出される 2次電子を試料表面から検出器へ向わせる検出系と、 欠陥を自動的に検出すると共に欠陥の位置情報を出力じ、 更に上記欠陥の形状 を観察可能にする制御部とを有する多目的電子線検査装置。
1 2 . 請求項 1乃至 3のいずれか 1項の多目的電子線検査装置を 1以上の列に複 数台ずつ配置し、 それらの試料ステージを共通とし共通の試料ステージ上の試料 を検査可能とした多目的電子線検査装置の組合せ。
1 3 . 請求項 6乃至 1 2のいずれか 1項の多目的電子線検査装置又はその組合せ を用いてプロセス途中の半導体ゥェハを検査することを特徴とするデパイス製造 方法。
1 4 . 一次電子線により試料を照射する電子照射部と、
該一次電子線の照射により前記試料から生成された二次電子線を拡大投影して 前記試料の画像を生成する二次光学系と、
該画像を受け取るマイクロチャンネルプレートと、
該マイクロチャンネルプレートの出力をシンチレ一夕で光に変換した後、 該光 信号を電気信号へ変換する T D I— C C Dと、
該 T D I—C C Dの出力を処理する画像表示部と、 前記試料を移動させるステ '一ジとを備え、
前記ステージによって前記試料を走査しており、
前記試料と前記マイクロチャンネルプレートとの間に配置された、 前記画像を 回転させる磁気レンズを備えた電子線検査装置。
1 5 . 請求項 1 4に記載の電子線検査装置において、
前記磁気レンズが、 前記光学系の終段のレンズと前記マイクロチャンネルプレ 一卜との間に位置する電子線検査装置。
1 6 . 請求項 1 5に記載の電子線検査装置において、
前記磁気レンズが、 前記マイクロチヤンネルプレートに最も近いクロスオーバ 一位置に配置される電子線検査装置。
1 7 . 請求項 1 4に記載の電子線検査装置において、
前記磁気レンズが、 前記終段のレンズに関して前記マイクロチャンネルプレー トとは反対側の前記終段のレンズに最も近い結像位置に配置される電子線検査装 ' 1 8 . 請求項 1 4ないし 1 7のいずれか 1項に記載の電子線検査装置を用いたこ とを特徴とするデバイス製造方法。
1 9 . 電子銃からの 1次電子線を試料表面に合焦させ走査させることによって試 料から発生する 2次電子を検出し試料表面の評価を行う電子線検査装置であって、 軸対称の中央電極と、 中央電極より試料に近い方に配置される下側電極と、 中 央電極より電子銃に近い方に配置される上側電極とを有する、 1次電子線を試料 表面に合焦させるための静電レンズと、
試料表面上のパターンの電位コントラズトを得る時に、 下側電極に試料表面よ り低い電位の電圧を与える制御装置とを備える電子線検査装置。
2 0 . 請求項 1 9に記載の電子線検査装置において、
電位コントラストを得る必要のない評価の時は、 前記制御装置は、 上記下側電 極にアースに近い電圧を与える電子線検査装置。
2 1 . 請求項 2 0に記載の電子線検査装置において、
下側電極に与える電圧を大きく変化させた時に生じる静電レンズの合焦条件の ずれを、 前記制御装置が、 中央電極に与える正の高圧を変えることにより調整す ることを特徴とする電子線検査装置。
2 2 . 請求項 2 0に記載の電子線検査装置において、
前記制御装置が、 上側電極に与える電圧を調整することによって、 静電レンズ の合焦条件を高速に且つ小さく変化させることができる電子線検査装置。
2 3 . 請求項 1 9に於いて上記電子銃、 静電レンズ、 走査手段、 2次電子検出手 段から成る光学系を複数同一試料上に配置し、 試料上の検査を上記複数の光学系 で同時に行うことを特徴とする電子線を用いた検査方法。
2 4 . 請求項 1 9乃至 2 2のいずれか 1項の電子線検査装置を用いてプロセス途 中のゥェハの評価を行うことを特徴とするデパイス製造方法。
2 5 . ステージ上に置かれた試料の表面に荷電ビームを照射する装置であって、 荷電ビームが照射される箇所の近傍のみを所定の真空度に保っために、 荷電ビ ームが照射される領域を中心として設けられた少なくとも一重の差動排気装置と、 前記差動排気装置の外周側に、 不活性ガスを試料面に対して噴出する不活性ガ ス噴出装置とを備えた荷電ビーム装置。
2 6 . 請求項 2 5に記載の荷電ビーム装置において、
試料を所定の位置に移動させるステージが移動する範囲の全体もしくは試料の 可動範囲を常に覆う、不活性ガスが充満した容器をさらに備えた荷電ビーム装置。 2 7 . 請求項 2 6に記載の荷電ビーム装置において、
前記不活性ガスが充満した容器には、 締切弁を介して真空容器が連接されてお り、
試料は、 前記真空容器を介して前記不活性ガスが充満した容器内に出入り可能 となっている荷電ビーム装置。
2 8 . 請求項 2 7に記載の荷電ビーム装置を提供するステップと、
試料を前記荷電ビーム装置の真空容器内に挿入した後、 前記真空容器を所定の 圧力まで真空排気するステップと、
前記真空容器内に不活性ガ を導入した後、 締切弁を開放して、 不活性ガスが 充満した容器内のステージに前記試料を設置するステップとを備えた試料の搬送 方法。
2 9 . 請求項 2 5ないし 2 7のいずれか 1項に記載の荷電ビーム装置もしくは請 求項 2 8に記載の搬送方法を使用して、 半導体ゥェ八の表面の欠陥を検査するゥ ェ八欠陥検査装置。
3 0 . 請求項 2 9の装置を用いた半導体製造方法。
3 1 . 試料を移動可能に保持するステージと、
試料の観察領域を一次電子線で一括して照射する照射装置と、
照射された試料の観察領域から発生した二次電子を、 レンズ系を介して、 電子 線の画像として一括して結像させて検出する検出器と、
前記結像画像を電気信号に変換する変換装置と、
電気信号に変換された画像情報を記憶する記憶装置とを備えた写像投影型の電
3 2 . 請求項 3 1に記載の電子線検査装置において、
前記変換装置は、 画素列を有するラインセンサを備えており、
さらに、 前記画素列において撮像されたライン画像を転送するときの電荷移動 時間を、 試料を移動させるステージの移動速度に連動して制御する制御装置を備 えた電子線検査装置。
3 3 . 請求項 3 2に記載の電子線検査装置において、
さらに、
前記一次電子線を走査しながら試料を照射する偏向器と、
前記一次電子線の走査しながらの照射により、 試料から発生した二次電子を検 出する第 2の検出器とを備えている電子線検査装置。
3 4 . 請求項 3 2に記載の電子線検査装置において、
さらに、 前記試料と前記検出器との間に配置された、 前記画像を回転させる磁 気レンズを備えた電子線検査装置。
3 5 . 請求項 3 2に記載の電子線検査装置において、
さらに、 1次電子線を試料の観察領域に合焦させるための静電レンズを備え、 前記静電レンズは、 軸対称の中央電極と、 中央電極より試料に近い方に配置さ れる下側電極と、 中央電極より電子銃に近い方に配置される上側電極とを有して おり、
前記電子線検査装置は、 さらに、 試料の観察領域上のパターンの電位コントラ ストを得るために、 下側電極に試料表面より低い電位の電圧を与える電圧付与装 置を備える電子線検査装置。
3 6 . 請求項 3 2に記載の電子線検査装置において、
一次電子線が照射される試料の観察領域の近傍のみを所定の真空度に保っため に、 一次電子線が照射される観察領域を中心として設けられた少なくとも一重の 差動排気装置と、
前記差動排気装置の外周側に、 不活性ガスを試料面に対して噴出する不活性ガ ス噴出装置とを備えた電子線検査装置。
PCT/JP2001/009628 2001-01-10 2001-11-02 Appareil et procede d'inspection a faisceau d'electrons, et procede de fabrication de dispositif comportant l'appareil d'inspection WO2002056332A1 (fr)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2002556904A JPWO2002056332A1 (ja) 2001-01-10 2001-11-02 電子線による検査装置、検査方法、及びその検査装置を用いたデバイス製造方法
EP01978999A EP1271604A4 (en) 2001-01-10 2001-11-02 EXAMINATION DEVICE AND INVESTIGATION METHOD WITH ELECTRON BEAM AND COMPONENT MANUFACTURING METHODS WITH THE INVESTIGATION DEVICE

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
JP2001-2722 2001-01-10
JP2001002722 2001-01-10
JP2001-75865 2001-03-16
JP2001075865 2001-03-16
JP2001092748 2001-03-28
JP2001-92748 2001-03-28
JP2001-125349 2001-04-24
JP2001125349 2001-04-24
JP2001-189325 2001-06-22
JP2001189325 2001-06-22

Publications (2)

Publication Number Publication Date
WO2002056332A1 true WO2002056332A1 (fr) 2002-07-18
WO2002056332A8 WO2002056332A8 (fr) 2002-11-21

Family

ID=27531771

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2001/009628 WO2002056332A1 (fr) 2001-01-10 2001-11-02 Appareil et procede d'inspection a faisceau d'electrons, et procede de fabrication de dispositif comportant l'appareil d'inspection

Country Status (4)

Country Link
US (3) US6992290B2 (ja)
EP (1) EP1271604A4 (ja)
JP (2) JPWO2002056332A1 (ja)
WO (1) WO2002056332A1 (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004100206A1 (ja) * 2003-05-09 2004-11-18 Ebara Corporation 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
JP2005249745A (ja) * 2004-03-08 2005-09-15 Ebara Corp 試料表面検査方法および検査装置
JP2006202654A (ja) * 2005-01-21 2006-08-03 Horon:Kk ステージ
US7138629B2 (en) 2003-04-22 2006-11-21 Ebara Corporation Testing apparatus using charged particles and device manufacturing method using the testing apparatus
WO2007086254A1 (ja) * 2006-01-25 2007-08-02 Sii Nanotechnology Inc. 荷電粒子ビーム装置
WO2007086400A1 (ja) * 2006-01-25 2007-08-02 Ebara Corporation 試料表面検査方法及び検査装置
JP2009016356A (ja) * 2008-08-08 2009-01-22 Hitachi High-Technologies Corp 荷電粒子線を用いた検査方法および検査装置
JP2016106374A (ja) * 2010-08-03 2016-06-16 株式会社荏原製作所 異物付着防止機能を備えた電子線検査装置及び方法
JP2016157695A (ja) * 2003-05-09 2016-09-01 株式会社荏原製作所 電子線装置
WO2020188645A1 (ja) * 2019-03-15 2020-09-24 株式会社ニコン 荷電粒子装置、支持装置及び観察方法
JP2020161497A (ja) * 2020-06-17 2020-10-01 エーエスエムエル ネザーランズ ビー.ブイ. 複数荷電粒子ビームの装置
WO2021053753A1 (ja) * 2019-09-18 2021-03-25 株式会社ニコン 真空形成部材、真空形成装置及び荷電粒子装置
TWI730269B (zh) 2018-04-12 2021-06-11 台灣積體電路製造股份有限公司 晶圓級檢驗的方法及晶圓級檢驗系統
US11107657B2 (en) 2015-03-10 2021-08-31 Asml Netherlands B.V. Apparatus of plural charged-particle beams
TWI743718B (zh) 2019-03-27 2021-10-21 荷蘭商Asml荷蘭公司 可調整帶電粒子射束分離器及使用多射束系統檢測晶圓之方法

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3534582B2 (ja) * 1997-10-02 2004-06-07 株式会社日立製作所 パターン欠陥検査方法および検査装置
JP2002260296A (ja) * 2001-02-28 2002-09-13 Sony Corp 電子ビーム照射装置及び電子ビーム照射方法
US6998611B2 (en) * 2001-09-06 2006-02-14 Ebara Corporation Electron beam apparatus and device manufacturing method using same
JP3626453B2 (ja) * 2001-12-27 2005-03-09 株式会社東芝 フォトマスクの修正方法及び修正装置
JP3996774B2 (ja) * 2002-01-09 2007-10-24 株式会社日立ハイテクノロジーズ パターン欠陥検査方法及びパターン欠陥検査装置
US6853143B2 (en) * 2002-01-09 2005-02-08 Ebara Corporation Electron beam system and method of manufacturing devices using the system
FR2838272B1 (fr) * 2002-04-09 2004-07-16 St Microelectronics Sa Procede et dispositif de correction de rotation d'un affichage video
DE10235456B4 (de) * 2002-08-02 2008-07-10 Leo Elektronenmikroskopie Gmbh Elektronenmikroskopiesystem
US7157703B2 (en) * 2002-08-30 2007-01-02 Ebara Corporation Electron beam system
US7446474B2 (en) * 2002-10-10 2008-11-04 Applied Materials, Inc. Hetero-junction electron emitter with Group III nitride and activated alkali halide
US7015467B2 (en) * 2002-10-10 2006-03-21 Applied Materials, Inc. Generating electrons with an activated photocathode
US6770895B2 (en) * 2002-11-21 2004-08-03 Asml Holding N.V. Method and apparatus for isolating light source gas from main chamber gas in a lithography tool
US7012583B2 (en) * 2003-02-07 2006-03-14 Shimadzu Corporation Apparatus and method for testing pixels of flat panel display
US7135675B1 (en) * 2003-03-10 2006-11-14 Kla-Tencor Technologies Corporation Multi-pixel and multi-column electron emission inspector
US6919573B2 (en) * 2003-03-20 2005-07-19 Asml Holding N.V Method and apparatus for recycling gases used in a lithography tool
TWI345054B (en) * 2003-05-30 2011-07-11 Ebara Corp Specimen inspection device and method, and method for making a semiconductor device using such specimen inspection device and method
JP4266713B2 (ja) * 2003-06-03 2009-05-20 キヤノン株式会社 位置決め装置及び露光装置
JP2005101537A (ja) * 2003-08-29 2005-04-14 Canon Inc 露光装置及びそれを用いたデバイスの製造方法
JP4230880B2 (ja) * 2003-10-17 2009-02-25 株式会社東芝 欠陥検査方法
WO2005074002A2 (en) * 2004-01-29 2005-08-11 Applied Materials Israel, Ltd. Focusing system and method for a charged particle imaging system
US7319335B2 (en) * 2004-02-12 2008-01-15 Applied Materials, Inc. Configurable prober for TFT LCD array testing
US7355418B2 (en) * 2004-02-12 2008-04-08 Applied Materials, Inc. Configurable prober for TFT LCD array test
US6833717B1 (en) * 2004-02-12 2004-12-21 Applied Materials, Inc. Electron beam test system with integrated substrate transfer module
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
JP4578875B2 (ja) * 2004-07-16 2010-11-10 株式会社荏原製作所 写像型電子顕微鏡
JP4359232B2 (ja) * 2004-12-20 2009-11-04 株式会社日立ハイテクノロジーズ 荷電粒子線装置
JP2006216396A (ja) * 2005-02-04 2006-08-17 Hitachi High-Technologies Corp 荷電粒子線装置
US9390886B2 (en) * 2005-02-17 2016-07-12 Ebara Corporation Electro-optical inspection apparatus using electron beam
WO2006093268A1 (ja) * 2005-03-03 2006-09-08 Ebara Corporation 写像投影型電子線装置及び該装置を用いた欠陥検査システム
KR20070116260A (ko) * 2005-03-22 2007-12-07 가부시키가이샤 에바라 세이사꾸쇼 전자선장치
US7535238B2 (en) * 2005-04-29 2009-05-19 Applied Materials, Inc. In-line electron beam test system
WO2007013398A1 (ja) * 2005-07-26 2007-02-01 Ebara Corporation 電子線装置
JP4875886B2 (ja) 2005-11-22 2012-02-15 株式会社日立ハイテクノロジーズ 荷電粒子線装置
JP5058489B2 (ja) * 2006-01-25 2012-10-24 株式会社荏原製作所 試料表面検査装置及び検査方法
EP1816668A2 (en) * 2006-02-01 2007-08-08 FEI Company Particle-optical apparatus with a predetermined final vacuum pressure
JP4825530B2 (ja) * 2006-02-06 2011-11-30 株式会社日立ハイテクノロジーズ パターン欠陥検査方法および装置
JP4734148B2 (ja) * 2006-03-14 2011-07-27 株式会社日立ハイテクノロジーズ 試料観察方法,画像処理装置、及び荷電粒子線装置
WO2007106759A2 (en) * 2006-03-14 2007-09-20 Applied Materials, Inc. Method to reduce cross talk in a multi column e-beam test system
JP4741408B2 (ja) * 2006-04-27 2011-08-03 株式会社荏原製作所 試料パターン検査装置におけるxy座標補正装置及び方法
US7786742B2 (en) 2006-05-31 2010-08-31 Applied Materials, Inc. Prober for electronic device testing on large area substrates
US7602199B2 (en) * 2006-05-31 2009-10-13 Applied Materials, Inc. Mini-prober for TFT-LCD testing
JP2007335125A (ja) * 2006-06-13 2007-12-27 Ebara Corp 電子線装置
CN101506927B (zh) * 2006-06-30 2012-06-13 株式会社岛津制作所 电子束控制方法、电子束生成设备、使用该方法的设备,以及发射器
US20080073572A1 (en) * 2006-07-20 2008-03-27 Siegfried Schwarzl Systems and methods of measuring power in lithography systems
JP5139658B2 (ja) * 2006-09-21 2013-02-06 株式会社ニューフレアテクノロジー 描画データ処理制御装置
US20080116390A1 (en) * 2006-11-17 2008-05-22 Pyramid Technical Consultants, Inc. Delivery of a Charged Particle Beam
DE102006059416B4 (de) * 2006-12-15 2009-05-20 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zur Steigerung der Messgenauigkeit digitaler 3D-Geometriemesssysteme
EP2132763B1 (en) * 2007-02-22 2014-05-07 Applied Materials Israel Ltd. High throughput sem tool
JP2008233035A (ja) * 2007-03-23 2008-10-02 Toshiba Corp 基板検査方法
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
JP5055015B2 (ja) * 2007-05-09 2012-10-24 株式会社日立ハイテクノロジーズ 荷電粒子線装置
US7966743B2 (en) * 2007-07-31 2011-06-28 Eastman Kodak Company Micro-structured drying for inkjet printers
DE102007054073A1 (de) * 2007-11-13 2009-05-14 Carl Zeiss Nts Gmbh System und Verfahren zum Bearbeiten eines Objekts
US7986412B2 (en) 2008-06-03 2011-07-26 Jzw Llc Interferometric defect detection and classification
US7864334B2 (en) * 2008-06-03 2011-01-04 Jzw Llc Interferometric defect detection
WO2009149103A1 (en) * 2008-06-03 2009-12-10 Jeong Hwan J Interferometric defect detection and classification
JP5492405B2 (ja) * 2008-12-02 2014-05-14 株式会社日立ハイテクノロジーズ 荷電粒子線装置
US7932494B2 (en) * 2009-03-03 2011-04-26 Hermes Microvision, Inc. Method for characterizing vibrational performance of charged particle beam microscope system and application thereof
US8559014B2 (en) * 2009-09-25 2013-10-15 Hwan J. Jeong High-resolution, common-path interferometric imaging systems and methods
JP5645386B2 (ja) * 2009-09-30 2014-12-24 株式会社日立製作所 電磁場印加装置
KR101117199B1 (ko) 2009-12-15 2012-03-07 한국전기연구원 원통형 자기부상 스테이지 및 노광장치
US8314410B2 (en) * 2010-04-07 2012-11-20 Fei Company Combination laser and charged particle beam system
EP2385542B1 (en) 2010-05-07 2013-01-02 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Electron beam device with dispersion compensation, and method of operating same
JPWO2011155122A1 (ja) * 2010-06-07 2013-08-01 株式会社日立ハイテクノロジーズ 回路パターン検査装置およびその検査方法
JP5566265B2 (ja) * 2010-11-09 2014-08-06 東京エレクトロン株式会社 基板処理装置、プログラム、コンピュータ記憶媒体及び基板の搬送方法
US8546962B2 (en) * 2011-03-08 2013-10-01 United Microelectronics Corp. Mark structure and method for measuring alignment accuracy between former layer and latter layer
JP5115997B1 (ja) * 2011-12-27 2013-01-09 独立行政法人産業技術総合研究所 走査型電子顕微鏡像観察用の試料支持部材及び走査型電子顕微鏡像の観察方法
US9053900B2 (en) * 2012-04-03 2015-06-09 Kla-Tencor Corporation Apparatus and methods for high-resolution electron beam imaging
NL2009053C2 (en) * 2012-06-22 2013-12-24 Univ Delft Tech Apparatus and method for inspecting a surface of a sample.
JP6051014B2 (ja) * 2012-10-29 2016-12-21 株式会社日立ハイテクノロジーズ 試料格納用容器、荷電粒子線装置、及び画像取得方法
US8995746B2 (en) * 2013-03-15 2015-03-31 KLA—Tencor Corporation Image synchronization of scanning wafer inspection system
CN105794192B (zh) * 2013-11-06 2019-03-08 统雷有限公司 用于对异步触发采集到的图像进行校正的方法
TWI660400B (zh) * 2013-12-13 2019-05-21 日商荏原製作所股份有限公司 頂板開關機構及檢查裝置
CN103760181B (zh) * 2013-12-24 2016-02-17 兰州空间技术物理研究所 星用介质材料二次电子发射系数的测试方法和测试系统
US10541103B2 (en) * 2014-12-10 2020-01-21 Hitachi High-Technologies Corporation Charged particle beam device
US9872277B2 (en) * 2014-12-30 2018-01-16 Electronics And Telecommunications Research Institute Beam selection apparatus and method for selecting beam in a fixed beam terminal
DE102015202172B4 (de) 2015-02-06 2017-01-19 Carl Zeiss Microscopy Gmbh Teilchenstrahlsystem und Verfahren zur teilchenoptischen Untersuchung eines Objekts
US10262845B2 (en) 2015-02-10 2019-04-16 Hamilton Sundstrand Corporation System and method for enhanced ion pump lifespan
US10665437B2 (en) * 2015-02-10 2020-05-26 Hamilton Sundstrand Corporation System and method for enhanced ion pump lifespan
WO2016182948A1 (en) 2015-05-08 2016-11-17 Kla-Tencor Corporation Method and system for aberration correction in electron beam system
US9619728B2 (en) * 2015-05-31 2017-04-11 Fei Company Dynamic creation of backup fiducials
US10103004B2 (en) * 2015-07-02 2018-10-16 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH System and method for imaging a secondary charged particle beam with adaptive secondary charged particle optics
US10408676B2 (en) 2015-10-01 2019-09-10 Mission Support and Test Services, LLC Long-pulse-width variable-wavelength chirped pulse generator and method
US9589763B1 (en) * 2015-11-04 2017-03-07 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Method for detecting signal charged particles in a charged particle beam device, and charged particle beam device
WO2017095908A1 (en) 2015-11-30 2017-06-08 Hermes Microvision Inc. Apparatus of plural charged-particle beams
GB201609995D0 (en) * 2016-06-08 2016-07-20 Aquasium Technology Ltd Shaped welding head
CN106841845B (zh) * 2016-12-15 2021-06-29 华中师范大学 一种电子器件抗辐射性能测试方法和系统
US10217704B1 (en) 2017-01-05 2019-02-26 National Technology & Engineering Solutions Of Sandia, Llc Method for simultaneous modification of multiple semiconductor device features
US10840056B2 (en) * 2017-02-03 2020-11-17 Kla Corporation Multi-column scanning electron microscopy system
US10096447B1 (en) * 2017-08-02 2018-10-09 Kla-Tencor Corporation Electron beam apparatus with high resolutions
CN111527581B (zh) * 2017-09-29 2023-11-14 Asml荷兰有限公司 利用多个带电粒子束检查样品的方法
US10345250B2 (en) * 2017-10-12 2019-07-09 Applied Materials, Inc. Method of inspecting a sample with a charged particle beam device, and charged particle beam device
DE102018202428B3 (de) 2018-02-16 2019-05-09 Carl Zeiss Microscopy Gmbh Vielstrahl-Teilchenmikroskop
DE102018202421B3 (de) 2018-02-16 2019-07-11 Carl Zeiss Microscopy Gmbh Vielstrahl-Teilchenstrahlsystem
CN112055886A (zh) 2018-02-27 2020-12-08 卡尔蔡司MultiSEM有限责任公司 带电粒子多束系统及方法
US10811215B2 (en) 2018-05-21 2020-10-20 Carl Zeiss Multisem Gmbh Charged particle beam system
JP2019204618A (ja) * 2018-05-22 2019-11-28 株式会社日立ハイテクノロジーズ 走査型電子顕微鏡
DE112018007301B4 (de) * 2018-05-30 2023-11-30 Hitachi High-Tech Corporation Waferuntersuchungseinrichtung und Waferuntersuchungsverfahren
JP7093242B2 (ja) * 2018-06-27 2022-06-29 株式会社ニューフレアテクノロジー 荷電粒子ビーム画像取得装置
IT201800007349A1 (it) * 2018-07-19 2020-01-19 Apparecchio multistadio per vuoto con separazione degli stadi controllata da un attuatore in lega a memoria di forma
DE102018007455B4 (de) 2018-09-21 2020-07-09 Carl Zeiss Multisem Gmbh Verfahren zum Detektorabgleich bei der Abbildung von Objekten mittels eines Mehrstrahl-Teilchenmikroskops, System sowie Computerprogrammprodukt
DE102018007652B4 (de) 2018-09-27 2021-03-25 Carl Zeiss Multisem Gmbh Teilchenstrahl-System sowie Verfahren zur Stromregulierung von Einzel-Teilchenstrahlen
DE102018124044B3 (de) 2018-09-28 2020-02-06 Carl Zeiss Microscopy Gmbh Verfahren zum Betreiben eines Vielstrahl-Teilchenstrahlmikroskops und Vielstrahl-Teilchenstrahlsystem
US20200194223A1 (en) * 2018-12-14 2020-06-18 Kla Corporation Joint Electron-Optical Columns for Flood-Charging and Image-Forming in Voltage Contrast Wafer Inspections
TWI743626B (zh) 2019-01-24 2021-10-21 德商卡爾蔡司多重掃描電子顯微鏡有限公司 包含多束粒子顯微鏡的系統、對3d樣本逐層成像之方法及電腦程式產品
CN111477530B (zh) 2019-01-24 2023-05-05 卡尔蔡司MultiSEM有限责任公司 利用多束粒子显微镜对3d样本成像的方法
DE102020120940B4 (de) * 2020-08-07 2023-12-28 Carl Zeiss Smt Gmbh Bearbeitungsanordnung, Vorrichtung, Verfahren, Spülplatte und Verwendung
US11094499B1 (en) * 2020-10-04 2021-08-17 Borries Pte. Ltd. Apparatus of charged-particle beam such as electron microscope comprising sliding specimen table within objective lens
CN112366021B (zh) * 2020-11-09 2022-09-23 中国工程物理研究院核物理与化学研究所 一种实现反应堆辐照参数均匀化的设备及方法
JP2024501232A (ja) * 2020-12-21 2024-01-11 シンギュラー・ゲノミクス・システムズ・インコーポレイテッド 多色撮像のためのシステム及び方法
US20230411109A1 (en) * 2022-06-16 2023-12-21 Fei Company Methods for determining the virtual source location of a liquid metal ion source
CN116234145A (zh) * 2023-01-09 2023-06-06 中国科学院近代物理研究所 紧凑型强流h2+离子束产生装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1012684A (ja) * 1996-06-26 1998-01-16 Hitachi Ltd 半導体装置の検査方法及びその装置
JPH11345585A (ja) * 1998-06-03 1999-12-14 Nikon Corp 電子ビームによる検査装置および検査方法
JP2000100369A (ja) * 1998-09-28 2000-04-07 Jeol Ltd 荷電粒子ビーム装置
JP2000113848A (ja) * 1998-10-05 2000-04-21 Nikon Corp 電子ビーム検査装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH065691B2 (ja) 1987-09-26 1994-01-19 株式会社東芝 半導体素子の試験方法および試験装置
JP3034975B2 (ja) 1991-03-26 2000-04-17 株式会社東芝 パターン特徴抽出方法
US5363968A (en) 1991-08-23 1994-11-15 Pfizer Inc. Automatic blister inspection system
JP2726587B2 (ja) 1991-11-29 1998-03-11 株式会社東芝 電子ビーム照射装置および電気信号検出装置
JP2877624B2 (ja) 1992-07-16 1999-03-31 株式会社東芝 走査電子顕微鏡の対物レンズアライメント制御装置及び制御方法
JP3151308B2 (ja) 1992-09-25 2001-04-03 株式会社東芝 光学鏡筒
US5892224A (en) * 1996-05-13 1999-04-06 Nikon Corporation Apparatus and methods for inspecting wafers and masks using multiple charged-particle beams
JPH09311112A (ja) 1996-05-22 1997-12-02 Nikon Corp 欠陥検査装置
JPH10255709A (ja) 1997-01-08 1998-09-25 Nikon Corp 画像検査装置
US6184526B1 (en) 1997-01-08 2001-02-06 Nikon Corporation Apparatus and method for inspecting predetermined region on surface of specimen using electron beam
JPH10284394A (ja) 1997-04-04 1998-10-23 Nikon Corp 荷電ビーム露光方法及び荷電ビーム露光装置
US6365897B1 (en) * 1997-12-18 2002-04-02 Nikon Corporation Electron beam type inspection device and method of making same
JPH11242943A (ja) 1997-12-18 1999-09-07 Nikon Corp 検査装置
WO1999050651A1 (en) * 1998-03-27 1999-10-07 Hitachi, Ltd. Pattern inspection device
JP3724949B2 (ja) * 1998-05-15 2005-12-07 株式会社東芝 基板検査装置およびこれを備えた基板検査システム並びに基板検査方法
US6670602B1 (en) * 1998-06-03 2003-12-30 Nikon Corporation Scanning device and scanning method
EP0969493A1 (en) * 1998-07-03 2000-01-05 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Apparatus and method for examining specimen with a charged particle beam
JP2000100364A (ja) * 1998-09-25 2000-04-07 Nikon Corp 荷電粒子線転写装置
JP2000356512A (ja) 1999-06-14 2000-12-26 Hitachi Ltd 外観検査システムおよび外観検査方法
US6683320B2 (en) * 2000-05-18 2004-01-27 Fei Company Through-the-lens neutralization for charged particle beam system

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1012684A (ja) * 1996-06-26 1998-01-16 Hitachi Ltd 半導体装置の検査方法及びその装置
JPH11345585A (ja) * 1998-06-03 1999-12-14 Nikon Corp 電子ビームによる検査装置および検査方法
JP2000100369A (ja) * 1998-09-28 2000-04-07 Jeol Ltd 荷電粒子ビーム装置
JP2000113848A (ja) * 1998-10-05 2000-04-21 Nikon Corp 電子ビーム検査装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1271604A4 *

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7365324B2 (en) 2003-04-22 2008-04-29 Ebara Corporation Testing apparatus using charged particles and device manufacturing method using the testing apparatus
US9406480B2 (en) 2003-04-22 2016-08-02 Ebara Corporation Testing apparatus using charged particles and device manufacturing method using the testing apparatus
US8946631B2 (en) 2003-04-22 2015-02-03 Ebara Corporation Testing apparatus using charged particles and device manufacturing method using the testing apparatus
US7138629B2 (en) 2003-04-22 2006-11-21 Ebara Corporation Testing apparatus using charged particles and device manufacturing method using the testing apparatus
US8742341B2 (en) 2003-04-22 2014-06-03 Ebara Corporation Testing apparatus using charged particles and device manufacturing method using the testing apparatus
US7741601B2 (en) 2003-04-22 2010-06-22 Ebara Corporation Testing apparatus using charged particles and device manufacturing method using the testing apparatus
JP2016157695A (ja) * 2003-05-09 2016-09-01 株式会社荏原製作所 電子線装置
KR101052335B1 (ko) * 2003-05-09 2011-07-27 가부시키가이샤 에바라 세이사꾸쇼 전자선장치, 전자선 검사방법, 전자선 검사장치, 노광조건 결정방법, 패턴검사방법, 기판검사방법, 패턴검사장치, 기판검사장치 및 검출기 위치결정방법
WO2004100206A1 (ja) * 2003-05-09 2004-11-18 Ebara Corporation 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
JP2005249745A (ja) * 2004-03-08 2005-09-15 Ebara Corp 試料表面検査方法および検査装置
JP2006202654A (ja) * 2005-01-21 2006-08-03 Horon:Kk ステージ
US8859984B2 (en) 2006-01-25 2014-10-14 Ebara Corporation Method and apparatus for inspecting sample surface
JPWO2007086400A1 (ja) * 2006-01-25 2009-06-18 株式会社荏原製作所 試料表面検査方法及び検査装置
JP2013080722A (ja) * 2006-01-25 2013-05-02 Ebara Corp 試料表面検査方法及び検査装置
TWI397096B (zh) * 2006-01-25 2013-05-21 Ebara Corp 試料表面檢查方法及檢查裝置
US8525127B2 (en) 2006-01-25 2013-09-03 Ebara Corporation Method and apparatus for inspecting sample surface
WO2007086254A1 (ja) * 2006-01-25 2007-08-02 Sii Nanotechnology Inc. 荷電粒子ビーム装置
JP2007200648A (ja) * 2006-01-25 2007-08-09 Sii Nanotechnology Inc 荷電粒子ビーム装置
WO2007086400A1 (ja) * 2006-01-25 2007-08-02 Ebara Corporation 試料表面検査方法及び検査装置
JP2011192654A (ja) * 2006-01-25 2011-09-29 Ebara Corp 試料表面検査方法及び検査装置
JP2009016356A (ja) * 2008-08-08 2009-01-22 Hitachi High-Technologies Corp 荷電粒子線を用いた検査方法および検査装置
JP2016106374A (ja) * 2010-08-03 2016-06-16 株式会社荏原製作所 異物付着防止機能を備えた電子線検査装置及び方法
US11107657B2 (en) 2015-03-10 2021-08-31 Asml Netherlands B.V. Apparatus of plural charged-particle beams
TWI730269B (zh) 2018-04-12 2021-06-11 台灣積體電路製造股份有限公司 晶圓級檢驗的方法及晶圓級檢驗系統
WO2020188645A1 (ja) * 2019-03-15 2020-09-24 株式会社ニコン 荷電粒子装置、支持装置及び観察方法
TWI743718B (zh) 2019-03-27 2021-10-21 荷蘭商Asml荷蘭公司 可調整帶電粒子射束分離器及使用多射束系統檢測晶圓之方法
WO2021053753A1 (ja) * 2019-09-18 2021-03-25 株式会社ニコン 真空形成部材、真空形成装置及び荷電粒子装置
JP2020161497A (ja) * 2020-06-17 2020-10-01 エーエスエムエル ネザーランズ ビー.ブイ. 複数荷電粒子ビームの装置
JP7066779B2 (ja) 2020-06-17 2022-05-13 エーエスエムエル ネザーランズ ビー.ブイ. 複数荷電粒子ビームの装置

Also Published As

Publication number Publication date
US20080173814A1 (en) 2008-07-24
US7351969B2 (en) 2008-04-01
EP1271604A1 (en) 2003-01-02
US20060118719A1 (en) 2006-06-08
US6992290B2 (en) 2006-01-31
JP5129865B2 (ja) 2013-01-30
EP1271604A4 (en) 2005-05-25
JP2011142090A (ja) 2011-07-21
US7569838B2 (en) 2009-08-04
WO2002056332A8 (fr) 2002-11-21
US20020088940A1 (en) 2002-07-11
JPWO2002056332A1 (ja) 2004-05-20

Similar Documents

Publication Publication Date Title
JP5129865B2 (ja) 電子線検査装置及びその電子線検査装置を使用したウエハ欠陥検査装置
JP6220423B2 (ja) 検査装置
US7223973B2 (en) Apparatus for inspection with electron beam, method for operating same, and method for manufacturing semiconductor device using former
US6593152B2 (en) Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
US8803103B2 (en) Inspection system by charged particle beam and method of manufacturing devices using the system
JP2005235777A (ja) 電子線による検査装置、検査方法、及びその検査装置を用いたデバイス製造方法
WO2002001597A1 (fr) Appareil d&#39;inspection a faisceau de particules chargees et procede de fabrication d&#39;un dispositif utilisant cet appareil d&#39;inspection
WO2002013227A1 (fr) Appareil d&#39;analyse a faisceau plan
JP2007019033A (ja) 電子線による検査装置、検査方法、及びその検査装置を用いたデバイス製造方法
JP4221428B2 (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
JP2008193119A (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法
JP2007035645A (ja) 撮像装置、欠陥検査装置、欠陥検査方法及び電子線検査装置
JPWO2002001596A1 (ja) 荷電粒子線による検査装置及びその検査装置を用いたデバイス製造方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): DE FR GB NL

WWE Wipo information: entry into national phase

Ref document number: 2001978999

Country of ref document: EP

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2002 556904

Kind code of ref document: A

Format of ref document f/p: F

121 Ep: the epo has been informed by wipo that ep was designated in this application
AK Designated states

Kind code of ref document: C1

Designated state(s): JP

AL Designated countries for regional patents

Kind code of ref document: C1

Designated state(s): DE FR GB NL

WR Later publication of a revised version of an international search report
WWP Wipo information: published in national office

Ref document number: 2001978999

Country of ref document: EP