WO2002056351A2 - Polishing of semiconductor substrates - Google Patents

Polishing of semiconductor substrates Download PDF

Info

Publication number
WO2002056351A2
WO2002056351A2 PCT/US2002/000707 US0200707W WO02056351A2 WO 2002056351 A2 WO2002056351 A2 WO 2002056351A2 US 0200707 W US0200707 W US 0200707W WO 02056351 A2 WO02056351 A2 WO 02056351A2
Authority
WO
WIPO (PCT)
Prior art keywords
dielectric layer
remove
barrier layer
polishing composition
removal rate
Prior art date
Application number
PCT/US2002/000707
Other languages
French (fr)
Other versions
WO2002056351A3 (en
Inventor
Qiuliang Luo
Qianqiu Ye
Kelly H. Block
Original Assignee
Rodel Holdings, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/759,583 external-priority patent/US20020132560A1/en
Application filed by Rodel Holdings, Inc. filed Critical Rodel Holdings, Inc.
Priority to JP2002556923A priority Critical patent/JP4000059B2/en
Priority to EP02718822A priority patent/EP1352418A2/en
Publication of WO2002056351A2 publication Critical patent/WO2002056351A2/en
Publication of WO2002056351A3 publication Critical patent/WO2002056351A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents

Definitions

  • the invention relates to removal of a barrier layer from an underlying dielectric layer on a semiconductor substrate by performing chemical mechanical polishing (CMP) of the semiconductor substrate.
  • CMP is performed to remove a barrier layer from an underlying dielectric layer on a semiconductor substrate on which integrated circuits are constructed. Further, CMP is performed to provide the dielectric layer with a smooth, planar polished surface.
  • the substrate to be polished is mounted on a carrier of a polishing machine. The carrier biases the substrate against the polishing pad while the substrate and the polishing pad undergo relative movement.
  • a water based, aqueous polishing composition is applied at an interface of the substrate and the polishing pad.
  • U.S. 5,676,587 discloses removal of a liner film, referred to herein as a barrier layer, from an underlying dielectric layer, by performing CMP with a neutral pH, silica- based slurry.
  • a slurry is an embodiment of an aqueous polishing composition.
  • the barrier layer is removed by abrasion applied by the polishing pad, and. by chemical reaction of the barrier layer with the aqueous polishing composition, followed by dissolution in the aqueous polishing composition of the products of chemical reaction. Abrasion is applied by abrasive particles present in an embodiment of the polishing composition. While CMP is performed, to remove a barrier layer from an underlying dielectric layer, the underlying dielectric layer undergoes unwanted erosion.
  • Erosion refers to removal of the dielectric layer by the CMP operation. It is desirable to minimize erosion, while CMP is performed to remove a barrier layer from the dielectric layer. Alternatively, it is desirable to remove a controlled amount of the dielectric layer while CMP is performed to remove a barrier layer from the dielectric layer.
  • US 5,614,444 discloses a slurry having molecules with at least one apolar component. The molecules bond with respective surface silanol bonding groups to form attached, apolar molecules, which apolar molecules are inherently hydrophobic to form a surface film that reduces erosion while CMP is performed.
  • an aqueous polishing composition has abrasive particles and water of basic pH to remove a barrier layer from a dielectric layer by CMP, and the aqueous polishing composition further comprises; solely polar molecules each
  • Figure 1 is a cross section of a semiconductor substrate having a metal layer.
  • Figure 2 is a view similar to Fig. 1, with the metal layer removed from an exposed barrier layer of the semiconductor substrate.
  • Figure 3 is a view similar to Fig. 2, with the barrier layer removed from an underlying oxide layer or dielectric layer.
  • Figure 1 discloses a semiconductor substrate having, a layer 10 of conductive material, metal, a barrier layer 11 and an underlying dielectric layer 12 on a substrate 13.
  • the dielectric layer 12 has trenches 14, one shown, that are filled with the conductive material.
  • the conductive material comprises, copper, tungsten or aluminum.
  • Fig. 3 discloses that the barrier layer 11 lines the trenches to prevent migration of metal ions into the dielectric layer.
  • the barrier layer 11 comprises, tantalum, tantalum nitride, titanium and/or titanium nitride or an alloy thereof.
  • the dielectric layer comprises, a silicon oxide, for example, a silicon oxide derived from tetraethyl orthosilicate (TEOS).
  • TEOS tetraethyl orthosilicate
  • Figure 2 discloses a semiconductor substrate of Figure 1 with the conductive metal layer 10 of Fig. 1 removed, resulting in an exposed barrier layer 21 and a trench 22 filled with metal.
  • the metal layer 10 is removed by a known CMP operation.
  • Figure 3 discloses the semiconductor substrate after the barrier layer 21 has been selectively removed by CMP, to obtain a planar surface 31 on a dielectric layer, with minimized erosion, and with a trench 32 filled with metal.
  • CMP is performed to selectively remove the barrier layer 21 from the underlying dielectric layer 12, while minimizing erosion of the dielectric layer 12 by CMP.
  • An aqueous polishing composition that is sufficient for removal of Ta or TaN barrier layer 21 from the underlying dielectric layer 12 by polishing with a polishing pad and with the aqueous polishing composition, has, abrasive particles and water of basic pH.
  • the aqueous polishing composition contains abrasive particles at about 0.0001, 0.05, 0.1, 0.25, 0.5, 1, 2, 3, 4, 5, 10, 15, 20, 25, to 30% by weight.
  • An embodiment of an aqueous polishing composition comprises substantially all abrasive particles having a mean size less than 5 ⁇ .
  • Substantially all abrasive particles includes, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 99.5, 99.9% of the particles.
  • An embodiment of the abrasive particles comprises colloidal silica particles.
  • the aqueous polishing composition comprises, one or more of; a pH buffer, a surfactant, a deflocculant, a viscosity modifier, a wetting agent, a cleaning agent, and a biocide.
  • a biocide comprises Neolone M50 available from Rohm and Haas Co., Philadelphia, PA, with an active ingredient of 5-chloro-2- methyl-4-isothiazolin-3 -one .
  • the aqueous polishing composition further comprises, solely polar molecules each having multiple, polar bonding sites forming respective hydrogen bonds with silanol bonding groups on a hydrated silicon dielectric layer of a semiconductor substrate, which form an hydrophilic protective film of the polar molecules.
  • the solely polar molecules are hydrophilic. Solely polar is a terminology that refers to a molecule having multiple polar bonding sites without having an apolar bonding site.
  • the invention pertains to CMP of a substrate wherein the dielectric layer having the surface 31 is silicon dioxide.
  • the silicon dioxide surface 31 is hydrated to have silanol and siloxane groups wherein the siloxane linkage (Si-O-Si) is formed by self condensation of silanol (Si-OH) groups.
  • the silanol bonding groups are of high acidity, and tend to form hydrogen bonds with the solely polar molecules that have solely polar, hydrogen bonding sites, also known as, electron donor sites.
  • the solely polar molecules have nitrogen atoms at nuclei of functional groups that are capable of forming intermolecular hydrogen bonds with silanol bonding groups on the surface 31 of the hydrated dielectric layer. Removal of the dielectric layer by CMP is suppressed by such polar molecules attached by formation of hydrogen bonds with respective silanol bonding groups on the hydrated surface 31 of the dielectric layer, which form an hydrophilic protective film on the surface 31 of the dielectric layer.
  • an aqueous polishing composition comprises, solely polar molecules forming bonds with bonding groups on the hydrated dielectric layer.
  • the molecules are derived from a nitrogen- containing polymer compound, including but not limited to; polyacrylamide, polyvinylpyrrolidone, polyethyleneimine, and polyethyleneimine.
  • polyethyleneimine molecules have nitrogen atoms at nuclei of bonding sites, the bonding sites forming intermolecular hydrogen bonds with respective silanol bonding groups on the surface of the dielectric layer, and forming a hydrophilic film that suppresses removal of the dielectric layer during the performance of CMP to remove the barrier layer.
  • Polyethyleneimines have a general chemical structure:
  • Branched polyethyleneimines have a general chemical structure: H 2 N - (-CH 2 -CH 2 - R- )m - (-CH 2 -CH 2 -NH-)n - where R is (-CH2 - CH2 - N-) and m and n are greater than or equal to 1, respectively.
  • the multiple nitrogen atoms in respective solely polar molecules are at nuclei of respective sites for forming intermolecular hydrogen bonds with silanol bonding groups on the hydrated dielectric layer to result in a protective film of attached polar molecules, which enable controlled amounts of erosion, or which minimize erosion, of the dielectric layer during the performance of CMP to remove the barrier layer.
  • Example 1 A neutral pH to basic pH, polishing composition according to the invention was used to polish semiconductor substrates having, copper in trenches; TEOS, a silicon dioxide dielectric layer; and a Tantalum barrier layer.
  • An IPEC 472 polisher was used with an aqueous polishing composition flow rate of 200 ml/min.
  • Each formulation contained a biocide (Neolone M50 obtained from Rohm and Haas Company, Philadelphia, Pa) at a concentration of about 0.01% by weight; Benzotriazole (BTA) at
  • This data set was obtained using an AMAT Mirra Polishing Machine in place of an IPEC 472 polisher, with an IC 1010 polishing pad (available from Rodel, Inc., Newark, Delaware) with a downforce of 3 psi, a carrier speed of 103 rpm, an aqueous polishing composition flow rate of 200 ml/min and a polishing time of 60 seconds.
  • an AMAT Mirra Polishing Machine in place of an IPEC 472 polisher, with an IC 1010 polishing pad (available from Rodel, Inc., Newark, Delaware) with a downforce of 3 psi, a carrier speed of 103 rpm, an aqueous polishing composition flow rate of 200 ml/min and a polishing time of 60 seconds.
  • a selectivity ratio comprises a ratio of barrier layer 21 removal rate to dielectric layer removal rate during CMP.
  • the selectivity ratio is further referred to in the table, disclosed herein, by, Ta:TEOS selectivity ratio.
  • the table indicates an unanticipated . result that, when the average molecular weight of the solely polar molecules of polyethyleneimine increases to 800K from 750 K, the Ta:TEOS selectivity ratio increased from 4 to 27.1 (6.78 times) while the weight per cent concentration of such molecules was substantially the same, as indicated in the table by a nominal amount of change from 0.2 to 0.25 weight percent concentration. Accordingly, minimized erosion
  • Attorney Docket No.: 000072Ulpct corresponds with the high selectivity ratio, as provided by increasing the average molecular weight to at least 800K from lower levels of molecular weights.
  • a lower selectivity ratio is provided by lowering the average molecular weight from 800K to 750K.
  • a lower selectivity ratio is provided by lowering the average molecular weight from 750K to 50K.
  • to produce a selectivity ratio of 3.8 by molecules of 5 OK average molecular weight, requires a 6.8 weight per cent concentration.
  • increases in selectivity ratio, corresponding with decreases in a rate of removal of the dielectric layer, are produced by increasing the average molecular weight of the molecules.
  • selecting the average molecular weight adjusts the selectivity ratio (for example, adjusts the selectivity ratio lower), to remove a controlled amount of the dielectric layer, upon removal of the barrier layer 21 by CMP.
  • selecting the concentration (for example, selecting a lower concentration) of the molecules adjusts the selectivity ratio (for example, adjusts the selectivity ratio lower), to remove a controlled amount of the dielectric layer, upon removal of the barrier layer 21 by CMP.
  • selectivity ratio for example, adjusts the selectivity ratio lower
  • aqueous polishing composition adjusts the selectivity ratio toward lower numerical values, to purposely remove a controlled amount of the dielectric layer 12, when CMP is performed to remove the barrier layer 21. A controlled amount of the dielectric layer is removed, which lowers the elevation of the dielectric layer, until the dielectric layer becomes substantially coplanar with the copper in the trenches.
  • a substantially coplanar dielectric layer and copper in trenches comprise a desirable manufacturing effect known as planarization.
  • Planarization is sufficiently familiar, that chemical mechanical polishing, as described herein, is further known by an alternative terminology, chemical mechanical planarization.
  • the solely polar molecules are provided by a polymer compound comprising, polyethyleneimine (PEI) with an average molecular
  • Attorney Docket No. : 000072U1 pet 6 weight in one of the following ranges, from about 100, 200, 500, 600, 700, 800, 900, 1000, 2000, 3000, 4000, 5000, 6000, 7000, 8000, 9000, 10,000, 20,000, 30,000, 40,000, 50,000, 100,000, 200,000, 300,000, 400,000, 500,000, 600,000, or 700,000, to about 1,000,000.
  • Each of such ranges includes an advantageous range of molecular weights of at least 800K to optimize the selectivity ratio, Ta:TEOS, for minimizing erosion.
  • Each of such ranges includes an advantageous range of molecular weights below 800K to adjust the selectivity ratio, Ta:TEOS, for removing a controlled amount of the dielectric layer.
  • the average molecular weight is obtained by a single average weight distribution of the solely polar molecules of polyethyleneimine.
  • the average molecular weight is obtained by a mixture of polyethyleneimines of different molecular weights, which are mixed according to proportions that attain a desired average molecular weight.
  • the desired average molecular weight is obtained by a mixture of polyethyleneimines, of which, some comprise a first molecular weight distribution (e.g., a distribution in a range from about 100 to about 5,000), and some comprise at least one additional molecular weight distribution (e.g., a distribution in a range from about 5,000 to about 1,000,000).
  • An aqueous polishing composition has abrasive particles and water of basic pH to remove a barrier layer from a dielectric layer by CMP, and the aqueous polishing composition further comprises; solely polar molecules each having multiple, polar bonding sites forming bonds with bonding groups on a hydrated dielectric layer of a semiconductor substrate, to form an hydrophilic protective film of the polar molecules on the dielectric layer.
  • An aqueous polishing composition comprises, solely polar molecules forming bonds with bonding groups on the hydrated dielectric layer.
  • the molecules are derived from a nitrogen-containing polymer compound, including but not limited to; polyacrylamide, polyvinylpyrrolidone, polyethyleneimine, and polyethyleneimine.

Abstract

An aqueous polishing composition comprises, abrasive particles and water of basic pH to remove a barrier layer by CMP using a polishing pad, and solely polar molecules each having multiple, polar bonding sites forming respective hydrogen bonds with silanol bonding groups on a hydrated silicon dielectric layer of a semiconductor substrate, which form an hydrophilic protective film of the polar molecules that minimizes erosion.

Description

POLISHING OF SEMICONDUCTOR SUBSTRATES
The invention relates to removal of a barrier layer from an underlying dielectric layer on a semiconductor substrate by performing chemical mechanical polishing (CMP) of the semiconductor substrate. CMP is performed to remove a barrier layer from an underlying dielectric layer on a semiconductor substrate on which integrated circuits are constructed. Further, CMP is performed to provide the dielectric layer with a smooth, planar polished surface. The substrate to be polished is mounted on a carrier of a polishing machine. The carrier biases the substrate against the polishing pad while the substrate and the polishing pad undergo relative movement. A water based, aqueous polishing composition is applied at an interface of the substrate and the polishing pad.
U.S. 5,676,587 discloses removal of a liner film, referred to herein as a barrier layer, from an underlying dielectric layer, by performing CMP with a neutral pH, silica- based slurry. A slurry is an embodiment of an aqueous polishing composition. During CMP, the barrier layer is removed by abrasion applied by the polishing pad, and. by chemical reaction of the barrier layer with the aqueous polishing composition, followed by dissolution in the aqueous polishing composition of the products of chemical reaction. Abrasion is applied by abrasive particles present in an embodiment of the polishing composition. While CMP is performed, to remove a barrier layer from an underlying dielectric layer, the underlying dielectric layer undergoes unwanted erosion. Erosion refers to removal of the dielectric layer by the CMP operation. It is desirable to minimize erosion, while CMP is performed to remove a barrier layer from the dielectric layer. Alternatively, it is desirable to remove a controlled amount of the dielectric layer while CMP is performed to remove a barrier layer from the dielectric layer. US 5,614,444 discloses a slurry having molecules with at least one apolar component. The molecules bond with respective surface silanol bonding groups to form attached, apolar molecules, which apolar molecules are inherently hydrophobic to form a surface film that reduces erosion while CMP is performed.
According to the invention, an aqueous polishing composition has abrasive particles and water of basic pH to remove a barrier layer from a dielectric layer by CMP, and the aqueous polishing composition further comprises; solely polar molecules each
Attorney Docket No.: 000072Ulpct 1 having multiple, polar bonding sites forming bonds with bonding groups on a hydrated dielectric layer of a semiconductor substrate, to form an hydrophilic protective film of the polar molecules on the dielectric layer.
Embodiments of the invention will now be described by way of example with reference to the accompanying drawings.
Figure 1 is a cross section of a semiconductor substrate having a metal layer.
Figure 2 is a view similar to Fig. 1, with the metal layer removed from an exposed barrier layer of the semiconductor substrate.
Figure 3 is a view similar to Fig. 2, with the barrier layer removed from an underlying oxide layer or dielectric layer.
Figure 1 discloses a semiconductor substrate having, a layer 10 of conductive material, metal, a barrier layer 11 and an underlying dielectric layer 12 on a substrate 13. The dielectric layer 12 has trenches 14, one shown, that are filled with the conductive material. The conductive material comprises, copper, tungsten or aluminum. Fig. 3 discloses that the barrier layer 11 lines the trenches to prevent migration of metal ions into the dielectric layer. The barrier layer 11 comprises, tantalum, tantalum nitride, titanium and/or titanium nitride or an alloy thereof. The dielectric layer comprises, a silicon oxide, for example, a silicon oxide derived from tetraethyl orthosilicate (TEOS).
Figure 2 discloses a semiconductor substrate of Figure 1 with the conductive metal layer 10 of Fig. 1 removed, resulting in an exposed barrier layer 21 and a trench 22 filled with metal. The metal layer 10 is removed by a known CMP operation.
Figure 3 discloses the semiconductor substrate after the barrier layer 21 has been selectively removed by CMP, to obtain a planar surface 31 on a dielectric layer, with minimized erosion, and with a trench 32 filled with metal. With reference to Fig. 2, CMP is performed to selectively remove the barrier layer 21 from the underlying dielectric layer 12, while minimizing erosion of the dielectric layer 12 by CMP. An aqueous polishing composition that is sufficient for removal of Ta or TaN barrier layer 21 from the underlying dielectric layer 12 by polishing with a polishing pad and with the aqueous polishing composition, has, abrasive particles and water of basic pH.
Attorney Docket No. : 000072Ulpct 2 According to an embodiment, the aqueous polishing composition contains abrasive particles at about 0.0001, 0.05, 0.1, 0.25, 0.5, 1, 2, 3, 4, 5, 10, 15, 20, 25, to 30% by weight. An embodiment of an aqueous polishing composition comprises substantially all abrasive particles having a mean size less than 5μ. Substantially all abrasive particles includes, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 99.5, 99.9% of the particles. An embodiment of the abrasive particles comprises colloidal silica particles.
Alternatively, the aqueous polishing composition comprises, one or more of; a pH buffer, a surfactant, a deflocculant, a viscosity modifier, a wetting agent, a cleaning agent, and a biocide. An embodiment of the biocide comprises Neolone M50 available from Rohm and Haas Co., Philadelphia, PA, with an active ingredient of 5-chloro-2- methyl-4-isothiazolin-3 -one .
According to the invention, the aqueous polishing composition further comprises, solely polar molecules each having multiple, polar bonding sites forming respective hydrogen bonds with silanol bonding groups on a hydrated silicon dielectric layer of a semiconductor substrate, which form an hydrophilic protective film of the polar molecules. The solely polar molecules are hydrophilic. Solely polar is a terminology that refers to a molecule having multiple polar bonding sites without having an apolar bonding site.
According to an embodiment, the invention pertains to CMP of a substrate wherein the dielectric layer having the surface 31 is silicon dioxide. The silicon dioxide surface 31 is hydrated to have silanol and siloxane groups wherein the siloxane linkage (Si-O-Si) is formed by self condensation of silanol (Si-OH) groups. The silanol bonding groups are of high acidity, and tend to form hydrogen bonds with the solely polar molecules that have solely polar, hydrogen bonding sites, also known as, electron donor sites. The solely polar molecules have nitrogen atoms at nuclei of functional groups that are capable of forming intermolecular hydrogen bonds with silanol bonding groups on the surface 31 of the hydrated dielectric layer. Removal of the dielectric layer by CMP is suppressed by such polar molecules attached by formation of hydrogen bonds with respective silanol bonding groups on the hydrated surface 31 of the dielectric layer, which form an hydrophilic protective film on the surface 31 of the dielectric layer.
Attorney Docket No.: 000072Ulpct 3 According to an embodiment, an aqueous polishing composition comprises, solely polar molecules forming bonds with bonding groups on the hydrated dielectric layer. According to an embodiment, the molecules are derived from a nitrogen- containing polymer compound, including but not limited to; polyacrylamide, polyvinylpyrrolidone, polyethyleneimine, and polyethyleneimine.
For example, polyethyleneimine molecules have nitrogen atoms at nuclei of bonding sites, the bonding sites forming intermolecular hydrogen bonds with respective silanol bonding groups on the surface of the dielectric layer, and forming a hydrophilic film that suppresses removal of the dielectric layer during the performance of CMP to remove the barrier layer. Polyethyleneimines have a general chemical structure:
(-NH-CH2-CH2-)m(-N(CH2-CH2-NH2)-CH2-CH2-)n wherein m and n are integers that can be varied independently of each other, and with each having a value greater than or equal to 1.
Branched polyethyleneimines have a general chemical structure: H2N - (-CH2-CH2 - R- )m - (-CH2-CH2-NH-)n - where R is (-CH2 - CH2 - N-) and m and n are greater than or equal to 1, respectively.
The multiple nitrogen atoms in respective solely polar molecules, such as molecules of polyethyleneimine, are at nuclei of respective sites for forming intermolecular hydrogen bonds with silanol bonding groups on the hydrated dielectric layer to result in a protective film of attached polar molecules, which enable controlled amounts of erosion, or which minimize erosion, of the dielectric layer during the performance of CMP to remove the barrier layer.
Example 1 A neutral pH to basic pH, polishing composition according to the invention was used to polish semiconductor substrates having, copper in trenches; TEOS, a silicon dioxide dielectric layer; and a Tantalum barrier layer. An IPEC 472 polisher was used with an aqueous polishing composition flow rate of 200 ml/min. Each formulation contained a biocide (Neolone M50 obtained from Rohm and Haas Company, Philadelphia, Pa) at a concentration of about 0.01% by weight; Benzotriazole (BTA) at
Attorney Docket No. : 000072Ulpct 4 about 0.1% by weight as an inhibitor preventing dishing of metal in trenches; a surfactant onto copper at about 2% by weight; and colloidal silica particles known as Klebesol 1501-50 (obtained from Clariant Corp) at about 8.5% by weight of the polishing composition. The removal rates in Angstroms/minute were observed with polyethyleneimines, PEIs, at different weight concentrations of various average molecular weights, which are entered in the following table.
Figure imgf000006_0001
Note (1): This data set was obtained using an AMAT Mirra Polishing Machine in place of an IPEC 472 polisher, with an IC 1010 polishing pad (available from Rodel, Inc., Newark, Delaware) with a downforce of 3 psi, a carrier speed of 103 rpm, an aqueous polishing composition flow rate of 200 ml/min and a polishing time of 60 seconds.
A selectivity ratio comprises a ratio of barrier layer 21 removal rate to dielectric layer removal rate during CMP. The selectivity ratio is further referred to in the table, disclosed herein, by, Ta:TEOS selectivity ratio. The table indicates an unanticipated . result that, when the average molecular weight of the solely polar molecules of polyethyleneimine increases to 800K from 750 K, the Ta:TEOS selectivity ratio increased from 4 to 27.1 (6.78 times) while the weight per cent concentration of such molecules was substantially the same, as indicated in the table by a nominal amount of change from 0.2 to 0.25 weight percent concentration. Accordingly, minimized erosion
Attorney Docket No.: 000072Ulpct corresponds with the high selectivity ratio, as provided by increasing the average molecular weight to at least 800K from lower levels of molecular weights.
A lower selectivity ratio is provided by lowering the average molecular weight from 800K to 750K. A lower selectivity ratio is provided by lowering the average molecular weight from 750K to 50K. Yet, to produce a selectivity ratio of 3.8, by molecules of 5 OK average molecular weight, requires a 6.8 weight per cent concentration. A conclusion can be drawn that increases in selectivity ratio, corresponding with decreases in a rate of removal of the dielectric layer, are produced by increasing the average molecular weight of the molecules. Further, selecting the average molecular weight (for example, selecting a lower average molecular weight) adjusts the selectivity ratio (for example, adjusts the selectivity ratio lower), to remove a controlled amount of the dielectric layer, upon removal of the barrier layer 21 by CMP. Still further, selecting the concentration (for example, selecting a lower concentration) of the molecules adjusts the selectivity ratio (for example, adjusts the selectivity ratio lower), to remove a controlled amount of the dielectric layer, upon removal of the barrier layer 21 by CMP. The importance of adjusting the selectivity ratio will now be explained.
Sometimes the process of CMP, as described with reference to Fig. 2, removes copper in the trenches 22, producing a manufacturing effect known as dishing. Because of dishing, some of the copper in the trenches 22 has a lower elevation than the elevation of the dielectric layer 12. Such a manufacturing effect is reversed according to a feature of the invention. An aqueous polishing composition according to the invention adjusts the selectivity ratio toward lower numerical values, to purposely remove a controlled amount of the dielectric layer 12, when CMP is performed to remove the barrier layer 21. A controlled amount of the dielectric layer is removed, which lowers the elevation of the dielectric layer, until the dielectric layer becomes substantially coplanar with the copper in the trenches. A substantially coplanar dielectric layer and copper in trenches comprise a desirable manufacturing effect known as planarization. Planarization is sufficiently familiar, that chemical mechanical polishing, as described herein, is further known by an alternative terminology, chemical mechanical planarization. According to an embodiment , the solely polar molecules are provided by a polymer compound comprising, polyethyleneimine (PEI) with an average molecular
Attorney Docket No. : 000072U1 pet 6 weight, in one of the following ranges, from about 100, 200, 500, 600, 700, 800, 900, 1000, 2000, 3000, 4000, 5000, 6000, 7000, 8000, 9000, 10,000, 20,000, 30,000, 40,000, 50,000, 100,000, 200,000, 300,000, 400,000, 500,000, 600,000, or 700,000, to about 1,000,000. Each of such ranges includes an advantageous range of molecular weights of at least 800K to optimize the selectivity ratio, Ta:TEOS, for minimizing erosion. Each of such ranges includes an advantageous range of molecular weights below 800K to adjust the selectivity ratio, Ta:TEOS, for removing a controlled amount of the dielectric layer.
According to an embodiment, the average molecular weight is obtained by a single average weight distribution of the solely polar molecules of polyethyleneimine. According to another embodiment, the average molecular weight is obtained by a mixture of polyethyleneimines of different molecular weights, which are mixed according to proportions that attain a desired average molecular weight. For example, the desired average molecular weight is obtained by a mixture of polyethyleneimines, of which, some comprise a first molecular weight distribution (e.g., a distribution in a range from about 100 to about 5,000), and some comprise at least one additional molecular weight distribution (e.g., a distribution in a range from about 5,000 to about 1,000,000).
An aqueous polishing composition has abrasive particles and water of basic pH to remove a barrier layer from a dielectric layer by CMP, and the aqueous polishing composition further comprises; solely polar molecules each having multiple, polar bonding sites forming bonds with bonding groups on a hydrated dielectric layer of a semiconductor substrate, to form an hydrophilic protective film of the polar molecules on the dielectric layer.
An aqueous polishing composition comprises, solely polar molecules forming bonds with bonding groups on the hydrated dielectric layer. According to an embodiment, the molecules are derived from a nitrogen-containing polymer compound, including but not limited to; polyacrylamide, polyvinylpyrrolidone, polyethyleneimine, and polyethyleneimine.
Attorney Docket No.: 000072Ulpct

Claims

CLAIMS:
1. An aqueous polishing composition having abrasive particles and water of basic pH to remove a barrier layer from a dielectric layer by CMP, and characterised by; solely polar molecules each having multiple, polar bonding sites forming respective bonds with bonding groups on a hydrated dielectric layer of a semiconductor substrate, to form an hydrophilic protective film of the solely polar molecules on the dielectric layer.
2. An aqueous polishing composition as recited in claim 1 wherein, the solely polar molecules comprise polyethyleneimine of an average molecular weight of 800,000.
3. An aqueous polishing composition as recited in claim 1, further characterised by; the average molecular weight of the solely polar molecules being selected to adjust a selectivity ratio, of barrier layer removal rate to dielectric layer removal rate, to remove a controlled amount of the dielectric layer when CMP is performed to remove the barrier layer.
4. An aqueous polishing composition as recited in claim 1, further characterised by; the concentration of the solely polar molecules being selected to adjust a selectivity ratio, of barrier layer removal rate to dielectric layer removal rate, to remove a controlled amount of the dielectric layer when CMP is performed to remove the barrier layer.
5. An aqueous polishing composition as recited in claim 1 wherein, the molecules are derived from polymer compounds, including but not, limited to; polyacrylamide, polyvinylpyrrolidone, polyethyleneimine, and polyethyleneimine.
6. An aqueous polishing composition having abrasive particles and water of basic pH to remove a barrier layer from a dielectric layer by CMP, and further characterised by; molecules derived from polymer compounds, including but not limited to; polyacrylamide, polyvinylpyrrolidone, polyethyleneimine, and polyethyleneimine, each having multiple, polar bonding sites forming respective hydrogen bonds with silanol bonding groups on a hydrated silicon dielectric layer of a semiconductor substrate, which fonn an hydrophilic protective film of the molecules on the dielectric layer.
7. An aqueous polishing composition as recited in claim 6, further characterised by; the average molecular weight of the solely polar molecules being selected to adjust a selectivity ratio, of barrier layer removal rate to dielectric layer removal rate, to remove a
Attorney Docket No.: 000072Ulpct 8 controlled amount of the dielectric layer when CMP is performed to remove the barrier layer.
8. An aqueous polishing composition as recited in claim 6, further characterised by; the concentration of the solely polar molecules being selected to adjust a selectivity ratio, of barrier layer removal rate to dielectric layer removal rate, to remove a controlled amount of the dielectric layer when CMP is perfonned to remove the barrier layer.
9. A method of adjusting a selectivity ratio, of barrier layer removal rate to dielectric layer removal rate, for a polishing composition used in a process of chemical mechanical polishing to remove a barrier layer from a dielectric layer on a semiconductor wafer, characterised by the steps of: providing the polishing composition with a concentration of molecules having multiple bonding sites with bonding groups on the dielectric layer, and an average molecular weight selected to adjust the selectivity ratio, such that a controlled amount of the dielectric layer is removed when chemical mechanical polishing removes the barrier layer from the dielectric layer on a semiconductor wafer, and performing chemical mechanical polishing with the polishing composition and with a polishing pad to remove the barrier layer from the dielectric layer on a semiconductor wafer, and to remove the controlled amount of the dielectric layer.
10. A method of adjusting a selectivity ratio, of barrier layer removal rate to dielectric layer removal rate, for a polishing composition used in a process of chemical mechanical polishing to remove a barrier layer from a dielectric layer on a semiconductor wafer, characterised by the steps of: providing the polishing composition with a concentration of molecules having multiple bonding sites with bonding groups on the dielectric layer, and a concentration in the polishing composition selected to adjust the selectivity ratio, such that a controlled amount of the dielectric layer is removed when chemical mechanical polishing removes the barrier layer from the dielectric layer on a semiconductor wafer, and performing chemical mechanical polishing with the polishing composition and with a polishing pad to remove the barrier layer from the dielectric layer on a semiconductor wafer, and to remove the controlled amount of the dielectric layer.
Attorney Docket No. : 000072Ulpct 9
PCT/US2002/000707 2001-01-12 2002-01-09 Polishing of semiconductor substrates WO2002056351A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2002556923A JP4000059B2 (en) 2001-01-12 2002-01-09 Polishing semiconductor substrates
EP02718822A EP1352418A2 (en) 2001-01-12 2002-01-09 Polishing of semiconductor substrates

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US09/759,583 US20020132560A1 (en) 2001-01-12 2001-01-12 Polishing method for selective chemical mechanical polishing of semiconductor substrates
US09/759,583 2001-01-12
US10/005,253 2001-12-04
US10/005,253 US6676718B2 (en) 2001-01-12 2001-12-04 Polishing of semiconductor substrates

Publications (2)

Publication Number Publication Date
WO2002056351A2 true WO2002056351A2 (en) 2002-07-18
WO2002056351A3 WO2002056351A3 (en) 2002-11-28

Family

ID=26674130

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/000707 WO2002056351A2 (en) 2001-01-12 2002-01-09 Polishing of semiconductor substrates

Country Status (5)

Country Link
US (1) US6676718B2 (en)
EP (1) EP1352418A2 (en)
JP (1) JP4000059B2 (en)
TW (1) TWI246532B (en)
WO (1) WO2002056351A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004076575A2 (en) * 2003-02-27 2004-09-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Modular barrier removal polishing slurry
JP2005136388A (en) * 2003-09-25 2005-05-26 Rohm & Haas Electronic Materials Cmp Holdings Inc Barrier polishing fluid
JP2005286224A (en) * 2004-03-30 2005-10-13 Nitta Haas Inc Semiconductor polishing composition

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7416680B2 (en) * 2001-10-12 2008-08-26 International Business Machines Corporation Self-cleaning colloidal slurry composition and process for finishing a surface of a substrate
US20040082274A1 (en) * 2002-10-24 2004-04-29 Yaojian Leng Polishing slurry used for copper chemical mechanical polishing (CMP) process
US7022255B2 (en) * 2003-10-10 2006-04-04 Dupont Air Products Nanomaterials Llc Chemical-mechanical planarization composition with nitrogen containing polymer and method for use
US20050194562A1 (en) * 2004-02-23 2005-09-08 Lavoie Raymond L.Jr. Polishing compositions for controlling metal interconnect removal rate in semiconductor wafers
US7504044B2 (en) 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7531105B2 (en) * 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US20060135045A1 (en) * 2004-12-17 2006-06-22 Jinru Bian Polishing compositions for reducing erosion in semiconductor wafers
JP4918223B2 (en) * 2005-01-13 2012-04-18 ニッタ・ハース株式会社 Silicon wafer polishing composition and silicon wafer polishing method
US7179159B2 (en) 2005-05-02 2007-02-20 Applied Materials, Inc. Materials for chemical mechanical polishing
JP5121128B2 (en) * 2005-06-20 2013-01-16 ニッタ・ハース株式会社 Semiconductor polishing composition
KR100813100B1 (en) * 2006-06-29 2008-03-17 성균관대학교산학협력단 Extensible System ? Method for Stereo Maching in Real Time
US20100087065A1 (en) * 2007-01-31 2010-04-08 Advanced Technology Materials, Inc. Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
US7696095B2 (en) * 2007-02-23 2010-04-13 Ferro Corporation Auto-stopping slurries for chemical-mechanical polishing of topographic dielectric silicon dioxide
JP5507909B2 (en) * 2009-07-14 2014-05-28 東京エレクトロン株式会社 Deposition method
US9203030B2 (en) * 2011-05-16 2015-12-01 Georgia Tech Research Corporation Recyclable organic solar cells on substrates comprising cellulose nanocrystals (CNC)
WO2012161202A1 (en) * 2011-05-24 2012-11-29 株式会社クラレ Erosion inhibitor for chemical mechanical polishing, slurry for chemical mechanical polishing, and chemical mechanical polishing method
US20180244955A1 (en) * 2017-02-28 2018-08-30 Versum Materials Us, Llc Chemical Mechanical Planarization of Films Comprising Elemental Silicon

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4867757A (en) * 1988-09-09 1989-09-19 Nalco Chemical Company Lapping slurry compositions with improved lap rate
EP0373501A2 (en) * 1988-12-12 1990-06-20 E.I. Du Pont De Nemours And Company Fine polishing composition for wafers
WO1996038262A1 (en) * 1995-06-01 1996-12-05 Rodel, Inc. Compositions for polishing silicon wafers and methods
EP0846740A1 (en) * 1996-12-09 1998-06-10 International Business Machines Corporation Slurry compositions
WO1999064527A1 (en) * 1998-06-10 1999-12-16 Rodel Holdings, Inc. Composition and method for polishing in metal cmp
WO2000024842A1 (en) * 1998-10-23 2000-05-04 Arch Specialty Chemicals, Inc. A chemical mechanical polishing slurry system having an activator solution
WO2001032794A1 (en) * 1999-11-04 2001-05-10 Advanced Micro Devices, Inc. A ta barrier slurry containing an organic additive
WO2001041973A2 (en) * 1999-12-07 2001-06-14 Cabot Microelectronics Corporation Chemical-mechanical polishing method
EP1152046A1 (en) * 1998-12-01 2001-11-07 Fujimi Incorporated Polishing composition and polishing method employing it

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2987171B2 (en) * 1990-06-01 1999-12-06 イー・アイ・デュポン・ドゥ・ヌムール・アンド・カンパニー Concentrated composition for fine polishing of wafers
US5614444A (en) 1995-06-06 1997-03-25 Sematech, Inc. Method of using additives with silica-based slurries to enhance selectivity in metal CMP
US5676587A (en) 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
US5756398A (en) 1997-03-17 1998-05-26 Rodel, Inc. Composition and method for polishing a composite comprising titanium
US6083840A (en) 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
US6524168B2 (en) * 2000-06-15 2003-02-25 Rodel Holdings, Inc Composition and method for polishing semiconductors
FR2848219B1 (en) 2002-12-09 2006-12-01 Centre Nat Rech Scient COMPOSITE MATERIAL FOR USE AS LUBRICATING COATING

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4867757A (en) * 1988-09-09 1989-09-19 Nalco Chemical Company Lapping slurry compositions with improved lap rate
EP0373501A2 (en) * 1988-12-12 1990-06-20 E.I. Du Pont De Nemours And Company Fine polishing composition for wafers
WO1996038262A1 (en) * 1995-06-01 1996-12-05 Rodel, Inc. Compositions for polishing silicon wafers and methods
EP0846740A1 (en) * 1996-12-09 1998-06-10 International Business Machines Corporation Slurry compositions
WO1999064527A1 (en) * 1998-06-10 1999-12-16 Rodel Holdings, Inc. Composition and method for polishing in metal cmp
WO2000024842A1 (en) * 1998-10-23 2000-05-04 Arch Specialty Chemicals, Inc. A chemical mechanical polishing slurry system having an activator solution
EP1152046A1 (en) * 1998-12-01 2001-11-07 Fujimi Incorporated Polishing composition and polishing method employing it
WO2001032794A1 (en) * 1999-11-04 2001-05-10 Advanced Micro Devices, Inc. A ta barrier slurry containing an organic additive
WO2001041973A2 (en) * 1999-12-07 2001-06-14 Cabot Microelectronics Corporation Chemical-mechanical polishing method

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 016, no. 271 (E-1218), 18 June 1992 (1992-06-18) & JP 04 063428 A (NIHON MONSANTO KK), 28 February 1992 (1992-02-28) *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004076575A2 (en) * 2003-02-27 2004-09-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Modular barrier removal polishing slurry
WO2004076575A3 (en) * 2003-02-27 2004-12-02 Rohm & Haas Elect Mat Modular barrier removal polishing slurry
JP2005136388A (en) * 2003-09-25 2005-05-26 Rohm & Haas Electronic Materials Cmp Holdings Inc Barrier polishing fluid
JP2005286224A (en) * 2004-03-30 2005-10-13 Nitta Haas Inc Semiconductor polishing composition

Also Published As

Publication number Publication date
JP4000059B2 (en) 2007-10-31
TWI246532B (en) 2006-01-01
JP2004526301A (en) 2004-08-26
US20020132563A1 (en) 2002-09-19
EP1352418A2 (en) 2003-10-15
US6676718B2 (en) 2004-01-13
WO2002056351A3 (en) 2002-11-28

Similar Documents

Publication Publication Date Title
US6676718B2 (en) Polishing of semiconductor substrates
US7018560B2 (en) Composition for polishing semiconductor layers
KR101005304B1 (en) Tantalum barrier removal solution
KR100581649B1 (en) Composition and Method for Polishing in Metal CMP
US6916742B2 (en) Modular barrier removal polishing slurry
KR102427996B1 (en) Chemical mechanical polishing composition and method for polishing tungsten
US20050215183A1 (en) Chemical-mechanical planarization composition having PVNO and associated method for use
US20020019202A1 (en) Control of removal rates in CMP
US6787061B1 (en) Copper polish slurry for reduced interlayer dielectric erosion and method of using same
WO2001041973A9 (en) Chemical-mechanical polishing method
US20050103743A1 (en) Slurry and use thereof for polishing
EP2069452B1 (en) Onium-containing cmp compositions and methods of use thereof
US20050097825A1 (en) Compositions and methods for a barrier removal
US20060213868A1 (en) Low-dishing composition and method for chemical-mechanical planarization with branched-alkylphenol-substituted benzotriazole
US20190382619A1 (en) Tungsten Chemical Mechanical Polishing Compositions
US6719920B2 (en) Slurry for polishing a barrier layer
KR20080028790A (en) A slurry composition for forming tungsten line and method for manufacturing semiconductor device using the same
TWI629324B (en) A method of polishing a substrate
US20020132560A1 (en) Polishing method for selective chemical mechanical polishing of semiconductor substrates
KR20190057330A (en) Chemical mechanical polishing method of tungsten
TWI826554B (en) Chemical mechanical polishing composition and method for tungsten
KR20180117609A (en) Chemical mechanical polishing method of substrate

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
121 Ep: the epo has been informed by wipo that ep was designated in this application
AK Designated states

Kind code of ref document: A3

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

WWE Wipo information: entry into national phase

Ref document number: 2002556923

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2002718822

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2002718822

Country of ref document: EP

WWW Wipo information: withdrawn in national office

Ref document number: 2002718822

Country of ref document: EP