WO2003044852A3 - Estimating reliability of components for testing and quality optimization - Google Patents

Estimating reliability of components for testing and quality optimization Download PDF

Info

Publication number
WO2003044852A3
WO2003044852A3 PCT/US2002/033451 US0233451W WO03044852A3 WO 2003044852 A3 WO2003044852 A3 WO 2003044852A3 US 0233451 W US0233451 W US 0233451W WO 03044852 A3 WO03044852 A3 WO 03044852A3
Authority
WO
WIPO (PCT)
Prior art keywords
testing
components
electronic component
quality optimization
estimating
Prior art date
Application number
PCT/US2002/033451
Other languages
French (fr)
Other versions
WO2003044852A2 (en
Inventor
Adit D Singh
Thomas S Barnett
Original Assignee
Univ Auburn
Adit D Singh
Thomas S Barnett
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=27407030&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=WO2003044852(A3) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Univ Auburn, Adit D Singh, Thomas S Barnett filed Critical Univ Auburn
Priority to AU2002343545A priority Critical patent/AU2002343545A1/en
Publication of WO2003044852A2 publication Critical patent/WO2003044852A2/en
Publication of WO2003044852A3 publication Critical patent/WO2003044852A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/286External aspects, e.g. related to chambers, contacting devices or handlers
    • G01R31/2868Complete testing stations; systems; procedures; software aspects
    • G01R31/287Procedures; Software aspects

Abstract

A system and method for determining the early life reliability of an electronic component, including classifying the electronic component based on an initial determination of a number of fatal defects, and estimating a probability of latent defects present in the electronic component based on that classification with the aim of optimizing test costs and product quality.
PCT/US2002/033451 2001-10-19 2002-10-18 Estimating reliability of components for testing and quality optimization WO2003044852A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2002343545A AU2002343545A1 (en) 2001-10-19 2002-10-18 Estimating reliability of components for testing and quality optimization

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US34797401P 2001-10-19 2001-10-19
US60/347,974 2001-10-19
US33510801P 2001-10-23 2001-10-23
US60/335,108 2001-10-23
US36610902P 2002-03-20 2002-03-20
US60/366,109 2002-03-20

Publications (2)

Publication Number Publication Date
WO2003044852A2 WO2003044852A2 (en) 2003-05-30
WO2003044852A3 true WO2003044852A3 (en) 2004-01-22

Family

ID=27407030

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/033451 WO2003044852A2 (en) 2001-10-19 2002-10-18 Estimating reliability of components for testing and quality optimization

Country Status (3)

Country Link
US (3) US7194366B2 (en)
AU (1) AU2002343545A1 (en)
WO (1) WO2003044852A2 (en)

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2002343545A1 (en) * 2001-10-19 2003-06-10 Auburn University Estimating reliability of components for testing and quality optimization
KR100429883B1 (en) * 2001-12-20 2004-05-03 삼성전자주식회사 Method for measuring fail probability by only defect, method for measuring defect limited yield using classification the extracted defect pattern's parameter, and system for measuring fail probability by only defect and the defect limited yield
US7114118B1 (en) * 2002-08-09 2006-09-26 Virage Logic Corp. System and method for providing adjustable read margins in a semiconductor memory
US6880140B2 (en) * 2003-06-04 2005-04-12 Lsi Logic Corporation Method to selectively identify reliability risk die based on characteristics of local regions on the wafer
US6947871B2 (en) * 2003-09-30 2005-09-20 Hitachi Global Storage Technologies Netherlands, B.V. Yield/quality improvement using calculated failure rate derived from multiple component level parameters
JP2006053712A (en) * 2004-08-11 2006-02-23 Fujitsu Ltd Electronic circuit analysis apparatus, electronic circuit analysis method and electronic circuit analysis program
US7454316B2 (en) * 2004-10-08 2008-11-18 International Business Machines Corporation Method and apparatus for monitoring and enhancing on-chip microprocessor reliability
KR100652399B1 (en) * 2005-02-02 2006-12-01 삼성전자주식회사 Method of processing defect of harddisk drive and harddisk drive and recording medium adapting the same
US7340359B2 (en) * 2005-05-02 2008-03-04 Optimaltest Ltd Augmenting semiconductor's devices quality and reliability
US7174233B1 (en) * 2005-08-29 2007-02-06 International Business Machines Corporation Quality/reliability system and method in multilevel manufacturing environment
CN100395878C (en) * 2005-09-29 2008-06-18 中芯国际集成电路制造(上海)有限公司 Method and system for semiconductor device reliability similarity
US7532024B2 (en) * 2006-07-05 2009-05-12 Optimaltest Ltd. Methods and systems for semiconductor testing using reference dice
US20080172189A1 (en) * 2007-01-16 2008-07-17 Daniel Kadosh Determining Die Health by Expanding Electrical Test Data to Represent Untested Die
US7937179B2 (en) * 2007-05-24 2011-05-03 Applied Materials, Inc. Dynamic inline yield analysis and prediction of a defect limited yield using inline inspection defects
US8799831B2 (en) * 2007-05-24 2014-08-05 Applied Materials, Inc. Inline defect analysis for sampling and SPC
US8924904B2 (en) * 2007-05-24 2014-12-30 Applied Materials, Inc. Method and apparatus for determining factors for design consideration in yield analysis
US7865849B2 (en) * 2008-02-15 2011-01-04 Texas Instruments Incorporated System and method for estimating test escapes in integrated circuits
US7974802B2 (en) * 2008-03-07 2011-07-05 International Business Machines Corporation Photomask image inspection
US8030615B2 (en) * 2008-06-20 2011-10-04 Bowling Green State University Method and apparatus for detecting organic materials and objects from multispectral reflected light
US8285719B1 (en) * 2008-08-08 2012-10-09 The Research Foundation Of State University Of New York System and method for probabilistic relational clustering
US7890900B2 (en) * 2008-08-19 2011-02-15 Synopsys, Inc. Various methods and apparatuses for effective yield enhancement of good chip dies having memories per wafer
US8219355B2 (en) * 2009-12-23 2012-07-10 Cadence Design Systems, Inc. Methods and systems for high sigma yield estimation
US8195427B2 (en) * 2009-12-23 2012-06-05 Cadence Design Systems, Inc. Methods and systems for high sigma yield estimation using reduced dimensionality
JP5715445B2 (en) * 2011-02-28 2015-05-07 株式会社東芝 Quality estimation apparatus, quality estimation method, and program for causing computer to execute quality estimation method
US8804428B2 (en) 2011-08-16 2014-08-12 Micron Technology, Inc. Determining system lifetime characteristics
US9129076B2 (en) 2011-09-05 2015-09-08 United Microelectronics Corp. Hierarchical wafer yield prediction method and hierarchical lifetime prediction method
US9557378B2 (en) * 2012-07-20 2017-01-31 Globalfoundries Inc. Method and structure for multi-core chip product test and selective voltage binning disposition
US9429619B2 (en) * 2012-08-01 2016-08-30 Globalfoundries Inc. Reliability test screen optimization
RU2517948C1 (en) * 2012-11-14 2014-06-10 Открытое акционерное общество "Научно-исследовательский институт точных приборов" Method of gamma-percentile life validation tests for non-recoverable radioelectronic devices under exponential law of failure probability distribution
US9201112B2 (en) 2013-12-09 2015-12-01 International Business Machines Corporation Atom probe tomography sample preparation for three-dimensional (3D) semiconductor devices
US9170273B2 (en) 2013-12-09 2015-10-27 Globalfoundries U.S. 2 Llc High frequency capacitance-voltage nanoprobing characterization
US9354953B2 (en) 2014-07-24 2016-05-31 International Business Machines Corporation System integrator and system integration method with reliability optimized integrated circuit chip selection
JP6483373B2 (en) * 2014-08-07 2019-03-13 株式会社東芝 Production support system and production support method
US10078544B2 (en) 2015-12-19 2018-09-18 Intel Corporation Apparatus and method for an on-chip reliability controller
US10114071B2 (en) * 2016-04-26 2018-10-30 International Business Machines Corporation Testing mechanism for a proximity fail probability of defects across integrated chips
CN109284545B (en) * 2018-09-05 2022-11-04 西北工业大学 Optimal condition important sampling method-based structural failure probability solving method
US11119877B2 (en) 2019-09-16 2021-09-14 Dell Products L.P. Component life cycle test categorization and optimization
US11238950B1 (en) * 2020-07-09 2022-02-01 Micron Technology, Inc. Reliability health prediction by high-stress seasoning of memory devices
US11624775B2 (en) 2021-06-07 2023-04-11 Kla Corporation Systems and methods for semiconductor defect-guided burn-in and system level tests

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0660385A2 (en) * 1993-12-14 1995-06-28 Kabushiki Kaisha Toshiba Intelligent test line system
US5991699A (en) * 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
WO2000005759A1 (en) * 1998-07-20 2000-02-03 Isemicon, Inc Method for measuring number of yield loss chips and number of poor chips by type due to defect of semiconductor chips
US6223097B1 (en) * 1998-03-15 2001-04-24 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device, method of estimating failure ratio of such devices on the market, and method of manufacturing the devices
DE10036118A1 (en) * 2000-07-25 2002-02-14 Promos Technologies Inc Influence calculation of failure or breakdown signatures with regional yield data e.g. for manufacture of semiconductor devices, involves preparing yield data table containing several regional yield rates for processed wafers
US20020053065A1 (en) * 2000-08-21 2002-05-02 Kunihiro Mitsutake Method, apparatus, and computer program of searching for clustering faults in semiconductor device manufacturing
US20020142522A1 (en) * 2001-03-30 2002-10-03 Ayelet Pnueli Kill index analysis for automatic defect classification in semiconductor wafers

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3751647A (en) * 1971-09-22 1973-08-07 Ibm Semiconductor and integrated circuit device yield modeling
US4335459A (en) * 1980-05-20 1982-06-15 Miller Richard L Single chip random access memory with increased yield and reliability
US5377148A (en) * 1990-11-29 1994-12-27 Case Western Reserve University Apparatus and method to test random access memories for a plurality of possible types of faults
JP2760157B2 (en) * 1991-01-23 1998-05-28 日本電気株式会社 LSI test method
JPH075226A (en) * 1991-02-18 1995-01-10 Nec Corp Monitored burn-in apparatus
US5418796A (en) * 1991-03-26 1995-05-23 International Business Machines Corporation Synergistic multiple bit error correction for memory of array chips
US5240866A (en) * 1992-02-03 1993-08-31 At&T Bell Laboratories Method for characterizing failed circuits on semiconductor wafers
US5570032A (en) * 1993-08-17 1996-10-29 Micron Technology, Inc. Wafer scale burn-in apparatus and process
US5497381A (en) * 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5822256A (en) * 1994-09-06 1998-10-13 Intel Corporation Method and circuitry for usage of partially functional nonvolatile memory
US5539652A (en) * 1995-02-07 1996-07-23 Hewlett-Packard Company Method for manufacturing test simulation in electronic circuit design
US6009545A (en) * 1995-04-25 1999-12-28 Mitsubishi Denki Kabushiki Kaisha System for analyzing a failure in a semiconductor wafer by calculating correlation coefficient between collated data of defects per prescribed unit and failures per prescribed unit
US5917332A (en) * 1996-05-09 1999-06-29 Advanced Micro Devices, Inc. Arrangement for improving defect scanner sensitivity and scanning defects on die of a semiconductor wafer
US6194738B1 (en) * 1996-06-13 2001-02-27 Micron Technology, Inc. Method and apparatus for storage of test results within an integrated circuit
US5764650A (en) * 1996-08-02 1998-06-09 Micron Technology, Inc. Intelligent binning for electrically repairable semiconductor chips
US6138256A (en) * 1998-03-27 2000-10-24 Micron Technology, Inc. Intelligent binning for electrically repairable semiconductor chips
US5822218A (en) * 1996-08-27 1998-10-13 Clemson University Systems, methods and computer program products for prediction of defect-related failures in integrated circuits
US5898186A (en) * 1996-09-13 1999-04-27 Micron Technology, Inc. Reduced terminal testing system
US5927512A (en) * 1997-01-17 1999-07-27 Micron Technology, Inc. Method for sorting integrated circuit devices
US6100486A (en) * 1998-08-13 2000-08-08 Micron Technology, Inc. Method for sorting integrated circuit devices
JP3313040B2 (en) * 1997-01-23 2002-08-12 日本発条株式会社 Design support system for structures, etc.
JPH10213422A (en) * 1997-01-29 1998-08-11 Hitachi Ltd Pattern inspecting device
US6072574A (en) * 1997-01-30 2000-06-06 Micron Technology, Inc. Integrated circuit defect review and classification process
US5844803A (en) * 1997-02-17 1998-12-01 Micron Technology, Inc. Method of sorting a group of integrated circuit devices for those devices requiring special testing
DE19709317B4 (en) * 1997-03-07 2008-04-30 Robert Bosch Gmbh Method and device for controlling a vehicle
US6175244B1 (en) * 1997-04-25 2001-01-16 Carnegie Mellon University Current signatures for IDDQ testing
US5907492A (en) * 1997-06-06 1999-05-25 Micron Technology, Inc. Method for using data regarding manufacturing procedures integrated circuits (IC's) have undergone, such as repairs, to select procedures the IC's will undergo, such as additional repairs
US6169960B1 (en) * 1997-06-27 2001-01-02 Advanced Micro Devices, Inc. Method for determining the damage potential of the different types of wafer defects
US5986950A (en) * 1997-10-15 1999-11-16 International Business Machines Corporation Use of redundant circuits to improve the reliability of an integrated circuit
US5946213A (en) * 1997-10-22 1999-08-31 Advanced Micro Devices, Inc. Intelligent adc reclassification of previously classified propagator defects
US6154714A (en) * 1997-11-17 2000-11-28 Heuristic Physics Laboratories Method for using wafer navigation to reduce testing times of integrated circuit wafers
JP4076644B2 (en) * 1997-12-05 2008-04-16 株式会社ルネサステクノロジ Pattern distortion detection apparatus and detection method
TW369692B (en) * 1997-12-26 1999-09-11 Samsung Electronics Co Ltd Test and burn-in apparatus, in-line system using the apparatus, and test method using the system
JP4077951B2 (en) * 1998-01-14 2008-04-23 株式会社ルネサステクノロジ Defect analysis method, recording medium, and process management method
JP2001522541A (en) * 1998-02-10 2001-11-13 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Manufacturing method of integrated circuit
US6175812B1 (en) * 1998-02-26 2001-01-16 Micron Technology, Inc. Method and system for dynamic duration burn-in
ES2188095T3 (en) * 1998-04-15 2003-06-16 Pfizer Prod Inc HETEROCICLIC CARBOXAMIDS.
US6028994A (en) * 1998-05-06 2000-02-22 Advanced Micro Devices Method for predicting performance of microelectronic device based on electrical parameter test data using computer model
US6133582A (en) * 1998-05-14 2000-10-17 Lightspeed Semiconductor Corporation Methods and apparatuses for binning partially completed integrated circuits based upon test results
US6140832A (en) * 1998-06-05 2000-10-31 Raytheon Company Method of utilizing IDDQ tests to screen out defective parts
US6230293B1 (en) * 1998-07-24 2001-05-08 Lucent Technologies Inc. Method for quality and reliability assurance testing of integrated circuits using differential Iddq screening in lieu of burn-in
US6265232B1 (en) * 1998-08-21 2001-07-24 Micron Technology, Inc. Yield based, in-line defect sampling method
US6324481B1 (en) * 1998-10-21 2001-11-27 Texas Instruments Incorporated Method for the calculation of wafer probe yield limits from in-line defect monitor data
US6393602B1 (en) * 1998-10-21 2002-05-21 Texas Instruments Incorporated Method of a comprehensive sequential analysis of the yield losses of semiconductor wafers
US6210983B1 (en) * 1998-10-21 2001-04-03 Texas Instruments Incorporated Method for analyzing probe yield sensitivities to IC design
US6574760B1 (en) * 1998-11-03 2003-06-03 Texas Instruments Incorporated Testing method and apparatus assuring semiconductor device quality and reliability
US6067262A (en) * 1998-12-11 2000-05-23 Lsi Logic Corporation Redundancy analysis for embedded memories with built-in self test and built-in self repair
US6456951B1 (en) * 1999-01-06 2002-09-24 Hitachi, Ltd. Method and apparatus for processing inspection data
US6539106B1 (en) * 1999-01-08 2003-03-25 Applied Materials, Inc. Feature-based defect detection
US6338001B1 (en) * 1999-02-22 2002-01-08 Advanced Micro Devices, Inc. In line yield prediction using ADC determined kill ratios die health statistics and die stacking
US6563070B2 (en) * 1999-03-30 2003-05-13 Micron Technology, Inc. Enhanced grading and sorting of semiconductor devices using modular “plug-in” sort algorithms
JP3267580B2 (en) * 1999-04-14 2002-03-18 日本電気株式会社 Data processing method and apparatus, information storage medium
US6496958B1 (en) * 1999-04-27 2002-12-17 Infineon Technologies Richmond, Lp Yield prediction and statistical process control using predicted defect related yield loss
US6459292B1 (en) * 1999-04-30 2002-10-01 Advantest Corporation Testing system for semiconductor device
US6414508B1 (en) * 1999-06-28 2002-07-02 Adaptec, Inc. Methods for predicting reliability of semiconductor devices using voltage stressing
US6507933B1 (en) * 1999-07-12 2003-01-14 Advanced Micro Devices, Inc. Automatic defect source classification
US6596958B1 (en) * 1999-08-17 2003-07-22 Milco Manufacturing Co. Modular welding guns
US6520895B2 (en) * 1999-09-07 2003-02-18 Nikon Corporation Polishing device and polishing pad component exchange device and method
US6184048B1 (en) * 1999-11-03 2001-02-06 Texas Instruments Incorporated Testing method and apparatus assuring semiconductor device quality and reliability
JP2001155053A (en) * 1999-12-01 2001-06-08 Mitsubishi Electric Corp Reliability verifying method for semiconductor integrated circuit and cell library data base
US6423558B1 (en) * 2000-02-25 2002-07-23 Advantest Corporation Method for fabricating integrated circuit (IC) dies with multi-layered interconnect structures
ATE219442T1 (en) * 2000-03-13 2002-07-15 Kraft Jacobs Suchard R & D Inc CARDBOARD CONTAINER FOR FOOD AND CUTTINGS FOR PRODUCING THE SAME
JP2001331784A (en) * 2000-05-18 2001-11-30 Hitachi Ltd Defect sorting method and its device
US6512985B1 (en) * 2000-05-19 2003-01-28 Lsi Logic Corporation Process control system
JP2002008957A (en) * 2000-06-19 2002-01-11 Nec Corp Data processing system, method for data processing, and medium for storing information
US6598194B1 (en) * 2000-08-18 2003-07-22 Lsi Logic Corporation Test limits based on position
US6483334B1 (en) * 2000-09-28 2002-11-19 United Microelectronics Corp. Method for reliability testing of semiconductor IC
US6459293B1 (en) * 2000-09-29 2002-10-01 Intel Corporation Multiple parameter testing with improved sensitivity
US20020156550A1 (en) * 2001-02-28 2002-10-24 Langford Rick Edward Robust windowing method using the poisson yield model for determining the systematic and random yield of failing circuits on semiconductor wafers
US6618682B2 (en) * 2001-04-20 2003-09-09 International Business Machines Corporation Method for test optimization using historical and actual fabrication test data
US6476631B1 (en) * 2001-06-29 2002-11-05 Lsi Logic Corporation Defect screening using delta VDD
US6601008B1 (en) * 2001-08-02 2003-07-29 Lsi Logic Corporation Parametric device signature
JP4926352B2 (en) * 2001-09-25 2012-05-09 ルネサスエレクトロニクス株式会社 Defect analysis method, chip classification data verification method, and program
JP4135861B2 (en) * 2001-10-03 2008-08-20 日本電波工業株式会社 Multi-element planar antenna
US6647348B2 (en) * 2001-10-03 2003-11-11 Lsi Logic Corporation Latent defect classification system
AU2002343545A1 (en) * 2001-10-19 2003-06-10 Auburn University Estimating reliability of components for testing and quality optimization
US6813572B2 (en) * 2001-10-25 2004-11-02 Kla-Tencor Technologies Corporation Apparatus and methods for managing reliability of semiconductor devices
US6789032B2 (en) * 2001-12-26 2004-09-07 International Business Machines Corporation Method of statistical binning for reliability selection
US6946213B2 (en) * 2003-04-28 2005-09-20 Nextech Materials, Ltd. Perovskite electrodes and method of making the same
US7139944B2 (en) * 2003-08-25 2006-11-21 International Business Machines Corporation Method and system for determining minimum post production test time required on an integrated circuit device to achieve optimum reliability

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0660385A2 (en) * 1993-12-14 1995-06-28 Kabushiki Kaisha Toshiba Intelligent test line system
US5991699A (en) * 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
US6223097B1 (en) * 1998-03-15 2001-04-24 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device, method of estimating failure ratio of such devices on the market, and method of manufacturing the devices
WO2000005759A1 (en) * 1998-07-20 2000-02-03 Isemicon, Inc Method for measuring number of yield loss chips and number of poor chips by type due to defect of semiconductor chips
DE10036118A1 (en) * 2000-07-25 2002-02-14 Promos Technologies Inc Influence calculation of failure or breakdown signatures with regional yield data e.g. for manufacture of semiconductor devices, involves preparing yield data table containing several regional yield rates for processed wafers
US20020053065A1 (en) * 2000-08-21 2002-05-02 Kunihiro Mitsutake Method, apparatus, and computer program of searching for clustering faults in semiconductor device manufacturing
US20020142522A1 (en) * 2001-03-30 2002-10-03 Ayelet Pnueli Kill index analysis for automatic defect classification in semiconductor wafers

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
RIVIERE V ET AL: "EVIDENCE OF A CORRELATION BETWEEN PROCESS YIELDS AND RELIABILITY DATA FOR A RAD-HARD SOI TECHNOLOGY", PROCEEDINGS OF THE INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES (ICMTS). NARA, JP., MAR. 22 - 25, 1995, NEW YORK, IEEE, US, 22 March 1995 (1995-03-22), pages 221 - 224, XP000547672, ISBN: 0-7803-2066-2 *

Also Published As

Publication number Publication date
AU2002343545A1 (en) 2003-06-10
WO2003044852A2 (en) 2003-05-30
US20080281541A1 (en) 2008-11-13
US20070162242A1 (en) 2007-07-12
AU2002343545A8 (en) 2003-06-10
US7194366B2 (en) 2007-03-20
US7409306B2 (en) 2008-08-05
US20030120457A1 (en) 2003-06-26

Similar Documents

Publication Publication Date Title
WO2003044852A3 (en) Estimating reliability of components for testing and quality optimization
WO2008106369A3 (en) Method and system for evaluating statistical sensitivity credit in path-based hybrid multi-conrner static timing analysis
WO2005027016A3 (en) Fraudulent message detection
WO2004059567A3 (en) Automatic optical inspection system and method
WO2003059789A3 (en) Conveyor belt inspection system and method
WO2006018411A3 (en) Speech and textual analysis device and corresponding method
US8688392B2 (en) System and method for testing a light sensor of a portable electronic device
WO2006110865A3 (en) Systems and methods for validating a security feature of an object
WO2005055138A3 (en) Statical modeling of a visual image for use in determining similarity between visual images
KR910018908A (en) Troubleshooting system and repair method
WO2002075334A3 (en) Apparatus and method for measuring and probability estimating for clock skews
WO2003068889A8 (en) Profile refinement for integrated circuit metrology
WO2003063233A3 (en) Systems and methods for closed loop defect reduction
WO2008031112A3 (en) Method and apparatus for determining a radiated performance of a wireless device
WO2007079344A3 (en) Methods and systems for binning defects detected on a specimen
WO2003067941A3 (en) Circuit and method for determining the location of defect in a circuit
WO2004051406A3 (en) Enhanced system, method and medium for certifying and accrediting requirements compliance utilizing robust risk assessment model
WO2004075011A3 (en) Methods and apparatus for data analysis
WO2003046531A3 (en) Method for detecting defects in substrates
WO2006084151A3 (en) Identify data sources for neural network
EP1694570A4 (en) Software and methods for automated pallet inspection and repair
EP1365240A3 (en) Immunoassay methods, immunoassay apparatuses, and reagents for immunoassays
TWI256478B (en) System and method for classifying defects in and identifying process problems for an electrical circuit
CN115015286B (en) Chip detection method and system based on machine vision
WO2002091183A3 (en) Performance assessment of data classifiers

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG US UZ VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP