WO2003065236A1 - Asynchronous crossbar with deterministic or arbitrated control - Google Patents

Asynchronous crossbar with deterministic or arbitrated control Download PDF

Info

Publication number
WO2003065236A1
WO2003065236A1 PCT/US2003/001860 US0301860W WO03065236A1 WO 2003065236 A1 WO2003065236 A1 WO 2003065236A1 US 0301860 W US0301860 W US 0301860W WO 03065236 A1 WO03065236 A1 WO 03065236A1
Authority
WO
WIPO (PCT)
Prior art keywords
crossbar
circuitry
data
operable
control information
Prior art date
Application number
PCT/US2003/001860
Other languages
French (fr)
Inventor
Uri Cummings
Andrew Lines
Original Assignee
Fulcrum Microsystems, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fulcrum Microsystems, Inc. filed Critical Fulcrum Microsystems, Inc.
Priority to JP2003564758A priority Critical patent/JP4331617B2/en
Priority to EP03703946A priority patent/EP1468372B1/en
Priority to DE60332811T priority patent/DE60332811D1/en
Priority to AT03703946T priority patent/ATE470186T1/en
Publication of WO2003065236A1 publication Critical patent/WO2003065236A1/en

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04QSELECTING
    • H04Q3/00Selecting arrangements
    • H04Q3/0004Selecting arrangements using crossbar selectors in the switching stages

Definitions

  • the present invention relates to asynchronous digital circuit design and in particular to an asynchronous crossbar and associated control circuits.
  • Asynchronous VLSI is an active area of research and development in digital circuit design. It refers to all forms of digital circuit design in which there is no global clock synchronization signal.
  • Delay-insensitive asynchronous designs by their very nature are insensitive to the signal propagation delays which have become the single greatest obstacle to the advancement of traditional design paradigms. That is, delay- insensitive circuit design maintains the property that any transition in the digital circuit could have an unbounded delay and the circuit will still behave correctly.
  • the circuits enforce sequencing but not absolute timing. This design style avoids design and verification difficulties that arise from timing assumptions, glitches, or race conditions.
  • synchronous design styles are facing serious performance limitations. Certain asynchronous design methodologies also have difficulties with some of the same types of limitations, e.g., race conditions.
  • the delay- insensitive branch of asynchronous digital design because of its relative immunity to these limitations, appears to hold great promise for supporting future advancements in the performance of digital circuits.
  • circuits are provided which solve critical problems in asynchronous VLSI design.
  • a P to Q crossbar is provided which can route P input channels to Q output channels in all possible combinations.
  • a dispatcher is provided which is operable to control a crossbar circuit in a deterministic fashion by routing inputs to specified outputs preserving the given partial order on each channel.
  • an arbitration mechanism is provided which is operable to control the routing of the inputs of a crossbar circuit to its outputs in a non-deterministic fashion.
  • the present invention provides methods and apparatus relating to a crossbar which is operable to route data from any of a first number of input channels to any of a second number of output channels according to routing control information.
  • Each combination of an input channel and an output channel corresponds to one of a plurality of links.
  • the crossbar circuitry is operable to route the data in a deterministic manner on each of the links thereby preserving a partial ordering represented by the routing control information. Events on different links are uncorrelated.
  • a dispatcher which is operable to route an ordered stream of instructions received on a first number of input channels to designated ones of a second number of output channels according to instruction routing information.
  • the dispatcher includes dispatch circuitry which is operable to route the instructions to each output channel in a deterministic manner thereby preserving a partial ordering for each output channel defined in the ordered stream. Instructions on different output channels are uncorrelated.
  • an arbiter which is operable to route a plurality of instructions received on a first number of input channels to designated ones of a second number of output channels according to instruction routing information.
  • the arbiter includes arbitration circuitry which is operable to arbitrate between instructions received on different input channels and designating a same output channel, and prevent any of the different input channels from transmitting a subsequent instruction until arbitration between the different input channels is complete.
  • Fig. 1 illustrates a Mueller consensus element.
  • Fig. 2 is a representation of a Q-way split.
  • Fig. 3 is a representation of a P-way merge.
  • Fig. 4 is a simplified representation of an asynchronous crossbar.
  • Fig. 5 is a schematic representation of a first portion of split bus.
  • Fig. 6 is a schematic representation of a second portion of a split bus.
  • Fig. 7 is a schematic representation of a first portion of a merge bus.
  • Fig. 8 is a schematic representation of a second portion of a merge bus.
  • Fig. 9 is a schematic representation of a first implementation of a router cell.
  • Fig. 10 is a schematic representation of a second implementation of a router cell.
  • Fig. 11 is a schematic representation of a third implementation of a router cell.
  • Fig. 12 is a schematic representation of a fourth implementation of a router cell
  • Fig. 13 is a representation of a dispatcher for use with any of a variety of crossbar circuits.
  • Fig. 14 is a representation of an output controller portion of a dispatcher.
  • Fig. 15 is another representation of a dispatcher for use with any of a variety of crossbar circuits.
  • Fig. 16 is a representation of an arbiter for use with any of a variety of crossbar circuits.
  • Fig. 17 is a schematic representation of an output controller portion of an arbiter.
  • Fig. 18 is another representation of an arbiter for use with any of a variety of crossbar circuits.
  • Fig. 19 is a representation of a datapath crossbar.
  • Figs. 20a-20c show crossbar circuits for use in implementing a crossbar using various timing assumptions according to a specific embodiment of the invention.
  • the various types of computer-readable media e.g., Verilog, VHDL
  • simulatable representations e.g., SPICE netlist
  • semiconductor processes e.g., CMOS, GaAs, SiGe, etc.
  • device types e.g., FPGAs
  • the present application also employs the pseudo-code language CSP (concurrent sequential processes) to describe high-level algorithms.
  • CSP is typically used in parallel programming software projects and in delay-insensitive VLSI. It will be understood that the use of this particular language and notation is merely exemplary and that the fundamental aspects of the present invention maybe represented and implemented in a wide variety of ways without departing from the scope of the invention.
  • the CSP used herein has the following structure and syntax.
  • a process is static and sequential and communicates with other processes through channels. Together a plurality of processes constitute a parallel program.
  • the latching of data happens in channels instead of registers.
  • Such channels implement a FIFO (first-in-first-out) transfer of data from a sending circuit to a receiving circuit.
  • Data wires run from the sender to the receiver, and an enable (i.e., an inverted sense of an acknowledge) wire goes backward for flow control.
  • a four-phase handshake between neighboring circuits (processes) implements a channel. The four phases are in order: 1) Sender waits for high enable, then sets data valid; 2) Receiver waits for valid data, then lowers enable; 3) Sender waits for low enable, then sets data neutral; and 4) Receiver waits for neutral data, then raises enable.
  • the delay-insensitive encoding of data is dual rail, also called lof2. h this encoding, 2 wires (rails) are used to represent 2 valid states and a neutral state. When both wires are low, the data is neutral. When the first wire is high the data is valid 0. When the second wire is high the data is a valid 1. Both wires aren't allowed to be high at once.
  • the wires associated with channel X are written X°, X 1 for the data, and X s for the enable.
  • larger integers are encoded by more wires, as m a lq ⁇ or lofA code.
  • multiple lo ⁇ fs are used together with different numerical significance.
  • 32 bits can be represented by 32 lof2 codes or 16 lofA codes.
  • a subscript indicates the significance of each lo/TVcode, i.e., is the rth wire of the gt bit (or group), and the associated enable.
  • several related channels may be organized into a 1-D or 2-D array, such as L[z] or V [i,j ].
  • L[z] or V [i,j ] the notation L[z ' ] r or L[z ' ]g is used.
  • the design of a crossbar according to the invention employs a method described in U.S. Patent No. 6,038,656 (inco ⁇ orated herein by reference above) to improve the speed of large datapaths.
  • This method describes a way of breaking up the datapath into multiple datapaths of smaller bit sizes, for example, reducing one thirty-two bit datapath into four eight bit datapaths, while preserving insensitivity to delays.
  • Figures in this disclosure include box and arrow diagrams and transistor diagrams.
  • the boxes represent circuits or processes and the arrows represent FIFO channels between the boxes.
  • FIFO channels may also exist within the boxes. Any channel or wire with the same name is intended to be connected, even when no connection is drawn. Sometimes the "internal" port names of a circuit are drawn inside the box next to an incoming or outgoing channel.
  • arrows represent individual wires.
  • Standard gate symbols are used wherever possible, with the addition of a C- element, drawn like a NAND gate with a "C" on it.
  • This gate is a standard asynchronous gate, also called a Mueller C-element or. a consensus element.
  • a gate representation and a transistor level implementation of a C-element 100 are shown in Fig. 1.
  • circuit nodes are "dynamic" which means that they are not always driven high or low, and are expected to hold their state indefinitely.
  • Staticizers are omitted, but can be inferred to exist on any node where the pull-up and pull-down networks are not logical complements (essentially all non-standard gates and C-elements).
  • most of these pipelined circuits must be reset to an initial state when the chip boots, which requires a few extra transistors using Reset and Reset signals. Usually the reset state is achieved by forcing the left enables low while Reset is asserted.
  • a Split is a 1 to Q bus which reads a control channel S, reads one token of input data from a single L channel, then sends the data to one of Q output channels selected by the value read from S.
  • a Merge is a P to 1 bus which reads a control channel M , then reads a token of data from one of P input channels as selected by the value read from M , then sends that data to a single output channel R.
  • Fig. 2 shows a basic block diagram of a Split 200.
  • Fig. 3 shows a basic block diagram of a Merge 300. See also "Pipelined Asynchronous Circuits" by A. Lines incorporated by reference above.
  • a P to Q crossbar 400 may be constructed from P ⁇ -way splits and Q -way merges as shown in Fig. 4.
  • the z ' th of the P split busses, i.e., split[i], runs the program * [S[i]?j , L[i ⁇ lx; V [i, j] ⁇ x].
  • They ' th of the Q merge busses, i.e., merge ⁇ j] runs the program * [ [/ ' ]?z; V [z, j]7x; R ⁇ j ] ⁇ x].
  • V [i,j] represent intermediate data channels between the split data outputs and the merge data inputs. According to specific embodiments of the invention described below, these channels have been eliminated.
  • Crossbar 400 is controlled from both the input and output sides via the S[i] and M ⁇ j] control channels. Based on the information in these control channels, the sequence of tokens sent through each channel is completely deterministic with respect to the input and output channels, but not with respect to any larger group of channels. That is, the timing of communications on unrelated channels is unconstrained. Any two unrelated pairs of input/output ports can communicate in parallel without any contention. If two input/ouput transfers refer to the same input or output port, the control stream associated with that port will unambiguously determine the ordering.
  • Various techniques for generating the information in these control channels are described below.
  • one type of asynchronous crossbar designed according to the present invention includes actual channels V [i,j] for passing information from a split bus to the designated merge bus.
  • These channels may be used to advantage in a variety of ways. For example, varying amounts of buffering may be added to the intermediate channels associated with each link to achieve various performance objectives. However, because of these channels and the associated handshaking overhead, the size and/or power consumption of an asynchronous crossbar designed in such manner could be prohibitive depending upon the magnitude of either P ov Q.
  • a specific embodiment of the invention provides a crossbar design which eliminates at least some of these channels by combining at least a portion of the split and merge functionalities into a single router cell (the notation router _cell is also used herein).
  • the externally visible behavior of an asynchronous crossbar designed according to this embodiment is virtually identical to that of the same size (i.e., P to Q) crossbar including the V [i,j] channels except that the enhanced crossbar design has one stage less slack (i.e., pipeline depth).
  • each split bus includes one split_ env part and Q split _cell parts
  • each merge bus includes one merge_env part and P merge_cell parts.
  • the split _cell contains the part of the split bus replicated for each output channel
  • the split _env contains the rest of the circuitry.
  • the merge_cell contains the part of the merge bus replicated for each input channel.
  • each split_cell[i,j] waits for S[i] to be valid and checks that the value of S[i] equals/ (that is, S[ ⁇ is true). If so, it checks the enable from its output V[i,j] e and when that is high, it copies the valid data from L[i] to V[i,j]. Once the data are copied to V[i,j], the split_cell[i,j] lowers its enable to the split_env, se[i,j].
  • split _cell[i,j] can reset the data and raise se[i,j] again.
  • a schematic for a split _cell 500 with 1-bit data and 1-bit control (both encoded as lofl codes) is shown in Fig. 5.
  • the split _env[ ⁇ tests the validity and neutrality of the L[z] channel, computes the logical AND of the se[i, 0..Q - l]'s from the split_cell's, and produces an acknowledge for the S[i] and L[i] input channels.
  • the validity and neutrality of the S[i] channel is implied by the acknowledges from the split _cell 's.
  • a schematic for a split _env 600 for 1-bit data and 2 split _cell 's is shown in Fig. 6. Each merge_cell[i,j] waits for M[j] to be valid and checks that the value of M[j] equals i (that is, M[ ]' is true).
  • the merge_env checks the readiness of the R[f] acknowledge and raises g° ⁇ -
  • the M ⁇ J] goes directly to the merge _cell 's, one of which responds by setting Rf] to a new valid value.
  • the merge _env then raises rv ⁇ j], after which the merge _cell replies with me[i,j].
  • the merge_envf ⁇ checks the completion of these actions, and then acknowledges M ⁇ f . Once M ⁇ j ] has become neutral again and Rf has acknowledged, the merge_envf ⁇ lowers gof ⁇ , which causes the merge_cell 's to reset me[i,f .
  • the merge_envj] also resets R ⁇ j] to the neutral value.
  • FIG. 8 A schematic for a merge _env 800 for 1-bit data and 2 merge cells is shown in Fig. 8.
  • a router _cell[i,f which combines the functionalities of one split _cell[i,j] and one merge _cell[i,j] as described above.
  • the split_env[i] and merge_env[j] communicate with their router _cell 's using the handshaking protocol described above.
  • the router _cell waits for the superset of all conditions of the separate split _cell and merge _cell and performs the actions of both with respect to their env 's.
  • the router _cell does the following. It waits for its S[i] input to be valid and equal toj, for its M[j] input to be valid and equal to i, for L[i] to be valid, and for go[ ⁇ from the merge_env to be high.
  • the router _cell[i,j] copies L[i] directly to R[f] without an intermediate V[i,j] channel.
  • the merge_env[f detects that the R[f] has been set, and signals that by raising rv ⁇ f . Then the router _cell[i,j can lower its enables to the env 's, se[i,j] and me[i,j], which can be the same signal.
  • the reset phase proceeds symmetrically.
  • the router _cell waits for S[i] and M[j] to be neutral and go[j] to go down.
  • the merge_env ⁇ f ⁇ will reset the R[f] to neutral, and then signal the completion by lowering rvj].
  • the router ell[i, ] raises its enables to both env 's.
  • the schematic for a router _cell 900 with 1-bit data and 1-bit S[i] and Mj] is shown in Fig. 9.
  • the split_env and merge_ env employed with router _cell 900 may be the same as those used with separate split_cell 's and merge_cell 's (see Figs. 6 and 8 above).
  • either of the basic crossbar implementations can be extended to different data sizes and P and Q values.
  • circuit variations which may improve area or speed described subsequently. That is, various different data encodings, router cell circuit implementations, and other circuit implementation variations described subsequently represent various tradeoffs between area and speed.
  • the S[i] may be encoded with a IqfQ channel to select among Q possible split_cells. This increases the fanout on the S wires, and requires a larger AND tree to combine the se[i,j]'s in the split _env.
  • the M ⁇ j] may be encoded with a lofP channel to select among P possible merge j zell 's.
  • the number of control wires scales linearly with P and Q, which is suitable for smaller crossbars, e.g., 8 by 8 or smaller.
  • the AND trees for se and me are physically distributed across the datapath to reduce wiring.
  • the S[i] and M[j] can each be encoded with a pair of lofN codes lofA by lofB, which yields A * B possibilities.
  • the least and most significant halves of the S control are called S[i] and S[i] ⁇ .
  • the wiring cost of this encoding scales with the v or Q , and works well up to 64 by 64 crossbars. In a delay-insensitive design, it is possible to check only one of the ST/ -SIdi pair f° r neutrality in the router __cell, provided the split _env checks the other one. Likewise for the M[j]olM ⁇ J] ⁇ pair.
  • a hit[i,j] signal is computed in a single hit circuit rather than using the S and M wires directly.
  • An example router_cell 1000 with 1-bit data and 2 x lofA control using a symmetric hit circuit is shown in Fig. 10.
  • An alternate router _cell 1100 using an asymmetric hit circuit which does not check neutrality of S[ ⁇ ] ⁇ oxM ⁇ j] ⁇ is shown in Fig. 11.
  • the asymmetric hit circuit requires that the split_env and merge_env are modified to check the neutrality of S[i] ⁇ and M[j] ⁇ , respectively.
  • Fig. 12 shows a router _ cell 1200 with 4-bit data and control encoded with 2 x lofA channels, using the asymmetric hit circuit of Fig. 11.
  • multicast may be supported in a crossbar designed according to the present invention.
  • the S[0..P - 1] control is changed from a lofQ code to a bitvector ,S[0..P - 1, 0..Q - 1] of lofl codes.
  • Each S[i,j] bit goes directly to the router _cell[i, j ' J's, where the S[i,jf wire is used in the hit circuit instead of S[i .
  • the se[i,j] signals are first AND'ed with the inverse of S[i,j]° and then combined with a C-element tree instead of an AND tree.
  • the number of additional control wires used in a split is s
  • the number of additional control wires used in merge is m (for an embodiment which uses 1-hot control encoding)
  • a 32-bit datapath with 12 wires of split control overhead and 14 wires of merge control overhead should be broken into a chunk size of about 6 to 7 bits.
  • other factors come into consideration, such as the desired speed of the circuit (which favors smaller chunks) and the convenience of various chunk sizes.
  • a 32-bit crossbar could be implemented as 8 chunks of 4 bits (faster) or 4 chunks of 8 bits (smaller).
  • Other chunk sizes might have unacceptable area, speed, or inconvenience penalties but are still within the scope of the present invention.
  • the partial (or projected) order of the data transfers in P to Q crossbar i.e., the order of operations when projected on a given channel
  • a dispatcher is provided which solves the following problem: Given an ordered sequence of input instructions on channels L[0..P -1], route each instruction to one of R[0..Q - 1] output channels specified by a TO[0..P - 1] channel for that instruction.
  • the dispatcher must maintain the order of instructions to each output channel. However, it is not required that instructions to different output channels are delivered in order. This allows internal pipelining in the implementation, arbitrary buffering on all channels, and multiple simultaneous transfers.
  • dispatcher is just an Q- way split bus, using L, and TO as S, and R[0..Q - 1].
  • additional buffering may be provided on the output channels to allow later instructions to be issued despite an earlier stalled instruction to a different R.
  • multiple instructions are issued in parallel with proper ordering using a crossbar.
  • the L[i] and Rf data channels of the dispatcher connect directly to the crossbar.
  • the TO[i] of the dispatcher is copied to the crossbar's S[i].
  • the M[f] crossbar control channels are derived from the TO[i]'s such that they maintain the program order projected on each output channel. According to one embodiment, this is accomplished in the following manner.
  • each input _ctrl[i] sends a request bit req[i,j] (e.g., a lofl code) to each output _ ctrl[f indicating whether or not this input wishes to go to that output based on TO[ ⁇ .
  • each output _ctrl ⁇ j] collects these bits from all input _ctrl 's and determines the indices of each 1 in cyclic order. These indices control the Mj] channel of the crossbar. The crossbar then transfers the payload.
  • the input controller e.g., the input_ctrl[i ⁇ circuit, to produce the req[i,j] bits and copy rO[z] to S[i] may be derived using the approach described in "Pipelined Asynchronous Circuits" by A. Lines incorporated by reference above.
  • Each output controller (also referred to herein as a combine) accepts a bitvector and reads off the positions of all l's in cyclic order from input 0 to P - 1. According to one embodiment, this is achieved using a binary tree structure. Each stage in the tree receives the number of l's on its lower significance L input, then from its higher significance H input, and outputs the sum to the next stage of the tree. These numbers are encoded serially with a lo ⁇ code with the states: zero, last, and not-last. For example, 3 is represented by the sequence: not-last, not-last, last.
  • Each tree stage also outputs a lofl channel to indicate whether the 1 came from the low (0) or high (1) sides. This extra channel becomes the MSB bit of the index so far.
  • the LSB bits so far are obtained by a 2-way merge of the index from either the low or high previous stage, controlled by the current MSB bit.
  • the final lo ⁇ bitsum of the tree is discarded, and the accumulated index bits become the M control for the crossbar.
  • the combine may be implemented using the approach described in "Pipelined Asynchronous Circuits" by A. Lines incorporated by reference above. In such embodiments, one internal state bit is provided to distinguish sequences coming from the left or right sides.
  • Fig. 14 shows a 4-way tree combine 1400.
  • the CSP for a specific embodiment of such a combine circuit is as follows:
  • L and H are input request counts encoded serially with lo ⁇ codes.
  • R is the output request count encoded serially.
  • M is the most-significant-bit of the next index so far and controls the merge of the accumulated least-significant-bits from previous stages.
  • the combine can be implemented as a tree using existing techniques, a smaller implementation which may be advantageous for large fanins is also provided which uses a rippling ring circuit which inspects each input request in cyclic order, driving a corresponding lofN data rail if its input is 1, or skipping ahead if the input is 0.
  • the rails of this lofN code must be kept exclusive.
  • This version of the combine has irregular throughput and latency characteristics, and may only be valuable for its area savings for large fanins.
  • a crossbar is used to execute a series of "move" instructions, each of which specifies an input port and an output port of the crossbar and transfers several tokens across that link.
  • the move instruction identifies the input port, the output port, and a repeat count.
  • an ordered sequence of these move instructions is issued in parallel via two dispatch circuits. It will be understood that the repeat count is merely one mechanism which this embodiment may employ.
  • the first dispatch circuit dispatches the output port and repeat count to the specified input port.
  • the second dispatches the input port and repeat count to the output port. That is, the move instruction is copied two ways, with either the input or output port serving as the S control for the corresponding dispatches.
  • the repeat count is unrolled locally to the input and output ports. That is, the same crossbar control is reissued until the count is used up.
  • a specific implementation of a dispatcher 1500 having two such dispatch circuits is shown in Fig. 15.
  • the use of the dispatchers ensures that the moves will be executed in the original program order if they have either port in common, but may execute them out of order or in parallel if they refer to different ports.
  • the dispatchers are also capable of scaling up to a very large number of move instructions at once. This can be used as an optimization to avoid wasting power or bandwidth in the dispatcher, and also can greatly compress the original instruction stream.
  • Another embodiment of the invention facilitates use of a crossbar as a message passing communications interconnect. According to this embodiment, it is assumed that each input port provides the desired destination port number on a TO channel, which becomes the S control of the crossbar. Each input port requests permission to use the desired output port. Each output port generates the M control by arbitrating among the requests from all inputs contending for access to the same output.
  • An optional FROM channel can be sent with the output which may comprise, for example, a copy of the M control of the crossbar. Such an option may be useful, for example, with certain communication protocols in which it is desirable to know the identity of the sender.
  • the control per input copies the TO to S and uses it as the control for a split bus which sends a lofl request channel req[i,f] to the intended output control.
  • the control per output collects the requests from the input controls and arbitrates among them. The result of the arbitration is used as the M of the crossbar, and may also be copied to a FR OM channel if desired.
  • a P -way arbiter which arbitrates among the requests is built as a binary tree, much like the combine of the last section.
  • Each stage in the binary tree receives a request from either the left (e.g., lower indices) or right (e.g., higher indices) sides of the previous stage. It outputs a lofi channel for the winner's MSB to a side tree of merge 's which accumulate the index of the winner, just as for the combine. It sends a lo ⁇ to request the next stage of the tree.
  • Fig. 16 shows a tree structure 1600 for an 8-way arbiter.
  • the circuit for each stage of the arbiter includes metastability.
  • the CSP is:
  • Fig. 17 shows one implementation of a circuit 1700 with this behavior.
  • the output request is made by OR'ing the input requests and is not metastable. Only the side lo ⁇ A output employs actual arbitration and a metastability filter.
  • This arbiter tree is weakly fair, and works as first-come-first-serve if contending requests are spaced out enough in time. If the contending requests come faster, all requests will be serviced, but not necessarily at strictly fair rates.
  • arbitrated control of a crossbar is facilitated by an arbiter which avoids deadlock conditions.
  • the crossbar controlled by such an arbiter may be any type of crossbar including, but not limited to, those described herein.
  • an input port A is trying to go to output C then D
  • another input port B is trying to go to outputs D then C. Due to slack in the request and arbitration channels, it is possible under a delay insensitive timing model that A would win D and B would win C. But A is trying to send to C first, and B is trying to send to D first. Thus, the system deadlocks.
  • "slack" is eliminated so that an input can't make another request until the previous one has won its arbitration. This is done by introducing a "grant” token (e.g., a lo ⁇ channel) which is returned by the output port to the input port when that input wins the arbitration. This mechanism prevents inputs from making more than one outstanding request.
  • a "grant” token e.g., a lo ⁇ channel
  • the grant is returned via a small crossbar with its S control copied from the output's M and its M control copied from the input's S.
  • the output R lo ⁇ data channel is fed into the input's split bus.
  • the input side starts with a single grant token.
  • Fig. 18 shows an arbiter 1800 for effecting arbitrated control for a crossbar using this grant scheme.
  • the grant crossbar of the present invention is also operable to establish a useful ordering relationship.
  • an input sends some data to output B, then sends a notification to output C that the data is ready. If C then reads it from B, it will get the value that A wrote, because A's communication to B won the arbitration first. This satisfies the producer-consumer ordering model required by many bus protocols.
  • alternatives to using such a grant crossbar are provided.
  • deadlock it is necessary to avoid winning the arbitrations in a different order from which they were requested.
  • One way to do this is to implement the request/arbiter circuits with a total of 1 or less slack, such that a second request will always be blocked until the first one has been granted. This avoids the need for a grant crossbar, and can be smaller.
  • this zero-slack design reduces the throughput (since the circuits cannot precharge in parallel with another request starting) and requires different zero-slack implementations of the components instead of the usual pipelined building blocks.
  • the grant crossbar is effectively a way of forcing the pipeline to have 1 slack even if it is built out more pipelined elements.
  • Transactions in a typical system interconnect often have atomic sizes larger than one word. That is, for one request and arbitration, many cycles of data may need to be transferred.
  • the tail bit is sampled both by the input and output ports, and is fed into a simple control unit which repeats the same control values until the tail bit is 1.
  • a simple counter may be employed using information associated with the data itself (e.g., in a packet) or which comes with the control data controlling the crossbar.
  • these are merely examples of mechanisms which embodiments of the invention may employ to effect the transfer of data of arbitrary size. The scope of the invention should not be so limited.
  • a request/arbitrate circuit designed according to specific embodiments of the present invention is concerned only with "packets" and sets up the datapath link according to the received control values.
  • the datapath crossbar can transfer a large block of data, then release the link after the last cycle by setting the tail bit to 1.
  • Fig. 19 shows a datapath crossbar 1900 with the extra repeaters on the control inputs.
  • a repeat count could be used instead of the tail bit.
  • the tail bit may be easier to implement in the hardware, and doesn't prohibit also specifying lengths in the data packets.
  • two different crossbar datapaths are controlled using a single arbitrated control circuit to implement two-way transactions.
  • input and output lo ⁇ channels LTYPE and RTYPE are added to an arbiter circuit designed according to the invention for each port. If the LTYPE channel is 1, the normal S/M control is also copied to become the M/S control of a second crossbar for a returning transaction. If the LTYPE channel is 0, the second crossbar isn't used. The information in the LTYPE channel is copied to the RTYPE channel of the output, so that the target unit knows whether or not to respond.
  • This implementation can support a mixture of 1- way transactions (e.g., store) and 2-way transactions (e.g., load, swap, read-modify- write).
  • the modules which are connected by the two crossbars are exclusively masters (initiators) or targets (responders)
  • the two crossbars can be asymmetrically sized, (e.g., an 8x4 request crossbar and a 4x8 response crossbar).
  • this scheme is used to efficiently implement a shared memory bridge.
  • a superscalar CPU with P -way instruction issue and Q pipelines could use aP ⁇ dispatcher to send instructions to the correct pipelines while preserving ordering to each pipeline.
  • the TO control would be decoded from the instructions.
  • crossbars can be used to route the Z result of any execution pipeline to any register, or to route the reads from any register to the X and Y operands of any pipeline.
  • Each register could delay a write until the next access of that register, such that any data dependent read could be quickly bypassed.
  • the latency from Z result to a dependent X or Y operand could be as little as 6 transitions, 2 each for the result crossbar, register itself, and operand crossbar. This low latency bypass feature eliminates the need for additional bypass circuitry.
  • the control of these crossbars can be generated from parallel RISC instructions using variations on the "move" control scheme.
  • This implementation is large, but allows significant reordering (i.e., it only retains the partial ordering projected on results, operands, and registers) and can scale to very wide issue designs. Even with a dual-issue CPU, this register file could often do more than two instructions at once for short bursts, which could help catch up after stalls.
  • an arbitrated crossbar designed according to the invention can be used to connect several modules on a chip. Each module would be given an input and output port on the crossbar. In some embodiments, each module would be able to send one-way tail-terminated packets to each of the other modules. Some modules could be memories, which could receive stores, and reply to load requests with load completion packets. Others could be I/O interfaces, especially those based on flow-controlled bidirectional FIFO's. Others could be CPU's or DSP's or ASIC's which can access the I/O's, memories, or send packets to each other. These packets could be used to implement cache coherence protocols or hardware supported message passing, hi addition, legacy bus protocols such as PCI could be tunneled over such a crossbar since it supports the required ordering relationships.
  • an arbitrated crossbar designed according to the invention could act as a switch fabric for packet switching.
  • Each incoming packet would have an in-band destination field which would be extracted for use as the TO control.
  • the length of the packet would be converted into a tail bit sequence.
  • the FROM output could be inserted back into the packet if desired.
  • timing-assumptions may be used to make an otherwise delay- insensitive circuit faster and lower power at the cost of additional circuit verification engineering. The best timing assumption for a particular circuit depends on the critical path of the circuit and the amount of additional verification work a designer is willing to take on.
  • timing assumptions that are local to one four-phase handshake (described below), or one internal path within one cell between external handshakes.
  • this class of timing-assumptions is applied to complex cells with critical paths longer than the rest of the delay-insensitive circuitry, it is especially desirable.
  • These timing assumptions apply to asynchronous circuits that use four-phase return to neutral handshakes, and generally use 1-hot data encoding.
  • there are three types of timing assumptions which may apply to various embodiments of the invention.
  • the pulse timing assumption is applied to an otherwise delay insensitive four-phase handshake, all of the set conditions are completed, data validity, control validity, acknowledge validity, etc. However, the reset phase of the handshake is not completed and is assumed to happen with an adequate timing margin.
  • Implied-data-neutrality timing assumption When the Implied-data-neutrality timing assumption is applied to an otherwise delay-insensitive four-phase handshake, the computed data on the output channels is completed in the set direction, but not in the reset phase. All acknowledges are still checked in all directions. This scheme requires that once the acknowledge of an output channel is set, no events may block the reset phase of the data channel.
  • Interfering operators are common in circuit design in general but are forbidden by the delay-insensitive timing model. Interference causes glitching. In delay- insensitive circuit design cut-off transistors prevent interference. However, with adequate timing margin, a circuit designer can guarantee glitch free operation in an otherwise delay-insensitive circuit.
  • FIG. 20a-20c show how the circuit diagrams for a router _cell 2000, a split _env 2020, and a merge_env 2040 may be modified with these timing assumptions (relative to their above-described counterparts) to create such a 12- transistion per cycle crossbar.
  • the sv and Iv signals represent the input completion of the / and s channels.
  • the rv and mv signals represent the completion of the output data on channel r and the input control data on channel m.
  • the pulse timing assumption is used in the main data transfer through split _env -> router cell -> merge_env. This allows the removal of 2 NAND gate completions, and the rv bus signal. It also reduces the response time from the L and S arrival to the SE (L and £ acknowledge) from 9 transitions to 5.
  • the interference timing assumption is used on the ve bus in the figure, however at a little extra cost one could produce a signal from the split env and pass it into the ve bus to remove the interference timing assumption.
  • the implied-data-neutrality timing assumption is used to satisfy the non- blocking return-to-neutral requirement of the pulse timing assumption, and to keep the critical path of data completion on 2 lo ⁇ codes to 12 transitions per cycle. It should be understood that there are numerous small trade offs in timing-assumptions that can be made in such circuits, all of which are within the scope of this invention.
  • a crossbar circuit architecture similar to that described above is implemented with the underlying channel model of a synchronous request- grant FIFO rather than an asynchronous four-phase channel. Since the crossbar is still based on the four independent FIFOs L, S, M, and R, all of the properties that come from implementing the crossbar with independent flow- controlled FIFO channels still apply. The difference is that data transactions begin aligned to a clock-edge boundary. Such an approach may be desirable, for example, in a single clock domain synchronous system because it relieves the requirement of going through synchronous to asynchronous conversion and back again.

Abstract

Methods and apparatus are described relating to a crossbar which is operable to route data from any of a first number of input channels to any of a second number of output channels according to routing control information. Each combination of an input channel and an output channel corresponds to one of a plurality of links. The crossbar circuitry is operable to route the data in a deterministic manner on each of the links thereby preserving a partial ordering represented by the routing control information. Events on different links are uncorrelated.

Description

ASYNCHRONOUS CROSSBAR WITH DETERMINISTIC OR ARBITRATED CONTROL
RELATED APPLICATION DATA
The present application claims priority from U.S. Provisional Patent Application No. 60/352,131 for ASYNCHRONOUS CROSSBAR CIRCUIT WITH DETERMINISTIC OR ARBITRATED CONTROL filed on January 25, 2002 (Attorney Docket No. FULCP001P), the entire disclosure of which is incorporated herein by reference for all purposes.
BACKGROUND OF THE INVENTION
The present invention relates to asynchronous digital circuit design and in particular to an asynchronous crossbar and associated control circuits.
The ever increasing demand for simultaneously faster and more complex digital circuits, e.g., microprocessors, has pushed conventional digital circuit design methodologies to their limits. Because of the combination of high clock rates (i.e., greater than 100 MHz) and design complexity (e.g., very large scale integration (VLSI) devices with 10 million or more transistors), signal propagation delay has become a dominant design consideration. It has become clear that a significant design paradigm shift will be necessary if digital circuit design is to continue its historical adherence to Moore's law.
Asynchronous VLSI is an active area of research and development in digital circuit design. It refers to all forms of digital circuit design in which there is no global clock synchronization signal. Delay-insensitive asynchronous designs, by their very nature are insensitive to the signal propagation delays which have become the single greatest obstacle to the advancement of traditional design paradigms. That is, delay- insensitive circuit design maintains the property that any transition in the digital circuit could have an unbounded delay and the circuit will still behave correctly. The circuits enforce sequencing but not absolute timing. This design style avoids design and verification difficulties that arise from timing assumptions, glitches, or race conditions. Generally speaking, synchronous design styles are facing serious performance limitations. Certain asynchronous design methodologies also have difficulties with some of the same types of limitations, e.g., race conditions. By contrast, the delay- insensitive branch of asynchronous digital design, because of its relative immunity to these limitations, appears to hold great promise for supporting future advancements in the performance of digital circuits.
For background information regarding delay-insensitive asynchronous digital design, please refer to the following papers: A. J. Martin, "Compiling Communicating Processes into Delay-Insensitive Circuits," Distributed Computing, Vol.l, No. 4, pp. 226-234, 1986; U.V. Cummings, A.M. Lines, AJ. Martin, "An Asynchronous
Pipelined Lattice Structure Filter." Advanced Research in Asynchronous Circuits and Systems, IEEE Computer Society Press, 1994; AJ. Martin, A.M. Lines, et al, "The Design of an Asynchronous MIPS R3000 Microprocessor." Proceedings of the 17th Conference on Advanced Research in VLSI, IEEE Computer Society Press, 1997; and A.M. Lines, "Pipelined Asynchronous Circuits." Caltech Computer Science Technical Report CS-TR-95-21, Caltech, 1995; the entire disclosure of each of which is incorporated herein by reference for all purposes.
See also U.S. Patents No. 5,752,070 for "Asynchronous Processsors" issued May 12, 1998, and No. 6,038,656 for "Pipelined Completion for Asynchronous Communication" issued on March 14, 2000, the entire disclosure of each of which is incorporated herein by reference for all purposes.
If asynchronous digital design techniques are to be the digital design methodology which enables the performance of digital circuits and systems to continue to improve in accordance with historical norms, the basic building blocks of such circuits and systems must be provided which rival and exceed the performance of their synchronous counterparts.
SUMMARY OF THE INVENTION According to the present invention, circuits are provided which solve critical problems in asynchronous VLSI design. According to one embodiment, a P to Q crossbar is provided which can route P input channels to Q output channels in all possible combinations. According to another embodiment, a dispatcher is provided which is operable to control a crossbar circuit in a deterministic fashion by routing inputs to specified outputs preserving the given partial order on each channel. According to yet another embodiment, an arbitration mechanism is provided which is operable to control the routing of the inputs of a crossbar circuit to its outputs in a non-deterministic fashion.
Thus, according to various embodiments, the present invention provides methods and apparatus relating to a crossbar which is operable to route data from any of a first number of input channels to any of a second number of output channels according to routing control information. Each combination of an input channel and an output channel corresponds to one of a plurality of links. The crossbar circuitry is operable to route the data in a deterministic manner on each of the links thereby preserving a partial ordering represented by the routing control information. Events on different links are uncorrelated.
According to another set of embodiments, a dispatcher is provided which is operable to route an ordered stream of instructions received on a first number of input channels to designated ones of a second number of output channels according to instruction routing information. The dispatcher includes dispatch circuitry which is operable to route the instructions to each output channel in a deterministic manner thereby preserving a partial ordering for each output channel defined in the ordered stream. Instructions on different output channels are uncorrelated.
According to yet another set of embodiments, an arbiter is provided which is operable to route a plurality of instructions received on a first number of input channels to designated ones of a second number of output channels according to instruction routing information. The arbiter includes arbitration circuitry which is operable to arbitrate between instructions received on different input channels and designating a same output channel, and prevent any of the different input channels from transmitting a subsequent instruction until arbitration between the different input channels is complete.
A further understanding of the nature and advantages of the present invention may be realized by reference to the remaining portions of the specification and the drawings.
BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 illustrates a Mueller consensus element. Fig. 2 is a representation of a Q-way split. Fig. 3 is a representation of a P-way merge. Fig. 4 is a simplified representation of an asynchronous crossbar.
Fig. 5 is a schematic representation of a first portion of split bus.
Fig. 6 is a schematic representation of a second portion of a split bus.
Fig. 7 is a schematic representation of a first portion of a merge bus. Fig. 8 is a schematic representation of a second portion of a merge bus.
Fig. 9 is a schematic representation of a first implementation of a router cell.
Fig. 10 is a schematic representation of a second implementation of a router cell.
Fig. 11 is a schematic representation of a third implementation of a router cell. Fig. 12 is a schematic representation of a fourth implementation of a router cell
Fig. 13 is a representation of a dispatcher for use with any of a variety of crossbar circuits.
Fig. 14 is a representation of an output controller portion of a dispatcher. Fig. 15 is another representation of a dispatcher for use with any of a variety of crossbar circuits.
Fig. 16 is a representation of an arbiter for use with any of a variety of crossbar circuits.
Fig. 17 is a schematic representation of an output controller portion of an arbiter.
Fig. 18 is another representation of an arbiter for use with any of a variety of crossbar circuits.
Fig. 19 is a representation of a datapath crossbar.
Figs. 20a-20c show crossbar circuits for use in implementing a crossbar using various timing assumptions according to a specific embodiment of the invention.
DETAILED DESCRIPTION OF SPECIFIC EMBODIMENTS Reference will now be made in detail to specific embodiments of the invention including the best modes contemplated by the inventors for carrying out the invention. Examples of these specific embodiments are illustrated in the accompanying drawings. While the invention is described in conjunction with these specific embodiments, it will be understood that it is not intended to limit the invention to the described embodiments. On the contrary, it is intended to cover alternatives, modifications, and equivalents as may be included within the spirit and scope of the invention as defined by the appended claims. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. The present invention may be practiced without some or all of these specific details. In addition, well known process operations have not been described in detail in order not to unnecessarily obscure the present invention. At the outset, it should be noted that many of the techniques and circuits described in the present application are described and implemented as delay- insensitive asynchronous VLSI. However it will be understood that many of the principles and techniques of the invention may be used in other contexts such as, for example, non-delay insensitive asynchronous VLSI and synchronous VLSI.
It should also be understood that the various embodiments of the invention may be implemented in a wide variety of ways without departing from the scope of the invention. That is, the asynchronous processes and circuits described herein may be represented (without limitation) in software (object code or machine code), in varying stages of compilation, as one or more netlists, in a simulation language, in a hardware description language, by a set of semiconductor processing masks, and as partially or completely realized semiconductor devices. The various alternatives for each of the foregoing as understood by those of skill in the art are also within the scope of the invention. For example, the various types of computer-readable media, software languages (e.g., Verilog, VHDL), simulatable representations (e.g., SPICE netlist), semiconductor processes (e.g., CMOS, GaAs, SiGe, etc.), and device types (e.g., FPGAs) suitable for designing and manufacturing the processes and circuits described herein are within the scope of the invention.
The present application also employs the pseudo-code language CSP (concurrent sequential processes) to describe high-level algorithms. CSP is typically used in parallel programming software projects and in delay-insensitive VLSI. It will be understood that the use of this particular language and notation is merely exemplary and that the fundamental aspects of the present invention maybe represented and implemented in a wide variety of ways without departing from the scope of the invention.
In addition, transformation of CSP specifications to transistor level implementations for various aspects of the circuits described herein may be achieved according to the techniques described in "Pipelined Asynchronous Circuits" by A. Lines (incorporated by reference above). However, it should be understood that any of a wide variety of asynchronous design techniques may also be used for this purpose.
The CSP used herein has the following structure and syntax. A process is static and sequential and communicates with other processes through channels. Together a plurality of processes constitute a parallel program. The [ and ] demark if statements, and a *[ and ] demark loops.
Multiple choices can be made by adding pairs of B - S inside an if statement or a loop, separated by a (indicates deterministic selection) or a I (indicates non-deterministic selection), where B is a Boolean expression and S is a statement. Thus [Bl —>Sl B2 → S2] means if expression Bl is true, execute S or if expression B2 is true, execute S2. If neither Bl or B2 is true, this statement will wait until one is (unlike an if-else construct). The shorthand *[S] means repeat statement S infinitely. The shorthand [B] means wait for boolean expression B to be true. Local variables are assumed to be integers, and can be assigned to integer expressions as inx := y + 1. The semicolon separates statements with strict sequencing. The comma separates statements with no required sequencing. The question mark and exclamation point are used to denote receiving from and sending to a channel, respectively. Thus *[Alx; y := x + l; B\y] means receive integer x from channel A, then assign integer;/ to the expression x + l, then send to channel B, then repeat forever.
According to various specific embodiments of the invention, the latching of data happens in channels instead of registers. Such channels implement a FIFO (first-in-first-out) transfer of data from a sending circuit to a receiving circuit. Data wires run from the sender to the receiver, and an enable (i.e., an inverted sense of an acknowledge) wire goes backward for flow control. According to specific ones of these embodiments, a four-phase handshake between neighboring circuits (processes) implements a channel. The four phases are in order: 1) Sender waits for high enable, then sets data valid; 2) Receiver waits for valid data, then lowers enable; 3) Sender waits for low enable, then sets data neutral; and 4) Receiver waits for neutral data, then raises enable. It should be noted that the use of this handshake protocol is for illustrative purposes and that therefore the scope of the invention should not be so limited. According to specific embodiments, the delay-insensitive encoding of data is dual rail, also called lof2. h this encoding, 2 wires (rails) are used to represent 2 valid states and a neutral state. When both wires are low, the data is neutral. When the first wire is high the data is valid 0. When the second wire is high the data is a valid 1. Both wires aren't allowed to be high at once. The wires associated with channel X are written X°, X1 for the data, and Xs for the enable.
According to other embodiments, larger integers are encoded by more wires, as m a lqβ or lofA code. For much larger numbers, multiple loβfs are used together with different numerical significance. For example, 32 bits can be represented by 32 lof2 codes or 16 lofA codes. In this case, a subscript indicates the significance of each lo/TVcode, i.e.,
Figure imgf000009_0001
is the rth wire of the gt bit (or group), and the associated enable.
According to still other embodiments, several related channels may be organized into a 1-D or 2-D array, such as L[z] or V [i,j ]. To identify individual wires in such embodiments, the notation L[z']r or L[z']g is used.
According to a specific embodiment, the design of a crossbar according to the invention employs a method described in U.S. Patent No. 6,038,656 (incoφorated herein by reference above) to improve the speed of large datapaths. This method describes a way of breaking up the datapath into multiple datapaths of smaller bit sizes, for example, reducing one thirty-two bit datapath into four eight bit datapaths, while preserving insensitivity to delays.
Figures in this disclosure include box and arrow diagrams and transistor diagrams. In the box diagrams, the boxes represent circuits or processes and the arrows represent FIFO channels between the boxes. FIFO channels may also exist within the boxes. Any channel or wire with the same name is intended to be connected, even when no connection is drawn. Sometimes the "internal" port names of a circuit are drawn inside the box next to an incoming or outgoing channel.
In the transistor diagrams, arrows (or lines) represent individual wires. Standard gate symbols are used wherever possible, with the addition of a C- element, drawn like a NAND gate with a "C" on it. This gate is a standard asynchronous gate, also called a Mueller C-element or. a consensus element. A gate representation and a transistor level implementation of a C-element 100 are shown in Fig. 1.
It should be noted that for the purpose of clarity, certain features are omitted from the circuit diagrams. For example, some circuit nodes are "dynamic" which means that they are not always driven high or low, and are expected to hold their state indefinitely. This requires a "staticizer," i.e., a pair of small cross-coupled inverters attached to the node. Staticizers are omitted, but can be inferred to exist on any node where the pull-up and pull-down networks are not logical complements (essentially all non-standard gates and C-elements). In addition, most of these pipelined circuits must be reset to an initial state when the chip boots, which requires a few extra transistors using Reset and Reset signals. Usually the reset state is achieved by forcing the left enables low while Reset is asserted.
As described herein, a Split is a 1 to Q bus which reads a control channel S, reads one token of input data from a single L channel, then sends the data to one of Q output channels selected by the value read from S. A Merge is a P to 1 bus which reads a control channel M , then reads a token of data from one of P input channels as selected by the value read from M , then sends that data to a single output channel R. Fig. 2 shows a basic block diagram of a Split 200. Fig. 3 shows a basic block diagram of a Merge 300. See also "Pipelined Asynchronous Circuits" by A. Lines incorporated by reference above.
According to various embodiments of the invention, a P to Q crossbar 400 may be constructed from P β-way splits and Q -way merges as shown in Fig. 4. The z'th of the P split busses, i.e., split[i], runs the program *[S[i]?j , L[i\lx; V [i, j] \x]. They'th of the Q merge busses, i.e., merge\j], runs the program *[ [/']?z; V [z, j]7x; R\j ] \x]. According to a first asynchronous crossbar design which may be employed with various embodiments of the invention, the V [i,j] represent intermediate data channels between the split data outputs and the merge data inputs. According to specific embodiments of the invention described below, these channels have been eliminated. Crossbar 400 is controlled from both the input and output sides via the S[i] and M\j] control channels. Based on the information in these control channels, the sequence of tokens sent through each channel is completely deterministic with respect to the input and output channels, but not with respect to any larger group of channels. That is, the timing of communications on unrelated channels is unconstrained. Any two unrelated pairs of input/output ports can communicate in parallel without any contention. If two input/ouput transfers refer to the same input or output port, the control stream associated with that port will unambiguously determine the ordering. Various techniques for generating the information in these control channels are described below.
As mentioned earlier in this document, one type of asynchronous crossbar designed according to the present invention includes actual channels V [i,j] for passing information from a split bus to the designated merge bus. These channels may be used to advantage in a variety of ways. For example, varying amounts of buffering may be added to the intermediate channels associated with each link to achieve various performance objectives. However, because of these channels and the associated handshaking overhead, the size and/or power consumption of an asynchronous crossbar designed in such manner could be prohibitive depending upon the magnitude of either P ov Q.
Thus, a specific embodiment of the invention provides a crossbar design which eliminates at least some of these channels by combining at least a portion of the split and merge functionalities into a single router cell (the notation router _cell is also used herein). The externally visible behavior of an asynchronous crossbar designed according to this embodiment is virtually identical to that of the same size (i.e., P to Q) crossbar including the V [i,j] channels except that the enhanced crossbar design has one stage less slack (i.e., pipeline depth).
A specific embodiment of a crossbar designed according to the present invention will now be described with reference to Figs. 5-8. According to this implementation, each split bus includes one split_ env part and Q split _cell parts, and each merge bus includes one merge_env part and P merge_cell parts. The split _cell contains the part of the split bus replicated for each output channel, and the split _env contains the rest of the circuitry. Likewise, the merge_cell contains the part of the merge bus replicated for each input channel. As will be discussed with reference to Fig. 9, and according to a specific embodiment, the functionalities of each pair of split _cell and merge _cell corresponding to a particular input/output combination is combined into a single router _cell, thus eliminating the intervening channels between the split and merge busses. Functionally, each split_cell[i,j] waits for S[i] to be valid and checks that the value of S[i] equals/ (that is, S[ι is true). If so, it checks the enable from its output V[i,j]e and when that is high, it copies the valid data from L[i] to V[i,j]. Once the data are copied to V[i,j], the split_cell[i,j] lowers its enable to the split_env, se[i,j]. Eventually, the S[i], L[i], and V [i,j ]e return to neutral, so that the split _cell[i,j] can reset the data and raise se[i,j] again. A schematic for a split _cell 500 with 1-bit data and 1-bit control (both encoded as lofl codes) is shown in Fig. 5.
The split _env[ϊ tests the validity and neutrality of the L[z] channel, computes the logical AND of the se[i, 0..Q - l]'s from the split_cell's, and produces an acknowledge for the S[i] and L[i] input channels. The validity and neutrality of the S[i] channel is implied by the acknowledges from the split _cell 's. A schematic for a split _env 600 for 1-bit data and 2 split _cell 's is shown in Fig. 6. Each merge_cell[i,j] waits for M[j] to be valid and checks that the value of M[j] equals i (that is, M[ ]' is true). If so, it waits for a go\j] signal from the merge_env (which includes the readiness of the output enable Rff) and for the input data V i,j] to be valid. When this happens, it copies the value of V[i,j] to Rj]. The merge _ env checks the validity of R\j] and broadcasts this condition back to all the merge_cells 's by setting rv\j] high. Next, the merge _ cell lowers its enables me[i,j] and V[i,jf. Once theΛ '] and V[i,j] data return to neutral, and goj] is lowered, the R\j] is returned to neutral, rv[β is lowered, and the merge _cell raises the enables me[i,j] and V[i,j]e. A schematic for a merge _cell 700 with 1-bit data and 1-bit control (encoded as lofl codes) is shown in Fig. 7.
The merge_env checks the readiness of the R[f] acknowledge and raises g° \- The M\J] goes directly to the merge _cell 's, one of which responds by setting Rf] to a new valid value. The merge _env then raises rv\j], after which the merge _cell replies with me[i,j]. The merge_envf\ checks the completion of these actions, and then acknowledges M\f . Once M\j ] has become neutral again and Rf has acknowledged, the merge_envf\ lowers gof\, which causes the merge_cell 's to reset me[i,f . The merge_envj] also resets R\j] to the neutral value. Once these actions have been completed, the merge_env[f lowers the acknowledge of M\j ]. A schematic for a merge _env 800 for 1-bit data and 2 merge cells is shown in Fig. 8. According to another specific embodiment of the invention, at each grid in a crossbar (i.e., for each combination of z andj) there is a router _cell[i,f which combines the functionalities of one split _cell[i,j] and one merge _cell[i,j] as described above. The split_env[i] and merge_env[j] communicate with their router _cell 's using the handshaking protocol described above. The router _cell waits for the superset of all conditions of the separate split _cell and merge _cell and performs the actions of both with respect to their env 's.
It should be noted that embodiments of the invention are envisioned in which only selected links are implemented with the router _cell of the present invention. According to such embodiments, other links are implemented using the split_cell and merge_cell of Figs. 5 and 7 and their associated intermediate channels V [i,j]. Such embodiments might be useful where, for example, additional buffering is desired on one or more specific links, but it is undesirable to pay the area penalty associated with having intermediate channels for every link. According to an even more specific embodiment, the router _cell does the following. It waits for its S[i] input to be valid and equal toj, for its M[j] input to be valid and equal to i, for L[i] to be valid, and for go[β from the merge_env to be high. Once all this happens, the router _cell[i,j] copies L[i] directly to R[f] without an intermediate V[i,j] channel. The merge_env[f detects that the R[f] has been set, and signals that by raising rv\f . Then the router _cell[i,j can lower its enables to the env 's, se[i,j] and me[i,j], which can be the same signal.
The reset phase proceeds symmetrically. The router _cell waits for S[i] and M[j] to be neutral and go[j] to go down. The merge_env\f\ will reset the R[f] to neutral, and then signal the completion by lowering rvj]. Finally, the router ell[i, ] raises its enables to both env 's. The schematic for a router _cell 900 with 1-bit data and 1-bit S[i] and Mj] is shown in Fig. 9. According to a specific embodiment, the split_env and merge_ env employed with router _cell 900 may be the same as those used with separate split_cell 's and merge_cell 's (see Figs. 6 and 8 above). As will be understood and according to various embodiments, either of the basic crossbar implementations can be extended to different data sizes and P and Q values. There are also several circuit variations which may improve area or speed described subsequently. That is, various different data encodings, router cell circuit implementations, and other circuit implementation variations described subsequently represent various tradeoffs between area and speed.
According to various embodiments, the S[i] may be encoded with a IqfQ channel to select among Q possible split_cells. This increases the fanout on the S wires, and requires a larger AND tree to combine the se[i,j]'s in the split _env.
Likewise, the M\j] may be encoded with a lofP channel to select among P possible mergejzell 's. The number of control wires scales linearly with P and Q, which is suitable for smaller crossbars, e.g., 8 by 8 or smaller. According to even more specific embodiments, the AND trees for se and me are physically distributed across the datapath to reduce wiring.
For larger crossbars, e.g., 16 by 16 or larger, the S[i] and M[j] can each be encoded with a pair of lofN codes lofA by lofB, which yields A * B possibilities. The least and most significant halves of the S control are called S[i] and S[i]ι. Likewise for
Figure imgf000014_0001
The wiring cost of this encoding scales with the v or Q , and works well up to 64 by 64 crossbars. In a delay-insensitive design, it is possible to check only one of the ST/ -SIdi pair f°r neutrality in the router __cell, provided the split _env checks the other one. Likewise for the M[j]olM\J]ι pair.
With a large P or Q, the number of transistors used to detect when a certain router _cell is selected (also referred to as a "hit") becomes increasingly complicated, and this cost is duplicated for all data wires. Therefore, according to one embodiment for a crossbar having a large P, Q, or data size, a hit[i,j] signal is computed in a single hit circuit rather than using the S and M wires directly. An example router_cell 1000 with 1-bit data and 2 x lofA control using a symmetric hit circuit is shown in Fig. 10. An alternate router _cell 1100 using an asymmetric hit circuit which does not check neutrality of S[ϊ]\ oxM\j]\ is shown in Fig. 11. The asymmetric hit circuit requires that the split_env and merge_env are modified to check the neutrality of S[i]γ and M[j]\, respectively.
According to various embodiments, it is straightforward to modify the data encoding to other lofN codes, e.g., from a lofl to signal an event, to lofA for a good low power encoding of 2 bits, and so on. According to embodiments with larger data sizes, multiple lofN codes maybe employed. Fig. 12 shows a router _ cell 1200 with 4-bit data and control encoded with 2 x lofA channels, using the asymmetric hit circuit of Fig. 11. It is possible to use different rv\j] lrv\f x and go\j]o/goj]\ wires corresponding to each lofA, as shown, or to combine them into single rv[j] and go[f] from the merge_env.
According to various specific embodiments, multicast may be supported in a crossbar designed according to the present invention. According to one such embodiment, the S[0..P - 1] control is changed from a lofQ code to a bitvector ,S[0..P - 1, 0..Q - 1] of lofl codes. Each S[i,j] bit goes directly to the router _cell[i, j'J's, where the S[i,jf wire is used in the hit circuit instead of S[i . In the split _env, the se[i,j] signals are first AND'ed with the inverse of S[i,j]° and then combined with a C-element tree instead of an AND tree. Essentially, multiple simultaneous hit 's can occur for one input, and the split_ env must check that they all complete. The merge side is controlled as before. It should be noted that implementations of the dispatch and arbiter circuits described subsequently herein may be configured to control such a multicast crossbar. Various embodiments of an asynchronous crossbar designed according to the present invention are organized into several parallel chunks of less than the datapath size. Assuming the datapath size is B bits (which requires 2 * B wires for the delay-insensitive code in this embodiment), the number of additional control wires used in a split is s, and the number of additional control wires used in merge is m (for an embodiment which uses 1-hot control encoding), if the datapath is broken up into chunks of C bits, then the wiring limited area of the crossbar will be
(BIQ * P * Q * (2 * C + s) * (2 * C+ m). Thus, the optimum Cis ^ .
Using this formula, a 32-bit datapath with 12 wires of split control overhead and 14 wires of merge control overhead should be broken into a chunk size of about 6 to 7 bits. In practice, other factors come into consideration, such as the desired speed of the circuit (which favors smaller chunks) and the convenience of various chunk sizes. For example, depending upon such considerations, a 32-bit crossbar could be implemented as 8 chunks of 4 bits (faster) or 4 chunks of 8 bits (smaller). Other chunk sizes might have unacceptable area, speed, or inconvenience penalties but are still within the scope of the present invention.
Various techniques for generating the S[i] andil '] control channels for an asynchronous crossbar will now be described. It will be understood that such techniques may be applied to any of a variety of asynchronous crossbar architectures including, for example, the different crossbars described above. That is, the dispatch and arbiter circuits described herein may be employed not only to control any of the crossbar circuits designed according to the invention, but any type of crossbar circuit having the basic functionality of interconnecting P input channels with Q output channels. According to various embodiments, control of multicast crossbars and two-way transactions may also be provided by specific implementations of these circuits.
According to various embodiments of the invention, the partial (or projected) order of the data transfers in P to Q crossbar, i.e., the order of operations when projected on a given channel, should be deterministic. That is, the order of operations which involve a certain channel happen in a deterministic order, but operations on different channels can happen in any order relationship to each other. Thus, according to one such embodiment, a dispatcher is provided which solves the following problem: Given an ordered sequence of input instructions on channels L[0..P -1], route each instruction to one of R[0..Q - 1] output channels specified by a TO[0..P - 1] channel for that instruction.
The dispatcher must maintain the order of instructions to each output channel. However, it is not required that instructions to different output channels are delivered in order. This allows internal pipelining in the implementation, arbitrary buffering on all channels, and multiple simultaneous transfers.
Where P is 1, a straightforward implementation of dispatcher is just an Q- way split bus, using L, and TO as S, and R[0..Q - 1]. According to an even more specific embodiment, additional buffering may be provided on the output channels to allow later instructions to be issued despite an earlier stalled instruction to a different R.
According to another embodiment, multiple instructions are issued in parallel with proper ordering using a crossbar. The L[i] and Rf data channels of the dispatcher connect directly to the crossbar. The TO[i] of the dispatcher is copied to the crossbar's S[i]. The M[f] crossbar control channels are derived from the TO[i]'s such that they maintain the program order projected on each output channel. According to one embodiment, this is accomplished in the following manner.
Referring to dispatcher 1300 of Fig. 13, each input _ctrl[i] sends a request bit req[i,j] (e.g., a lofl code) to each output _ ctrl[f indicating whether or not this input wishes to go to that output based on TO[ϊ . Then each output _ctrl\j] collects these bits from all input _ctrl 's and determines the indices of each 1 in cyclic order. These indices control the Mj] channel of the crossbar. The crossbar then transfers the payload. The input controller, e.g., the input_ctrl[i\ circuit, to produce the req[i,j] bits and copy rO[z] to S[i] may be derived using the approach described in "Pipelined Asynchronous Circuits" by A. Lines incorporated by reference above.
Each output controller (also referred to herein as a combine) accepts a bitvector and reads off the positions of all l's in cyclic order from input 0 to P - 1. According to one embodiment, this is achieved using a binary tree structure. Each stage in the tree receives the number of l's on its lower significance L input, then from its higher significance H input, and outputs the sum to the next stage of the tree. These numbers are encoded serially with a loβ code with the states: zero, last, and not-last. For example, 3 is represented by the sequence: not-last, not-last, last.
Each tree stage also outputs a lofl channel to indicate whether the 1 came from the low (0) or high (1) sides. This extra channel becomes the MSB bit of the index so far. The LSB bits so far are obtained by a 2-way merge of the index from either the low or high previous stage, controlled by the current MSB bit. The final loβ bitsum of the tree is discarded, and the accumulated index bits become the M control for the crossbar.
According to various specific embodiments of the invention, the combine may be implemented using the approach described in "Pipelined Asynchronous Circuits" by A. Lines incorporated by reference above. In such embodiments, one internal state bit is provided to distinguish sequences coming from the left or right sides. Fig. 14 shows a 4-way tree combine 1400. The CSP for a specific embodiment of such a combine circuit is as follows:
"zero'XO, "notlast'Xl, "last":=2; *[L?1;
[ l="zero" -> H?h;
[ h="zero" -> R!"zero", done:=true []h="notlast" -> R!"notlast", M!l, done~false []h="last" -> R!"last", Mil, done:=true ];
*[~done -> H?h; []h="notlast" -> R!"notlast", Mil []h="last" -> R! "last", Mil, done:=true ]
] []l="notlast" -> R!"notlast", M!0 []l="last" -> M!0, H?h;
[ h="zero" -> R!"last", done:=true
[]h="notlast" -> R!"notlast", R!"notlast", Mil, done:=false
[]h="last" -> R!"notlast", R!"last", M!l, done:=true ];
*[~done -> H?h; []h="notlast" -> R!"notlast", M!l []h="last" -> R!"last", M!l, done:=true
] ]
] ]
L and H are input request counts encoded serially with loβ codes. R is the output request count encoded serially. M is the most-significant-bit of the next index so far and controls the merge of the accumulated least-significant-bits from previous stages.
Although the combine can be implemented as a tree using existing techniques, a smaller implementation which may be advantageous for large fanins is also provided which uses a rippling ring circuit which inspects each input request in cyclic order, driving a corresponding lofN data rail if its input is 1, or skipping ahead if the input is 0. The rails of this lofN code must be kept exclusive. This version of the combine has irregular throughput and latency characteristics, and may only be valuable for its area savings for large fanins.
According to various specific embodiments, a crossbar is used to execute a series of "move" instructions, each of which specifies an input port and an output port of the crossbar and transfers several tokens across that link. In one such embodiment, the move instruction identifies the input port, the output port, and a repeat count. According to an even more specific embodiment, an ordered sequence of these move instructions is issued in parallel via two dispatch circuits. It will be understood that the repeat count is merely one mechanism which this embodiment may employ.
According to this embodiment, the first dispatch circuit dispatches the output port and repeat count to the specified input port. The second dispatches the input port and repeat count to the output port. That is, the move instruction is copied two ways, with either the input or output port serving as the S control for the corresponding dispatches. The repeat count is unrolled locally to the input and output ports. That is, the same crossbar control is reissued until the count is used up. A specific implementation of a dispatcher 1500 having two such dispatch circuits is shown in Fig. 15.
The use of the dispatchers ensures that the moves will be executed in the original program order if they have either port in common, but may execute them out of order or in parallel if they refer to different ports. The dispatchers are also capable of scaling up to a very large number of move instructions at once. This can be used as an optimization to avoid wasting power or bandwidth in the dispatcher, and also can greatly compress the original instruction stream. Another embodiment of the invention facilitates use of a crossbar as a message passing communications interconnect. According to this embodiment, it is assumed that each input port provides the desired destination port number on a TO channel, which becomes the S control of the crossbar. Each input port requests permission to use the desired output port. Each output port generates the M control by arbitrating among the requests from all inputs contending for access to the same output. An optional FROM channel can be sent with the output which may comprise, for example, a copy of the M control of the crossbar. Such an option may be useful, for example, with certain communication protocols in which it is desirable to know the identity of the sender. The control per input copies the TO to S and uses it as the control for a split bus which sends a lofl request channel req[i,f] to the intended output control. The control per output collects the requests from the input controls and arbitrates among them. The result of the arbitration is used as the M of the crossbar, and may also be copied to a FR OM channel if desired.
According to one embodiment, a P -way arbiter which arbitrates among the requests is built as a binary tree, much like the combine of the last section. Each stage in the binary tree receives a request from either the left (e.g., lower indices) or right (e.g., higher indices) sides of the previous stage. It outputs a lofi channel for the winner's MSB to a side tree of merge 's which accumulate the index of the winner, just as for the combine. It sends a loβ to request the next stage of the tree. Fig. 16 shows a tree structure 1600 for an 8-way arbiter.
According to a specific embodiment of an arbiter, the circuit for each stage of the arbiter includes metastability. The CSP is:
*[Z[0] → I[0]?, T\, A\0 I L[l] → J[l]?, T!, All)
where L[0..1] are the trigger inputs, T is the trigger output, and A is the arbitration result. Fig. 17 shows one implementation of a circuit 1700 with this behavior. According to this embodiment, the output request is made by OR'ing the input requests and is not metastable. Only the side loβ A output employs actual arbitration and a metastability filter. This arbiter tree is weakly fair, and works as first-come-first-serve if contending requests are spaced out enough in time. If the contending requests come faster, all requests will be serviced, but not necessarily at strictly fair rates.
According to a further embodiment, arbitrated control of a crossbar is facilitated by an arbiter which avoids deadlock conditions. As mentioned above, the crossbar controlled by such an arbiter may be any type of crossbar including, but not limited to, those described herein. Suppose an input port A is trying to go to output C then D, and another input port B is trying to go to outputs D then C. Due to slack in the request and arbitration channels, it is possible under a delay insensitive timing model that A would win D and B would win C. But A is trying to send to C first, and B is trying to send to D first. Thus, the system deadlocks.
Thus, according to a specific embodiment, "slack" is eliminated so that an input can't make another request until the previous one has won its arbitration. This is done by introducing a "grant" token (e.g., a loβ channel) which is returned by the output port to the input port when that input wins the arbitration. This mechanism prevents inputs from making more than one outstanding request.
According to one implementation, the grant is returned via a small crossbar with its S control copied from the output's M and its M control copied from the input's S. The output R loβ data channel is fed into the input's split bus. The input side starts with a single grant token. Fig. 18 shows an arbiter 1800 for effecting arbitrated control for a crossbar using this grant scheme.
The grant crossbar of the present invention is also operable to establish a useful ordering relationship. Suppose an input sends some data to output B, then sends a notification to output C that the data is ready. If C then reads it from B, it will get the value that A wrote, because A's communication to B won the arbitration first. This satisfies the producer-consumer ordering model required by many bus protocols.
According to other embodiments of the invention, alternatives to using such a grant crossbar are provided. In general, to avoid deadlock, it is necessary to avoid winning the arbitrations in a different order from which they were requested. One way to do this is to implement the request/arbiter circuits with a total of 1 or less slack, such that a second request will always be blocked until the first one has been granted. This avoids the need for a grant crossbar, and can be smaller. However, this zero-slack design reduces the throughput (since the circuits cannot precharge in parallel with another request starting) and requires different zero-slack implementations of the components instead of the usual pipelined building blocks. The grant crossbar is effectively a way of forcing the pipeline to have 1 slack even if it is built out more pipelined elements. Transactions in a typical system interconnect often have atomic sizes larger than one word. That is, for one request and arbitration, many cycles of data may need to be transferred. This can be achieved according to one embodiment of the present invention by associating a "tail" bit with the data through the main crossbar. According to this embodiment, the tail bit is sampled both by the input and output ports, and is fed into a simple control unit which repeats the same control values until the tail bit is 1. According to other embodiments, a simple counter may be employed using information associated with the data itself (e.g., in a packet) or which comes with the control data controlling the crossbar. As will be understood, these are merely examples of mechanisms which embodiments of the invention may employ to effect the transfer of data of arbitrary size. The scope of the invention should not be so limited.
A request/arbitrate circuit designed according to specific embodiments of the present invention is concerned only with "packets" and sets up the datapath link according to the received control values. The datapath crossbar can transfer a large block of data, then release the link after the last cycle by setting the tail bit to 1. Fig. 19 shows a datapath crossbar 1900 with the extra repeaters on the control inputs. According to an alternate embodiment, a repeat count could be used instead of the tail bit. However, the tail bit may be easier to implement in the hardware, and doesn't prohibit also specifying lengths in the data packets.
According to further embodiments of the invention, two different crossbar datapaths are controlled using a single arbitrated control circuit to implement two-way transactions. According to one such embodiment, input and output loβ channels LTYPE and RTYPE are added to an arbiter circuit designed according to the invention for each port. If the LTYPE channel is 1, the normal S/M control is also copied to become the M/S control of a second crossbar for a returning transaction. If the LTYPE channel is 0, the second crossbar isn't used. The information in the LTYPE channel is copied to the RTYPE channel of the output, so that the target unit knows whether or not to respond. This implementation can support a mixture of 1- way transactions (e.g., store) and 2-way transactions (e.g., load, swap, read-modify- write). According to more specific embodiments, if the modules which are connected by the two crossbars are exclusively masters (initiators) or targets (responders), the two crossbars can be asymmetrically sized, (e.g., an 8x4 request crossbar and a 4x8 response crossbar). According to one such embodiment, this scheme is used to efficiently implement a shared memory bridge.
Some additional exemplary applications of the three types of asynchronous circuits described above will now be discussed. However, it will be understood that the crossbars, dispatchers, and arbiters of the present invention may be used in a wide variety of applications and that therefore the scope of the present invention is not limited to the applications described.
In one such exemplary application, a superscalar CPU with P -way instruction issue and Q pipelines could use aP β dispatcher to send instructions to the correct pipelines while preserving ordering to each pipeline. The TO control would be decoded from the instructions.
In other exemplary embodiments relating to RISC style superscalar asynchronous CPUs, crossbars can be used to route the Z result of any execution pipeline to any register, or to route the reads from any register to the X and Y operands of any pipeline. Each register could delay a write until the next access of that register, such that any data dependent read could be quickly bypassed. The latency from Z result to a dependent X or Y operand could be as little as 6 transitions, 2 each for the result crossbar, register itself, and operand crossbar. This low latency bypass feature eliminates the need for additional bypass circuitry. The control of these crossbars can be generated from parallel RISC instructions using variations on the "move" control scheme. This implementation is large, but allows significant reordering (i.e., it only retains the partial ordering projected on results, operands, and registers) and can scale to very wide issue designs. Even with a dual-issue CPU, this register file could often do more than two instructions at once for short bursts, which could help catch up after stalls.
According to various embodiments, an arbitrated crossbar designed according to the invention can be used to connect several modules on a chip. Each module would be given an input and output port on the crossbar. In some embodiments, each module would be able to send one-way tail-terminated packets to each of the other modules. Some modules could be memories, which could receive stores, and reply to load requests with load completion packets. Others could be I/O interfaces, especially those based on flow-controlled bidirectional FIFO's. Others could be CPU's or DSP's or ASIC's which can access the I/O's, memories, or send packets to each other. These packets could be used to implement cache coherence protocols or hardware supported message passing, hi addition, legacy bus protocols such as PCI could be tunneled over such a crossbar since it supports the required ordering relationships.
According to further embodiments, an arbitrated crossbar designed according to the invention could act as a switch fabric for packet switching. Each incoming packet would have an in-band destination field which would be extracted for use as the TO control. The length of the packet would be converted into a tail bit sequence. The FROM output could be inserted back into the packet if desired. According to more specific embodiments, in the presence of contention, it may be desirable to add FIFOs on all inputs and outputs and make sure the whole system has a significant overspeed to recover from transient congestion.
While the invention has been particularly shown and described with reference to specific embodiments thereof, it will be understood by those skilled in the art that changes in the form and details of the disclosed embodiments may be made without departing from the spirit or scope of the invention. For example, as mentioned above, although specific embodiments have been described herein with reference to a delay- insensitive handshake protocol, various embodiments of the invention are provided in which different types of timing assumptions are made in otherwise delay-insensitive circuits. For example, timing-assumptions may be used to make an otherwise delay- insensitive circuit faster and lower power at the cost of additional circuit verification engineering. The best timing assumption for a particular circuit depends on the critical path of the circuit and the amount of additional verification work a designer is willing to take on. Of particular interest are timing assumptions that are local to one four-phase handshake (described below), or one internal path within one cell between external handshakes. When this class of timing-assumptions is applied to complex cells with critical paths longer than the rest of the delay-insensitive circuitry, it is especially desirable. These timing assumptions apply to asynchronous circuits that use four-phase return to neutral handshakes, and generally use 1-hot data encoding. In general, there are three types of timing assumptions which may apply to various embodiments of the invention. When the pulse timing assumption is applied to an otherwise delay insensitive four-phase handshake, all of the set conditions are completed, data validity, control validity, acknowledge validity, etc. However, the reset phase of the handshake is not completed and is assumed to happen with an adequate timing margin. In this scheme all signals, data, control, and all the acknowledge signals from output channels, are not checked in the reset-phase of the handshake, with the exception that occasionally an acknowledge signal is used opportunistically as a good pre-charge signal for the data. In some cases one may also forego checking the completion of the output data. This scheme requires that once the link is set up, nothing may block the data from being computed and the channels from going through the reset phase.
When the Implied-data-neutrality timing assumption is applied to an otherwise delay-insensitive four-phase handshake, the computed data on the output channels is completed in the set direction, but not in the reset phase. All acknowledges are still checked in all directions. This scheme requires that once the acknowledge of an output channel is set, no events may block the reset phase of the data channel.
Interfering operators are common in circuit design in general but are forbidden by the delay-insensitive timing model. Interference causes glitching. In delay- insensitive circuit design cut-off transistors prevent interference. However, with adequate timing margin, a circuit designer can guarantee glitch free operation in an otherwise delay-insensitive circuit.
A specific example of the use of such timing assumption in circuits designed according to the invention will be illustrative. A 16 to 16 ported 4-bit crossbar efficiently implemented according to a specific delay-insensitive approach of the present invention requires 20 transitions per cycle. However, a crossbar design with similar functionality may be implemented with the timing assumptions described above which requires only 12 transitions per cycle. This theoretically makes the circuit 67% faster. Figs. 20a-20c show how the circuit diagrams for a router _cell 2000, a split _env 2020, and a merge_env 2040 may be modified with these timing assumptions (relative to their above-described counterparts) to create such a 12- transistion per cycle crossbar. The sv and Iv signals represent the input completion of the / and s channels. The rv and mv signals represent the completion of the output data on channel r and the input control data on channel m.
The pulse timing assumption is used in the main data transfer through split _env -> router cell -> merge_env. This allows the removal of 2 NAND gate completions, and the rv bus signal. It also reduces the response time from the L and S arrival to the SE (L and £ acknowledge) from 9 transitions to 5. The interference timing assumption is used on the ve bus in the figure, however at a little extra cost one could produce a signal from the split env and pass it into the ve bus to remove the interference timing assumption. In the buffers surrounding the split _env and merge_env, the implied-data-neutrality timing assumption is used to satisfy the non- blocking return-to-neutral requirement of the pulse timing assumption, and to keep the critical path of data completion on 2 loβ codes to 12 transitions per cycle. It should be understood that there are numerous small trade offs in timing-assumptions that can be made in such circuits, all of which are within the scope of this invention.
In addition, while several specific embodiments of the invention have been described in the context of asynclironous circuit design, it is possible to map the event driven architecture of the crossbars described herein into a synchronous environment with the introduction of a clock signal and still remain within the scope of the invention. According to one such embodiment, a crossbar circuit architecture similar to that described above is implemented with the underlying channel model of a synchronous request- grant FIFO rather than an asynchronous four-phase channel. Since the crossbar is still based on the four independent FIFOs L, S, M, and R, all of the properties that come from implementing the crossbar with independent flow- controlled FIFO channels still apply. The difference is that data transactions begin aligned to a clock-edge boundary. Such an approach may be desirable, for example, in a single clock domain synchronous system because it relieves the requirement of going through synchronous to asynchronous conversion and back again.
Finally, although various advantages, aspects, and objects of the present invention have been discussed herein with reference to various embodiments, it will be understood that the scope of the invention should not be limited by reference to such advantages, aspects, and objects. Rather, the scope of the invention should be determined with reference to the appended claims.

Claims

WHAT IS CLAIMED IS:
1. A crossbar which is operable to route data from any of a first number of input channels to any of a second number of output channels according to routing control information, each combination of an input channel and an output channel comprising one of a plurality of links, the crossbar comprising crossbar circuitry which is operable to route the data in a deterministic manner on each of the links thereby preserving a partial ordering represented by the routing control information, wherein events on different links are uncorrelated.
2. The crossbar of claim 1 in which the routing control information comprises split control information and merge control information which are transmitted to the crossbar on independent split control and merge control channels, the crossbar circuitry being operable to preserve the partial ordering by sending the output address over the split control channel corresponding to the input address, and the input address over the merge control channel corresponding to the output address.
3. The crossbar of claim 1 wherein the crossbar circuitry comprises: the first number of split busses each corresponding to one of the input channels; and the second number of merge busses each corresponding to one of the output channels; and a plurality of intermediate channels connecting each of the split busses to each of the merge busses.
4. The crossbar of claim 3 wherein each split bus comprises first split circuitry for receiving the data from the corresponding input channel and a plurality of split cells, each split cell corresponding to one of the merge busses.
5. The crossbar of claim 3 wherein each merge bus comprises first merge circuitry for transmitting the data to the corresponding output channel and a plurality of merge cells, each merge cell corresponding to one of the split busses.
6. The crossbar of claim 3 wherein the crossbar circuitry employs M by 1 ofN encoding for the data where M is an integer greater than or equal to one and N is an integer greater than or equal to two.
7. The crossbar of claim 3 wherein the crossbar circuitry employs lofN encoding for the routing control information where N is an integer greater than or equal to two.
8. The crossbar of claim 3 wherem the routing control information comprises split control information and merge control information, the split control information being encoded using lofA and lofB encoding where A*B is the second number, and the merge control information being encoded using lofC and lofD encoding where C*D is the first number.
9. The crossbar of claim 3 wherein the crossbar circuitry is operable to transfer the data on at least one of the links asynchronously.
10. The crossbar of claim 9 wherein the crossbar circuitry is operable to transfer the data on the at least one of the links using a handshake protocol.
11. The crossbar of claim 10 wherein the handshake protocol between a first sender and a first receiver on the at least one of the links comprises: the first sender sets a data signal valid when an enable signal from the first receiver goes high; the first receiver lowers the enable signal upon receiving the valid data signal; the first sender sets the data signal neutral upon receiving the low enable signal; and the first receiver raises the enable signal upon receiving the neutral data signal.
12. The crossbar of claim 10 wherein the handshake protocol is delay-insensitive.
13. The crossbar of claim 3 wherein the crossbar circuitry is operable to transfer the data on at least one of the links based on at least one timing assumption.
14. The crossbar of claim 13 wherein the at least one timing assumption comprises any of a pulse timing assumption, an interference timing assumption, and an implied-data-neutrality timing assumption.
15. The crossbar of claim 14 wherein the pulse timing assumption is applied to an otherwise delay insensitive four-phase handshake.
16. The crossbar of claim 14 wherein the implied-data-neutrality timing assumption is applied to an otherwise delay insensitive four-phase handshake.
17. The crossbar of claim 14 wherein the interference timing assumes an adequate timing margin between interfering operators.
18. The crossbar of claim 3 wherein the crossbar circuitry is operable to transfer the data on at least one of the links with reference to transitions of a clock signal.
19. The crossbar of claim 18 wherein events associated with an otherwise asynchronous handshake protocol are aligned with the transitions of the clock signal.
20. The crossbar of claim 3 further comprising hit circuitry which is operable to indicate when the routing control information corresponds to a particular one of the links.
21. The crossbar of claim 20 wherein the hit circuitry comprises symmetric hit circuitry which is operable to check the neutrality of the routing control information corresponding to the particular link.
22. The crossbar of claim 21 wherein the symmetric hit circuitry comprises a four-input consensus element.
23. The crossbar of claim 20 wherein the hit circuitry comprises asymmetric hit circuitry which is not operable to check the neutrality of the routing control information corresponding to the particular link.
24. The crossbar of claim 3 wherein the crossbar circuitry is operable to route consecutively a plurality of units of the data on a first one of the plurality of links.
25. The crossbar of claim 24 wherein the plurality of units of the data includes a final data unit, the crossbar circuitry being operable to route the plurality of data units until the final data unit is identified.
26. The crossbar of claim 25 wherein the final data unit is identified with reference to a count associated with the plurality of data units.
27. The crossbar of claim 26 wherein the count is fixed for all data transfers.
28. The crossbar of claim 26 wherein the count is variable with reference to the plurality of data units.
29. The crossbar of claim 25 wherein the final data unit is identified using a data field associated with the plurality of data units.
30. The crossbar of claim 29 wherein the data field comprises one of a tail bit and a termination character.
31. The crossbar of claim 25 wherein the final data unit is identified using a data field associated with the routing control information.
32. The crossbar of claim 3 wherein the crossbar circuitry comprises a plurality of individual crossbar circuits which together are operable to route the data from the input channels to the output channels in the deterministic manner.
33. The crossbar of claim 1 wherein the crossbar circuitry comprises the first number of split circuits each being operable to receive the data from a corresponding input channel, the second number of merge circuits each being operable to transmit the data to a corresponding output channel, and a plurality of router cells each being operable to transmit the data directly from a corresponding one of the split circuits to a corresponding one of the merge circuits without an intervening channel.
34. The crossbar of claim 33 wherein at least one pair of split and merge circuits has an intervening channel therebetween.
35. The crossbar of claim 34 wherein the intervening channel includes buffering.
36. The crossbar of claim 33 wherein the crossbar circuitry employs M by lofN encoding for the data where M is an integer greater than or equal to one and N is an integer greater than or equal to two.
37. The crossbar of claim 33 wherein the crossbar circuitry employs lofN encoding for the routing control information where N is an integer greater than or equal to two.
38. The crossbar of claim 33 wherein the routing control information comprises split control information and merge control information, the split control information being encoded using lofA and lofB encoding where A*B is the second number, and the merge control information being encoded using lofC and lofD encoding where C*D is the first number.
39. The crossbar of claim 33 wherein the crossbar circuitry is operable to transfer the data on at least one of the links asynchronously.
40. The crossbar of claim 39 wherein the crossbar circuitry is operable to transfer the data on the at least one of the links using a handshake protocol.
41. The crossbar of claim 40 wherein the handshake protocol between a first sender and a first receiver on the at least one of the links comprises: the first sender sets a data signal valid when an enable signal from the first receiver goes high; the first receiver lowers the enable signal upon receiving the valid data signal; the first sender sets the data signal neutral upon receiving the low enable signal; and the first receiver raises the enable signal upon receiving the neutral data signal.
42. The crossbar of claim 40 wherein the handshake protocol is delay-insensitive.
43. The crossbar of claim 33 wherein the crossbar circuitry is operable to transfer the data on at least one of the links based on at least one timing assumption.
44. The crossbar of claim 43 wherein the at least one timing assumption comprises any of a pulse timing assumption, an interference timing assumption, and an implied-data-neutrality timing assumption.
45. The crossbar of claim 44 wherein the pulse timing assumption is applied to an otherwise delay insensitive four-phase handshake.
46. The crossbar of claim 44 wherein the implied-data-neutrality timing assumption is applied to an otherwise delay insensitive four-phase handshake.
47. The crossbar of claim 44 wherein the interference timing assumes an adequate timing margin between interfering operators.
48. The crossbar of claim 33 wherein the crossbar circuitry is operable to transfer the data on at least one of the links with reference to transitions of a clock signal.
49. The crossbar of claim 48 wherein events associated with an otherwise asynchronous handshake protocol are aligned with the transitions of the clock signal.
50. The crossbar of claim 33 further comprising hit circuitry which is operable to indicate when the routing control information corresponds to a particular one of the links.
51. The crossbar of claim 50 wherein the hit circuitry comprises symmetric hit circuitry which is operable to check the neutrality of the routing control information corresponding to the particular link.
52. The crossbar of claim 51 wherein the symmetric hit circuitry comprises a four-input consensus element.
53. The crossbar of claim 50 wherein the hit circuitry comprises asymmetric hit circuitry which is not operable to check the neutrality of the routing control information corresponding to the particular link.
54. The crossbar of claim 33 wherein the crossbar circuitry is operable to route consecutively a plurality of units of the data on a first one of the plurality of links.
55. The crossbar of claim 54 wherein the plurality of units of the data includes a final data unit, the crossbar circuitry being operable to route the plurality of data units until the final data unit is identified.
56. The crossbar of claim 55 wherein the final data unit is identified with reference to a count associated with the plurality of data units.
57. The crossbar of claim 56 wherein the count is fixed for all data transfers.
58. The crossbar of claim 56 wherein the count is variable with reference to the plurality of data units.
59. The crossbar of claim 55 wherein the final data unit is identified using a data field associated with the plurality of data units.
60. The crossbar of claim 59 wherein the data field comprises one of a tail bit and a termination character.
61. The crossbar of claim 55 wherein the final data unit is identified using a data field associated with the routing control information.
62. The crossbar of claim 33 wherein the crossbar circuitry comprises a plurality of individual crossbar circuits which together are operable to route the data from the input channels to the output channels in the deterministic manner.
63. The crossbar of claim 1 wherein the first number comprises P where P is a first integer greater than or equal to 1, wherein the second number comprises Q where Q is a second integer greater than or equal to 1, and wherein P and Q are not both equal to one.
64. An integrated circuit comprising the crossbar of claim 1.
65. The integrated circuit of claim 64 wherein the integrated circuit comprises any of a CMOS integrated circuit, a GaAs integrated circuit, and a SiGe integrated circuit.
66. The integrated circuit of claim 64 wherein the integrated circuit comprises at least one of a programmable logic device, a field-programmable gate array, an application- specific integrated circuit, a microprocessor, a system-on-a-chip, a packet switching device, and a shared memory bridge.
67. At least one computer-readable medium having data structures stored therein representative of the crossbar of claim 1.
68. The at least one computer-readable medium of claim 67 wherein the data structures comprise a simulatable representation of the crossbar.
69. The at least one computer-readable medium of claim 68 wherein the simulatable representation comprises a netlist.
70. The at least one computer-readable medium of claim 67 wherein the data structures comprise a code description of the crossbar.
71. The at least one computer-readable medium of claim 70 wherein the code description corresponds to a hardware description language.
72. A set of semiconductor processing masks representative of at least a portion of the crossbar of claim 1.
73. The crossbar of claim 1 wherein the crossbar circuitry is operable to route the data on the links according to an event driven protocol.
74. The crossbar of claim 73 wherein the event driven protocol is asynchronous.
75. The crossbar of claim 73 wherein events associated with the event driven protocol are aligned with transitions of a global timing reference.
76. A dispatcher which is operable to route an ordered stream of instructions received on a first number of input channels to designated ones of a second number of output channels according to instruction routing information, the dispatcher comprising dispatch circuitry which is operable to route the instructions to each output channel in a deterministic manner thereby preserving a partial ordering for each output channel defined in the ordered stream, wherein instructions on different output channels are uncorrelated.
77. The dispatcher of claim 76 wherein the dispatch circuitry employs M by lofN encoding for the instructions where M is an integer greater than or equal to one and N is an integer greater than or equal to two.
78. The dispatcher of claim 76 wherein the dispatch circuitry employs lofN encoding for the instruction routing information where N is an integer greater than or equal to two.
79. The dispatcher of claim 76 wherein the instruction routing information comprises input control information and output control information, the input control information being encoded using lofA and lofB encoding where A*B is the second number, and the output control information being encoded using lofC and lofD encoding where C*D is the first number.
80. The dispatcher of claim 76 wherein the dispatch circuitry is operable to route the instructions between each of the input channels and each of the output channels asynchronously.
81. The dispatcher of claim 80 wherein the dispatch circuitry is operable to route the instructions asynchronously using a handshake protocol.
82. The dispatcher of claim 81 wherein the handshake protocol between a first sender and a first receiver on a link between a first input channel and a first output channel comprises: the first sender sets a data signal valid when an enable signal from the first receiver goes high; the first receiver lowers the enable signal upon receiving the valid data signal; the first sender sets the data signal neutral upon receiving the low enable signal; and the first receiver raises the enable signal upon receiving the neutral data signal.
83. The dispatcher of claim 81 wherein the handshake protocol is delay- insensitive.
84. The dispatcher of claim 76 wherein the dispatch circuitry comprises a crossbar operable to receive the instructions from the input channels and transmit the instructions to the output channels, the dispatch circuitry further comprising input confrol circuitry and output control circuitry which are operable to control the crossbar.
85. The dispatcher of claim 84 wherein the input confrol circuitry is operable to generate split control information for the crossbar with reference to the instruction routing information, the input control circuitry further being operable to generate a request bit corresponding to each pair of the input and output channels, each request bit indicating whether or not the corresponding input channel is to form a link with the corresponding output channel, the request bits also being generated with reference to the instruction routing information.
86. The dispatcher of claim 85 wherein the output control circuitry is operable to generate merge confrol information for the crossbar with reference to the request bits.
87. The dispatcher of claim 86 wherein the output control circuitry comprises a binary tree structure.
88. The dispatcher of claim 86 wherein the output control circuitry comprises a rippling ring circuit.
89. The dispatcher of claim 84 wherein the crossbar is operable to route the instructions to each output channel in the deterministic manner.
90. An integrated circuit comprising the dispatcher of claim 76.
91. The integrated circuit of claim 90 wherein the integrated circuit comprises any of a CMOS integrated circuit, a GaAs integrated circuit, and a SiGe integrated circuit.
92. At least one computer-readable medium having data structures stored therein representative of the dispatcher of claim 76.
93. The at least one computer-readable medium of claim 92 wherein the data structures comprise a simulatable representation of the dispatcher.
94. The at least one computer-readable medium of claim 93 wherein the simulatable representation comprises a netlist.
95. The at least one computer-readable medium of claim 92 wherein the data structures comprise a code description of the dispatcher.
96. The at least one computer-readable medium of claim 95 wherein the code description corresponds to a hardware description language.
97. A set of semiconductor processing masks representative of at least a portion of the dispatcher of claim 76.
98. The dispatcher of claim 76 wherein the dispatch circuitry is operable to route the instructions to at least one output channel based on at least one timing assumption.
99. The dipatcher of claim 98 wherein the at least one timing assumption comprises any of a pulse timing assumption, an interference timing assumption, and an implied-data-neutrality timing assumption.
100. The dispatcher of claim 99 wherein the pulse timing assumption is applied to an otherwise delay insensitive four-phase handshake.
101. The dispatcher of claim 99 wherein the implied-data-neutrality timing assumption is applied to an otherwise delay insensitive four-phase handshake.
102. The dispatcher of claim 99 wherein the interference timing assumes an adequate timing margin between interfering operators.
103. An arbiter which is operable to route a plurality of instructions received on a first number of input channels to designated ones of a second number of output channels according to instruction routing information, the arbiter comprising arbitration circuitry which is operable to arbitrate between instructions received on different input channels and designating a same output channel, and prevent any of the different input channels from transmitting a subsequent instruction until arbitration between the different input channels is complete.
104. The arbiter of claim 103 wherein the arbitration circuitry employs M by lofN encoding for the instructions where M is an integer greater than or equal to one and N is an integer greater than or equal to two.
105. The arbiter of claim 103 wherein the arbitration circuitry employs lofN encoding for the instruction routing information where N is an integer greater than or equal to two.
106. The arbiter of claim 103 wherein the instruction routing information comprises input control information and output confrol information, the input control information being encoded using lofA and lofB encoding where A*B is the second number, and the output control information being encoded using lofC and lofD encoding where C*D is the first number.
107. The arbiter of claim 103 wherein the arbifration circuitry is operable to route the instructions between each of the input channels and each of the output channels asynchronously.
108. The arbiter of claim 107 wherein the arbitration circuitry is operable to route the instructions asynchronously using a handshake protocol.
109. The arbiter of claim 108 wherein the handshake protocol between a first sender and a first receiver on a link between a first input channel and a first output channel comprises: the first sender sets a data signal valid when an enable signal from the first receiver goes high; the first receiver lowers the enable signal upon receiving the valid data signal; the first sender sets the data signal neutral upon receiving the low enable signal; and the first receiver raises the enable signal upon receiving the neutral data signal.
110. The arbiter of claim 108 wherein the handshake protocol is delay-insensitive.
111. The arbiter of claim 103 wherein the arbitration circuitry comprises a crossbar operable to receive the instructions from the input channels and transmit the instructions to the output channels, the arbifration circuitry further comprising input control circuitry and output control circuitry which are operable to control the crossbar.
112. The arbiter of claim 111 wherein the input control circuitry is operable to generate split control information for the crossbar with reference to the instruction routing information, the input control circuitry further being operable to generate a request bit corresponding to each pair of the input and output channels, each request bit indicating whether or not the corresponding input channel is to form a link with the conesponding output channel, the request bits also being generated with reference to the instruction routing information.
113. The arbiter of claim 112 wherein the output control circuitry is operable to generate merge control information for the crossbar by arbitrating among the request bits.
114. The arbiter of claim 113 wherein the output control circuitry comprises a binary tree structure.
115. The arbiter of claim 114 wherein the binary tree structure comprises a plurality of arbitration circuits and merge circuits configured in a plurality of stages and being operable to arbitrate among the request bits by accumulating an index of a winning input channel.
116. The arbiter of claim 115 wherein behavior of a stage of the tree structure may be described using concunent sequential processes (CSP) notation as follows:
*[Z[0] → Z[0]?, T!, A10 I L[l] → I[l]?, T!, All]
where L[0] and L[l] are trigger inputs, J is a trigger output, and A is an arbitration result.
117. The arbiter of claim 103 wherein the arbitration circuitry comprises grant circuitry operable to prevent deadlock of the arbiter by transmitting a grant token conesponding to the same output channel to an arbitration-winning input channel.
118. The arbiter of claim 117 wherein the arbitration circuitry also comprises a main crossbar operable to receive the instructions from the input channels and transmit the instructions to the output channels, the arbitration circuitry further comprising input control circuitry and output control circuitry which are operable to control the main crossbar by generating first split control information and first merge control information from the instruction routing information, and wherein the grant circuitry comprises a grant crossbar operable to transmit the grant token in response to second split control information and second merge control information, the second split control information being derived from the first merge confrol information, and the second merge control information being derived from the first split control information.
119. The arbiter of claim 117 wherein the grant circuitry is implemented a slack of one or less such that a second request from a particular channel is blocked until a first request has been granted.
120. The arbiter of claim 103 wherein the arbitration circuitry comprises a first crossbar operable to receive the instructions from the input channels and transmit the instructions to the output channels, the arbifration circuitry also comprising input confrol circuitry and output control circuitry which are operable to control the first crossbar by generating first split control information and first merge confrol information from the instruction routing information, the arbitration circuitry also comprising a second crossbar operable to transmit data from any of the output channels to any of the input channels in response to second split control information and second merge confrol information, the second split control information being derived from the first merge control information, and the second merge control information being derived from the first split control information.
121. The arbiter of claim 120 wherein arbitration circuitry is operable to generate the second split control information and the second merge control information only in response to an indication that a two-way transaction has been requested.
122. The arbiter of claim 111 wherein the crossbar is operable to route the instructions to each output channel in the deterministic manner.
123. An integrated circuit comprising the arbiter of claim 103.
124. The integrated circuit of claim 123 wherein the integrated circuit comprises any of a CMOS integrated circuit, a GaAs integrated circuit, and a SiGe integrated circuit.
125. At least one computer-readable medium having data structures stored therein representative of the arbiter of claim 103.
126. The at least one computer-readable medium of claim 125 wherein the data structures comprise a simulatable representation of the arbiter.
127. The at least one computer-readable medium of claim 126 wherein the simulatable representation comprises a netlist.
128. The at least one computer-readable medium of claim 125 wherein the data structures comprise a code description of the arbiter.
129. The at least one computer-readable medium of claim 128 wherein the code description conesponds to a hardware description language.
130. A set of semiconductor processing masks representative of at least a portion of the arbiter of claim 103.
131. A system-on-a-chip comprising a plurality of system components interconnected via the crossbar of claim 1.
132. A shared memory bridge comprising a first instance of the crossbar of claim 1 as a request crossbar and a second instance of the crossbar of claim 1 as a response crossbar.
133. A superscalar central processing unit comprising the dispatcher of claim 76 as an instruction dispatcher.
134. A superscalar central processing unit comprising the crossbar of claim 1 as a register bypass.
135. A packet switching device comprising the crossbar of claim 1 as a switch fabric.
PCT/US2003/001860 2002-01-25 2003-01-21 Asynchronous crossbar with deterministic or arbitrated control WO2003065236A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2003564758A JP4331617B2 (en) 2002-01-25 2003-01-21 Asynchronous crossbar with deterministic or arbitrated control
EP03703946A EP1468372B1 (en) 2002-01-25 2003-01-21 Asynchronous crossbar with deterministic or arbitrated control
DE60332811T DE60332811D1 (en) 2002-01-25 2003-01-21 ASYNCHRONO CROSSBAR SWITCH WITH DETERMINISTIC OR ARBITRATING CONTROL
AT03703946T ATE470186T1 (en) 2002-01-25 2003-01-21 ASYNCHRONOUS CROSSBAR SWITCH WITH DETERMINISTIC OR ARBITRATION CONTROL

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US35213102P 2002-01-25 2002-01-25
US60/352,131 2002-01-25
US10/136,025 US7283557B2 (en) 2002-01-25 2002-04-30 Asynchronous crossbar with deterministic or arbitrated control
US10/136,025 2002-04-30

Publications (1)

Publication Number Publication Date
WO2003065236A1 true WO2003065236A1 (en) 2003-08-07

Family

ID=27668059

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/001860 WO2003065236A1 (en) 2002-01-25 2003-01-21 Asynchronous crossbar with deterministic or arbitrated control

Country Status (6)

Country Link
US (3) US7283557B2 (en)
EP (1) EP1468372B1 (en)
JP (1) JP4331617B2 (en)
AT (1) ATE470186T1 (en)
DE (1) DE60332811D1 (en)
WO (1) WO2003065236A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007507795A (en) * 2003-10-03 2007-03-29 ソニックス・インコーポレーテッド Low power shared link arbitration
US7733771B2 (en) 2004-09-28 2010-06-08 Commissariat A L'energie Atomique NoC semi-automatic communication architecture for “data flows” applications
US7940666B2 (en) 2005-03-08 2011-05-10 Commissariat A L'energie Atomique Communication node architecture in a globally asynchronous network on chip system
US7957381B2 (en) 2005-03-08 2011-06-07 Commissariat A L'energie Atomique Globally asynchronous communication architecture for system on chip

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7519060B2 (en) * 2003-03-19 2009-04-14 Intel Corporation Reducing inter-packet gaps in packet-based input/output communications
WO2005008672A2 (en) * 2003-07-14 2005-01-27 Fulcrum Microsystems, Inc. Asynchronous static random access memory
US7814280B2 (en) * 2005-01-12 2010-10-12 Fulcrum Microsystems Inc. Shared-memory switch fabric architecture
US20060161718A1 (en) * 2005-01-20 2006-07-20 Berke Stuart A System and method for a non-uniform crossbar switch plane topology
US7318126B2 (en) * 2005-04-11 2008-01-08 International Business Machines Corporation Asynchronous symmetric multiprocessing
US7568063B2 (en) * 2006-02-02 2009-07-28 Hewlett-Packard Development Company, L.P. System and method for a distributed crossbar network using a plurality of crossbars
US7505304B2 (en) * 2006-04-27 2009-03-17 Achronix Semiconductor Corporation Fault tolerant asynchronous circuits
US7504851B2 (en) * 2006-04-27 2009-03-17 Achronix Semiconductor Corporation Fault tolerant asynchronous circuits
US20100002601A1 (en) * 2006-09-13 2010-01-07 Ecole Polytechnique Federale De Lausanne (Epfl) Methods for hardware reduction and overall performance improvement in communication system
US9262356B2 (en) * 2006-12-15 2016-02-16 Lantiq Beteiligungs-GmbH & Co.KG Arbiter device and arbitration method
US7916718B2 (en) * 2007-04-19 2011-03-29 Fulcrum Microsystems, Inc. Flow and congestion control in switch architectures for multi-hop, memory efficient fabrics
US9081901B2 (en) * 2007-10-31 2015-07-14 Raytheon Company Means of control for reconfigurable computers
US8370557B2 (en) * 2008-12-19 2013-02-05 Intel Corporation Pseudo dual-port SRAM and a shared memory switch using multiple memory banks and a sideband memory
US9917421B2 (en) 2011-03-17 2018-03-13 Thorlabs Quantum Electronics, Inc. P-type isolation regions adjacent to semiconductor laser facets
US8514902B2 (en) 2011-03-17 2013-08-20 Corning Incorporated P-type isolation between QCL regions
US9432298B1 (en) 2011-12-09 2016-08-30 P4tents1, LLC System, method, and computer program product for improving memory systems
US8644318B2 (en) * 2011-09-21 2014-02-04 Qualcomm, Incorporated Systems and methods for asynchronous handshake-based interconnects
US10439871B2 (en) 2017-09-25 2019-10-08 Cisco Technology, Inc. Deterministic stitching of deterministic segments across distinct deterministic domains

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5752070A (en) 1990-03-19 1998-05-12 California Institute Of Technology Asynchronous processors
US5832303A (en) 1994-08-22 1998-11-03 Hitachi, Ltd. Large scale interconnecting switch using communication controller groups with multiple input-to-one output signal lines and adaptable crossbar unit using plurality of selectors
US6038656A (en) 1997-09-12 2000-03-14 California Institute Of Technology Pipelined completion for asynchronous communication
US6044061A (en) 1998-03-10 2000-03-28 Cabletron Systems, Inc. Method and apparatus for fair and efficient scheduling of variable-size data packets in an input-buffered multipoint switch

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4475188A (en) * 1982-09-02 1984-10-02 Burroughs Corp. Four way arbiter switch for a five port module as a node in an asynchronous speed independent network of concurrent processors
US4482996A (en) * 1982-09-02 1984-11-13 Burroughs Corporation Five port module as a node in an asynchronous speed independent network of concurrent processors
US4680701A (en) * 1984-04-11 1987-07-14 Texas Instruments Incorporated Asynchronous high speed processor having high speed memories with domino circuits contained therein
US4773066A (en) * 1986-04-15 1988-09-20 The Mitre Corporation Synchronized multiple access apparatus and method for a local area network
GB8711991D0 (en) * 1987-05-21 1987-06-24 British Aerospace Asynchronous communication systems
US4849751A (en) * 1987-06-08 1989-07-18 American Telephone And Telegraph Company, At&T Bell Laboratories CMOS Integrated circuit digital crossbar switching arrangement
US5452231A (en) * 1988-10-05 1995-09-19 Quickturn Design Systems, Inc. Hierarchically connected reconfigurable logic assembly
US4912348A (en) * 1988-12-09 1990-03-27 Idaho Research Foundation Method for designing pass transistor asynchronous sequential circuits
US5121003A (en) 1990-10-10 1992-06-09 Hal Computer Systems, Inc. Zero overhead self-timed iterative logic
US5434520A (en) * 1991-04-12 1995-07-18 Hewlett-Packard Company Clocking systems and methods for pipelined self-timed dynamic logic circuits
US5367638A (en) * 1991-12-23 1994-11-22 U.S. Philips Corporation Digital data processing circuit with control of data flow by control of the supply voltage
US5450549A (en) * 1992-04-09 1995-09-12 International Business Machines Corporation Multi-channel image array buffer and switching network
DE4214981A1 (en) * 1992-05-06 1993-11-11 Siemens Ag Asynchronous logic circuit for 2-phase operation
EP0650117B1 (en) * 1993-10-21 2002-04-10 Sun Microsystems, Inc. Counterflow pipeline
US5440182A (en) * 1993-10-22 1995-08-08 The Board Of Trustees Of The Leland Stanford Junior University Dynamic logic interconnect speed-up circuit
US6152613A (en) * 1994-07-08 2000-11-28 California Institute Of Technology Circuit implementations for asynchronous processors
US5642501A (en) * 1994-07-26 1997-06-24 Novell, Inc. Computer method and apparatus for asynchronous ordered operations
US5517495A (en) * 1994-12-06 1996-05-14 At&T Corp. Fair prioritized scheduling in an input-buffered switch
US5732233A (en) * 1995-01-23 1998-03-24 International Business Machines Corporation High speed pipeline method and apparatus
DE69621763T2 (en) * 1995-08-23 2003-02-06 Koninkl Philips Electronics Nv DATA PROCESSING SYSTEM WITH AN ASYNCHRONOUS PIPELINE
DE69632965T2 (en) * 1996-01-03 2005-08-25 Sony Electronics Inc. COPY-PROOF RECORDING AND PLAYBACK SYSTEM
GB2310738B (en) * 1996-02-29 2000-02-16 Advanced Risc Mach Ltd Dynamic logic pipeline control
US5802055A (en) * 1996-04-22 1998-09-01 Apple Computer, Inc. Method and apparatus for dynamic buffer allocation in a bus bridge for pipelined reads
WO1999004334A1 (en) * 1997-07-16 1999-01-28 California Institute Of Technology Improved devices and methods for asynchronous processing
US5920899A (en) * 1997-09-02 1999-07-06 Acorn Networks, Inc. Asynchronous pipeline whose stages generate output request before latching data
US6502180B1 (en) * 1997-09-12 2002-12-31 California Institute Of Technology Asynchronous circuits with pipelined completion process
US6301655B1 (en) * 1997-09-15 2001-10-09 California Institute Of Technology Exception processing in asynchronous processor
US5949259A (en) * 1997-11-19 1999-09-07 Atmel Corporation Zero-delay slew-rate controlled output buffer
US5973512A (en) * 1997-12-02 1999-10-26 National Semiconductor Corporation CMOS output buffer having load independent slewing
US6072772A (en) * 1998-01-12 2000-06-06 Cabletron Systems, Inc. Method for providing bandwidth and delay guarantees in a crossbar switch with speedup
US6327253B1 (en) * 1998-04-03 2001-12-04 Avid Technology, Inc. Method and apparatus for controlling switching of connections among data processing devices
US6052368A (en) * 1998-05-22 2000-04-18 Cabletron Systems, Inc. Method and apparatus for forwarding variable-length packets between channel-specific packet processors and a crossbar of a multiport switch
US6279065B1 (en) * 1998-06-03 2001-08-21 Compaq Computer Corporation Computer system with improved memory access
US6301630B1 (en) * 1998-12-10 2001-10-09 International Business Machines Corporation Interrupt response in a multiple set buffer pool bus bridge
US6374307B1 (en) * 1999-02-12 2002-04-16 Steve A. Ristau Non-intrusive DWDM billing system
US6230228B1 (en) * 1999-04-01 2001-05-08 Intel Corporation Efficient bridge architecture for handling multiple write transactions simultaneously
US7006498B2 (en) * 2000-08-09 2006-02-28 International Business Machines Corporation System for transmitting local area network (LAN) data frames through an asynchronous transfer mode (ATM) crossbar switch

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5752070A (en) 1990-03-19 1998-05-12 California Institute Of Technology Asynchronous processors
US5832303A (en) 1994-08-22 1998-11-03 Hitachi, Ltd. Large scale interconnecting switch using communication controller groups with multiple input-to-one output signal lines and adaptable crossbar unit using plurality of selectors
US6038656A (en) 1997-09-12 2000-03-14 California Institute Of Technology Pipelined completion for asynchronous communication
US6044061A (en) 1998-03-10 2000-03-28 Cabletron Systems, Inc. Method and apparatus for fair and efficient scheduling of variable-size data packets in an input-buffered multipoint switch

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
"Pipelined Asynchronous Circuits", CALTECH COMPUTER SCIENCE TECHNICAL REPORT CS-TR-95-21, 1995
GHOSH J ET AL: "DISTRIBUTED CONTROL SCHEMES DOE FAST ARBITRATION IN LARGE CROSSBAR NETWORKS", IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, IEEE INC. NEW YORK, US, vol. 2, no. 1, 1 March 1994 (1994-03-01), pages 54 - 67, XP000435264, ISSN: 1063-8210 *
LEE H-T ET AL: "CROSSBAR-BASED GIGABIT PACKET SWITCH WITH AN INPUT-POLLING SHARED BUS ARBITRATION MECHANISM", ISS '97. WORLD TELECOMMUNICATIONS CONGRESS. (INTERNATIONAL SWITCHING SYMPOSIUM). GLOBAL NETWORK EVOLUTION: CONVERGENCE OR COLLISION? TORONTO, SEPT. 21 - 26, 1997, ISS. WORLD TELECOMMUNICATIONS CONGRESS. (INTERNATIONAL SWITCHING SYMPOSIUM), TORONTO, P, vol. 1, 21 September 1997 (1997-09-21), pages 435 - 442, XP000720549 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007507795A (en) * 2003-10-03 2007-03-29 ソニックス・インコーポレーテッド Low power shared link arbitration
US7733771B2 (en) 2004-09-28 2010-06-08 Commissariat A L'energie Atomique NoC semi-automatic communication architecture for “data flows” applications
US7940666B2 (en) 2005-03-08 2011-05-10 Commissariat A L'energie Atomique Communication node architecture in a globally asynchronous network on chip system
US7957381B2 (en) 2005-03-08 2011-06-07 Commissariat A L'energie Atomique Globally asynchronous communication architecture for system on chip

Also Published As

Publication number Publication date
US7283557B2 (en) 2007-10-16
EP1468372A1 (en) 2004-10-20
US20030146075A1 (en) 2003-08-07
JP2005516508A (en) 2005-06-02
US20030146073A1 (en) 2003-08-07
EP1468372B1 (en) 2010-06-02
JP4331617B2 (en) 2009-09-16
ATE470186T1 (en) 2010-06-15
DE60332811D1 (en) 2010-07-15
US7274709B2 (en) 2007-09-25
US7274710B2 (en) 2007-09-25
US20030146074A1 (en) 2003-08-07

Similar Documents

Publication Publication Date Title
US7283557B2 (en) Asynchronous crossbar with deterministic or arbitrated control
US7239669B2 (en) Asynchronous system-on-a-chip interconnect
US10838787B2 (en) Processing system with interspersed processors with multi-layer interconnect
Rostislav et al. An asynchronous router for multiple service levels networks on chip
KR20070010152A (en) Integrated circuit and method for issuing transactions
JP2882304B2 (en) Multiprocessor system
Lam Hierarchical design of delay-insensitive systems using fine-grain building blocks
Orthner Packet-based transaction interconnect fabric for FPGA systems on chip

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2003564758

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2003703946

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2003703946

Country of ref document: EP