WO2003071471A1 - Overlay metrology and control method - Google Patents

Overlay metrology and control method Download PDF

Info

Publication number
WO2003071471A1
WO2003071471A1 PCT/US2003/004471 US0304471W WO03071471A1 WO 2003071471 A1 WO2003071471 A1 WO 2003071471A1 US 0304471 W US0304471 W US 0304471W WO 03071471 A1 WO03071471 A1 WO 03071471A1
Authority
WO
WIPO (PCT)
Prior art keywords
overlay
targets
target
eπor
recited
Prior art date
Application number
PCT/US2003/004471
Other languages
French (fr)
Inventor
Mike Adel
Mark Ghinovker
Elyakim Kassel
Boris Golovanevsky
John C. Robinson
Chris Mack
Jorge Poplawski
Pavel Izikson
Moshe Preil
Original Assignee
Kla-Tencor Technologies Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kla-Tencor Technologies Corporation filed Critical Kla-Tencor Technologies Corporation
Priority to JP2003570292A priority Critical patent/JP2005518107A/en
Priority to AU2003213059A priority patent/AU2003213059A1/en
Publication of WO2003071471A1 publication Critical patent/WO2003071471A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7019Calibration
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7007Alignment other than original with workpiece
    • G03F9/7011Pre-exposure scan; original with original holder alignment; Prealignment, i.e. workpiece with workpiece holder

Definitions

  • the invention relates to a method of ca ⁇ ying out overlay metrology and control as a "use case”.
  • the lithography tool may include a light source that projects a circuit image through a reticle and onto a silicon wafer coated with photoresist.
  • the exposed photoresist typically forms a pattern that masks the layers of the wafer during subsequent processing steps, as for example deposition and/or etching.
  • materials are deposited onto the layers of the wafer during deposition and materials are selectively removed from the layers of the wafer during etching.
  • overlay generally pertains to the determination of how accurately a first patterned layer aligns with respect to a second patterned layer disposed above or below it.
  • overlay measurements are performed via targets that are printed together with layers of the wafer.
  • the most commonly used overlay target pattern is the "Box-in-Box” target, which includes a pair of concentric squares (or boxes) that are formed on successive layers of the wafer.
  • the overlay e ⁇ or is generally determined by comparing the position of one square relative to the other square. This may be accomplished with an overlay metrology tool that measures the relative displacement between the two squares.
  • the target 2 includes an inner box 4 disposed within an open-centered outer box 6.
  • the inner box 4 is printed on the top layer of the wafer while the outer box 6 is printed on the layer directly below the top layer of the wafer.
  • the overlay e ⁇ or between the two boxes, along the x-axis for example is determined by calculating the locations of the edges of lines cl and c2 of the outer box 6, and the edge locations of the lines c3 and c4 of the inner box 4, and then comparing the average separation between lines cl and c3 with the average separation between lines c2 and c4.
  • the overlay e ⁇ or (along the x-axis) at that point.
  • the average spacing between lines cl and c3 is the same as the average spacing between lines c2 and c4
  • the co ⁇ esponding overlay e ⁇ or tends to be zero.
  • the overlay e ⁇ or between the two boxes along the y-axis may also be determined using the above technique.
  • the overlay measurements are used to co ⁇ ect the process in order to keep the overlay e ⁇ ors within desired limits.
  • the overlay measurements may be fed into an analysis routine that calculates co ⁇ ectables and other statistics, which are used by the operator and/or the lithography tool to get the tool better aligned thus allowing wafer processing to proceed within desired limits. If the overlay e ⁇ or is too great, the analysis results may indicate that the wafer needs to be reworked, i.e., strip or remove the resist and start over on that layer.
  • Reworking is typically expensive and undesirable, but it is better than scrapping the wafer all together.
  • Overlay measurements can also be performed after process steps such as etch, when no photoresist is present. In this case, reworking is not possible, but the added information aids in the finer tuning of the overall process.
  • a typical semiconductor process includes wafer processing by lot.
  • a lot is a group of typically 25 wafers which are processed together.
  • Each wafer in the lot is comprised of many exposure fields from the lithography processing tools (e.g. steppers, scanners, etc.). Within each exposure field can be typically 1 to many die.
  • a die is the functional unit which eventually becomes a single chip.
  • overlay metrology marks are typically placed in the scribeline area (for example in the 4 corners of the field). This is a region that is typically free of circuitry around the perimeter of the exposure field (and outside the die). Sometimes overlay targets are placed in the streets, which are regions between the die but not at the perimeter of the field.
  • box in box targets which are more device representing (or device like) and process-robust.
  • device representing targets may be defined as a target that is sensitive to the same abe ⁇ ations as a particular size and pitch of the transistor. Large open spaces are also subject to the adverse effects of other process areas (besides lithography), such as CMP polish and deposition.
  • process-robust targets may be defined as targets that are not adversely affected by these spurious processes. It should be noted that these two terms are defined in greater detail in the description of the invention.
  • the "Box in Box” target has been modified to form a
  • the targets are typically limited in number and to specific places on the wafer and therefore they cannot compensate for the fact that the abe ⁇ ations of the lithography tool vary across the exposure field.
  • the available space on the wafer is severely restricted due to the fact that the real estate thereon is so expensive, i.e., most of the space on the wafer is reserved for dies.
  • the targets are spatially located in the scribeline at the perimeter of the exposure field, i.e., it is the space between the dies used for dicing the dies from the wafer.
  • the number of targets in the scribeline is typically limited to four, one at each corner of the field.
  • the targets are typically not optimized for the process and therefore the fine structures of the targets may suffer from process induced biases when measured by the metrology tool.
  • process induced biases when measured by the metrology tool.
  • each time a new process is introduced in microelectronic manufacture there is some impact on the target.
  • the ability to measure the target depends on it's visibility or contrast in the image acquisition microscope of the metrology tool.
  • Some processes such as metallization by sputtering tend to diminish contrast, hence impacting precision.
  • Other processes such as chemical mechanical polishing (CMP) tend to blur or distort the targets, hence impacting accuracy.
  • CMP chemical mechanical polishing
  • These processes may also make the target features asymmetric or create an apparent spatial translation of the center of the target feature with respect to the center of the originally patterned trench or line.
  • the box in box and related targets are asymmetric, i.e., the inner box is smaller and the outer box is bigger, and therefore each samples the optical metrology tool pupil differently.
  • the box in box and related targets do not fully utilize the available scribeline space for metrology purposes. That is, they take up space due to the fact that they need to be spatially separated from one another in order to be co ⁇ ectly acquired by the overlay metrology tool, i.e., if not separated, the metrology tool runs the risk of measuring the wrong target.
  • the box in box and related targets are large and cumbersome compared to actual device being printed therewith, and therefore the co ⁇ ectables, which are based on the overlay measurements thereof, may not be the ideal co ⁇ ectables.
  • the co ⁇ ectables may indicate that a co ⁇ ection can be made to get the stepper aligned when ideally it would have been better to rework the wafer. Furthermore, because the overlay measurements are only performed at a few points on the wafer, the co ⁇ ectables may not facilitate optimal process control since they don't represent points across the field.
  • Stepper matching generally refers to the process of determining which steppers work well together, i.e., matching steppers such that when two layers are printed on different steppers there is a minimum overlay e ⁇ or between the two layers.
  • matching steppers such that when two layers are printed on different steppers there is a minimum overlay e ⁇ or between the two layers.
  • every stepper has its own unique signature of abe ⁇ ations or other e ⁇ ors and therefore each stepper tends to print patterns differently for a given set of process conditions.
  • stepper matching is performed by providing a golden wafer having a standard pattern; printing patterns on the golden wafer with each stepper using the same reticle and processing conditions; and calculating the relative difference between each of the steppers by comparing the alignment between the standard pattern and each of the stepper patterns. If the alignment between steppers is similar, then the steppers tend to work well together. If the alignment between steppers is different, then the steppers may not work well together.
  • stepper matching provides some benefit, it is not ideal since it does not provide control feedback during wafer processing, i.e., it does not overcome the problems associated with conventional overlay targets and the manner in which the overlay e ⁇ or is determined therefrom.
  • a method is desired which is able to isolate, quantify and/or minimize the impact of abe ⁇ ation effects and other process effects on overlay metrology. Furthermore, a method is desired that can utilize the overlay information in a scenario specific way to provide the most accurate possible feedback to the lithography cell for either lithography tool overlay control (e.g., co ⁇ ectables) or product lot dispositioning (e.g., rework).
  • lithography tool overlay control e.g., co ⁇ ectables
  • product lot dispositioning e.g., rework
  • the invention relates, in one embodiment to an overlay method for determining the overlay e ⁇ or of a device structure formed during semiconductor processing.
  • the overlay method includes producing calibration data that contains overlay information relating the overlay e ⁇ or of a first target at a first location to the overlay e ⁇ or of a second target at a second location for a given set of process conditions.
  • the overlay method also includes producing production data that contains overlay information associated with a production target fo ⁇ ned with the device structure.
  • the overlay method further includes co ⁇ ecting the overlay e ⁇ or of the production target based on the calibration data.
  • the invention relates, in another embodiment to an overlay processing method.
  • the method includes providing a process robust target.
  • the method also includes fo ⁇ riing a device structure along with one or more of the process robust targets on a substrate.
  • the method further includes measuring the overlay e ⁇ or of the one or more process robust targets.
  • the method additionally includes receiving calibration data associated with the one or more process robust targets.
  • the method includes predicting the overlay e ⁇ or of the device structure at its position in the field based on the measured overlay e ⁇ or and the calibration data.
  • the invention relates, in another embodiment to a calibration method.
  • the calibration method includes providing one or more characterization reticles having a plurality of overlay target patterns.
  • the method also includes transferring the overlay target patterns onto a calibration wafer.
  • the method further includes measuring the overlay e ⁇ or of the overlay targets on the calibration wafer.
  • the method additionally includes calibrating the overlay e ⁇ or of the measured overlay targets against one another.
  • the invention relates, in another embodiment to a method of performing overlay co ⁇ ection analysis.
  • the method includes providing calibration data.
  • the method also includes determining the overlay e ⁇ or of a process robust target located in the scribeline.
  • the method further includes determining the overlay e ⁇ or of a virtual device representing target located in the scribeline based on the overlay e ⁇ or of the process robust target and the calibration data.
  • the method additionally includes determining the overlay e ⁇ or of a second virtual device representing target located at a point in the field based on the overlay e ⁇ or of the first virtual device representing target and the calibration data.
  • the invention relates, in another embodiment to a method of determining the overlay e ⁇ or of a device structure located within a die.
  • the method includes measuring a process robust target located in the scribeline around the die.
  • the method also includes converting the measured process robust target into a virtual device representing target located in the scribeline.
  • the method further includes converting the virtual device representing target into a second virtual device representing target located within the die.
  • the method additionally includes calculating the overlay e ⁇ or of the second virtual device representing target.
  • the invention relates, in another embodiment to a method of monitoring overlay.
  • the method includes a calibration mode configured to produce overlay calibration data.
  • the calibration mode includes: forming one or more test dies on one or more test wafers, the test dies containing a plurality of calibration targets; and measuring the calibration targets.
  • the method also includes a production mode.
  • the production mode includes: forming one or more production dies on a production wafer, the production dies containing one or more device structures and one or more production targets; measuring the production targets; and comparing the production measurements with the calibration measurements in order to determine the overlay e ⁇ or of a particular device structure at a particular device location.
  • the present invention is illustrated by way of example, and not by way of limitation.
  • Fig. 1 is a top plan view of an overlay mark, which is well known in the art.
  • Fig. 2 is a diagram of an overlay method, in accordance with one embodiment of the present invention.
  • Fig. 3 is a flow diagram of overlay processing, in accordance with one embodiment of the invention.
  • Fig. 4 is a flow diagram of a calibration method, in accordance with one embodiment of the invention.
  • Fig. 5 is a flow diagram of overlay co ⁇ ection analysis, in accordance with one embodiment of the present invention.
  • Fig. 6 is an illustration showing one implementation of the method described in Fig. 5, in accordance with one embodiment of the present invention.
  • Fig. 7 is a top plan view of a "dual pattern" overlay target, in accordance with one embodiment of the present invention.
  • Fig. 8A-H are examples of device representing metrology marks (line features to line features) for measurement on SEM or AFM, in accordance with one embodiment of the present invention.
  • Fig. 9A-H are examples of device representing metrology marks (line features to hole features) for measurement on SEM or AFM, in accordance with one embodiment of the present invention.
  • Fig. 10A-F are further examples of device representing metrology marks (line features to hole features) for measurement on SEM or AFM, in accordance with one embodiment of the present invention.
  • Fig. 11 is a top plan view of an overlay target, in accordance with one embodiment of the present invention.
  • Fig. 12 is a top plan view of an overlay target, in accordance with one embodiment of the present invention.
  • Fig. 13 is a top plan view of an overlay target, in accordance with one embodiment of the present invention.
  • Fig. 14 is a top plan view of an overlay target, in accordance with one embodiment of the present invention.
  • Fig. 15 is a schematic presentation of an exemplary method of monitoring overlay, in accordance with one embodiment of the present invention.
  • Fig. 16 is a graphical plot of pattern placement e ⁇ or, in accordance with one embodiment of the present invention.
  • Fig. 17 is a graphical plot of pattern placement e ⁇ or relative to periodic device representing structure, in accordance with one embodiment of the present invention.
  • Fig. 18 is a flow diagram showing a measurement sequence, in accordance with one embodiment of the present invention.
  • Fig. 19 is a flow diagram of a fidelity determining method, in accordance with one embodiment of the present invention.
  • Fig. 20 is a flow diagram of an overlay mark selection method, in accordance with one embodiment of the present invention.
  • Fig. 21 is a diagram of an overlay mark fidelity array, in accordance with one embodiment of the present invention.
  • Fig. 22 is a graph showing results obtained on both box in box and newer targets, in accordance with one embodiment of the present invention.
  • Figs. 23A-C are illustrations showing the results of the breakdown between reticle and random (process) components of OMF, in accordance with one embodiment of the present invention.
  • the invention generally pertains to a method of carrying out overlay metrology and control as a "use case".
  • One aspect of the invention relates to a method of making a process tolerant metrology mark and calibrating it off-line to the device structures.
  • Another aspect of the invention relates to a method of separating out the offset due to the combination of lens and device geometry from the offset induced by the process.
  • Another aspect of the invention relates to a calibration method of putting the device-like and process robust or tolerant marks on the same wafer so that the offset between them can be characterized.
  • Another aspect of the invention relates to a production method for using the process robust or tolerant mark and compensating for a process-device shift measured in the calibration phase.
  • Another aspect of the invention relates to a method of predicting the true device overlay at any point in the die, for any type of structure by knowing the process tolerant to device (e.g., offsets as a function of location) or by using simulation to understand the root cause of the offset.
  • Another aspect of the invention relates to a method of using simulation to create across field maps, which can be used to take a limited number of measured points and calculate the actual, in-device overlay for any point in the lens field.
  • Another aspect of the invention relates to a method of mapping out abe ⁇ ation induced differences across the entire lens field, and ranning it on calibration wafers. Another aspect of the invention relates to using gratings or any other type of target in any of the above methods. These and other aspects will be described in greater detail below.
  • Fig. 2 is a diagram of an overlay method 10, in accordance with one embodiment of the present invention.
  • Overlay method 10 is generally configured to determine the overlay e ⁇ or of a device structure formed during a lithographic process (e.g., photolithographic). The determined overlay e ⁇ or may be used to improve the control of subsequent lithographic patterning and to determine whether the quality of the lithographic pattern meets specified requirements.
  • the method may be suitable for a wide variety of photolithography processes, as for example, photolithographic processes pertaining to semiconductor manufacturing, optical device manufacturing, micro-mechanical device manufacturing, magnetic recording data storage manufacturing and the like. Although the method may be used in any of the above processes, the illustrated embodiment described herein is directed at semiconductor manufacturing. As such, in this embodiment, the device structures may co ⁇ espond to vias, trenches, lines, and the like.
  • overlay e ⁇ or generally pertains to the determination of how accurately a first patterned layer aligns with respect to a second pattered layer disposed above or below it and to the determination of how accurately a first pattern aligns with respect to a second pattern disposed on the same layer.
  • the overlay e ⁇ or is typically determined with an overlay target having structures formed on one or more layers of a workpiece (e.g., semiconductor wafer).
  • the structures may be periodic or they may be based on the structures of the Box in Box and related targets. If the two layers or patterns are properly formed, then the structure on one layer or pattern tends to be aligned relative to the structure on the other layer or pattern. If the two layers or patterns are not properly formed, then the structure on one layer or pattern tends to be offset or misaligned relative to the structure on the other layer or pattern.
  • the method generally consists of a calibration block 12, a production block 14 and a co ⁇ ection block 16.
  • the calibration block 12 is generally implemented before the production block 14 (e.g., preprocessing) while the co ⁇ ection block 16 is generally implemented after the production block 14 (e.g., post processing).
  • the calibration block 12 is configured to produce calibration data that contains overlay information relating the overlay e ⁇ or of a first target at a first location to the overlay e ⁇ or of a second target at a second location for a given set of process conditions.
  • calibration it is generally meant that a relationship is made between the targets, i.e., the overlay e ⁇ ors are calibrated against each other.
  • the calibrated overlay information may include information showing the relative difference between the overlay e ⁇ or of the first target and the overlay e ⁇ or of the second target, i.e., the differences may be found and thereafter characterized relative to one another.
  • the differences may be caused by a variety of factors including, but not limited to, abe ⁇ ations in the lenses of the lithography system, distortions in the lenses of the lithography system, mechanical e ⁇ ors of the lithography system, e ⁇ ors on the reticle, and the like.
  • the first and second locations may be widely varied.
  • the targets may be positioned almost anywhere inside and outside the field. In most cases, however, the first location co ⁇ esponds to a typical target location (e.g., in the scribe line) and the second location co ⁇ esponds to a typical location of a device structure (e.g., field).
  • the overlay information is generally stored in a library for future use, either directly as overlay data or parameterized as mathematical coefficients.
  • the production block 14 is configured to produce production data that contains overlay information associated with a target formed during production.
  • the location and configuration of the target may be similar to the first target used in the calibration block 12.
  • the target is formed with a device structure using a similar set of process conditions as above.
  • the device structure is typically located proximate the location of the second target used in the calibration block 12.
  • the overlay e ⁇ or of the device structure cannot be easily determined and thus the target is used to predict the overlay e ⁇ or of the device structure.
  • the co ⁇ ection block 16 is configured to co ⁇ ect the overlay e ⁇ or of the production target to better reflect the true overlay e ⁇ or of the device structure at its location in the field, i.e., take into account the impact of the various factors.
  • the overlay e ⁇ or is determined at various points, but not at the field position of the device structure, i.e., it was assumed that the overlay e ⁇ or was the same across the sample.
  • the co ⁇ ection is generally implemented by comparing the production data with the calibration data. The comparison generally yields what the overlay e ⁇ or of a second target would have been if formed in the vicinity of the device structure during production (without having to measure it).
  • the differences between the two calibration targets is known (one of which is located at a point co ⁇ esponding to the location of the production target, and one of which is located at a point co ⁇ esponding to the location of the device structure), it may be used, along with the known overlay e ⁇ or of the production target, to solve for the unknown overlay e ⁇ or of a second target that would have been formed in production at the location of the device structure, i.e., the known variables may be used to solve for the unknown variable.
  • the overlay e ⁇ or of the production target may be converted or translated into the overlay e ⁇ or at the field location of the device structure fonned in production by adding or subtracting the differences (either directly or by transformation) found between the overlay e ⁇ or of the first and second target formed in calibration from the production target's overlay e ⁇ or.
  • the process can be controlled to a greater degree by knowing the overlay e ⁇ or at the field position of the device structure rather than somewhere else (e.g., scribeline).
  • any one of the targets may co ⁇ espond to process robust targets and/or device representing targets.
  • Process robust targets generally refer to targets that can withstand a wide range of process conditions so that they can be measured with optimal performance under production conditions, i.e., the process has little effect on the process robust target measurement results, hi essence, the process robust target is the target that gives the most consistent metrology results across the widest range of process conditions (e.g., CMP, Sputter, film thickness, exposure).
  • Device representing targets on the other hand, generally refer to targets that produce an overlay e ⁇ or similar to an actual device formed on a product wafer for a given set of process conditions.
  • device representing targets typically change in a similar manner as the device structure itself across the widest range of parameters (lens abe ⁇ ations, focus, exposure, etc.). For example, if the device structure shifts 10 nm to the right then so does the device representing target.
  • process robust targets may be calibrated against process robust targets
  • process robust targets may be calibrated against device representing targets
  • device representing targets may be calibrated against device representing targets between one and/or several layers.
  • the calibration is between process robust and device representing targets, hi particular, the first target co ⁇ esponds to a process robust target and the second target co ⁇ esponds to a device representing target. Because the production target is typically configured similarly to the first calibration target, then it too generally co ⁇ esponds to a process robust target. This is generally done to provide a better overlay determination.
  • the process robust target since the process robust target is insensitive to unwanted variation, it tends to provide the most accurate and consistent overlay information in production, i.e., its not distorted by the process in unwanted ways. Furthermore, since the device representing target is more device representing, it tends to provide the most accurate overlay information with regards to how the process affects the actual device structure.
  • the first target co ⁇ esponds to a process robust target, i.e., configured to withstand a wide range of process conditions so that it can be measured with optimal performance under production conditions
  • the second target co ⁇ esponds a device representing target, i.e., configured to produce an overlay e ⁇ or similar to an actual device formed on a product wafer for a given set of process conditions.
  • the device itself cannot be easily measured and thus a device representing target is used to mimic what is happening with the device at the location of the device in the field.
  • the device representing target may have spatial characteristics that are similar to the actual device (size, pitch, etc.), and may be located proximate the location of the actual device when formed on a product wafer.
  • the most process robust target is generally determined in a previous step.
  • the most process robust target is determined by forming a plurality of process robust targets (e.g., tens to hundreds) across one or more wafers with various process conditions and with various known offsets to the overlay, and then measuring the process robust targets to see which targets are closest to a known overlay value.
  • the targets closest to the known overlay value over the widest range of process conditions are considered the most process robust.
  • the goal is generally to find a single process robust target for a given process, i.e., wafer layer, process conditions, tool, and the like.
  • the most device representing target is also generally determined in a previous step.
  • the most device representing target is determined by printing a plurality of device representing targets across one or more wafers with various parameters; measuring the device representing targets; and comparing the measurements to the device itself over a wide range of conditions to see which device representing targets are closest to the ideal device structure, i.e., which device representing target stays faithful to the way that the device varies with parameters.
  • the comparison may be widely varied. In most cases, the comparison is made using computational simulation (where physical process are modeled via sophisticated computer programs well known in the industry). Alternatively, scanning electron microscope (SEM), CD-SEM, Cross sectional SEM, atomic force microscope (AFM), high resolution profiler (HRP) techniques may be used to compare the two structures.
  • the goal is generally to find a single device representing target for a given process, i.e., wafer layer, process conditions, tool, and the like.
  • the manner in which the calibrated data is produced may be widely varied. For example, it may be produced using simulation and/or experimental methods.
  • the calibrated data is obtained experimentally by forming two or more targets at various locations on a test wafer for a given set of process conditions using conventional wafer processing techniques; measuring the overlay e ⁇ or of the targets using conventional overlay metrology tools; and then co ⁇ elating the overlay e ⁇ or between different targets at different locations for the given set of process conditions.
  • the targets are generally patterned on a test wafer using suitable photolithographic techniques, hi the simplest case, the targets are formed within a photoresist layer on the test wafer (e.g., resist/resist wafer). Alternatively, the targets may be formed in other layers such as dielectric or metal layers.
  • the overlay measurements may be performed using a variety of methods and metrology tools. For example, they may be performed using imaging, scanning, scatterometry and the like.
  • the targets may be configured to have zero nominal overlay therebetween, i.e., zero overlay between the target structures. As such, any overlay e ⁇ or that occurs between any two targets during processing is an indication that the process is inducing a relative shift at one area of the wafer relative to another area of the wafer.
  • the position, number and configuration of the targets may be widely varied.
  • the targets may be positioned almost anywhere on the test wafer.
  • at least one target is positioned at a future measurable position on the wafer, and at least one other target is positioned at a future site of a device structure on the wafer.
  • the calibrated data includes overlay information associated with different areas of the wafer with one co ⁇ esponding to the field position of the device structure. Accordingly, the calibrated data may be used in later steps to help determine the overlay e ⁇ or of a device structure at the location of the device structure in the field.
  • the targets may be configured with similar attributes or they may be configured with substantially different attributes.
  • the targets may be from the same target family (substantially similar attributes) or they may be from a different target family (substantially different attributes).
  • Even targets from the same target family may be configured differently, i.e., although they show similar attributes overall, they have some attribute that differentiates it from its family member.
  • a first target family may contain process robust targets and a second target family may contain device representing targets.
  • any number of targets may be used so long as there are at least two.
  • a target from a first target family may be co ⁇ elated with another target from the first target family or with a target from a second target family
  • a target from a first target family may be co ⁇ elated with a plurality of targets from a second target family
  • a target from a second target family may be co ⁇ elated with a plurality of targets from a first target family
  • a plurality of targets from a first target family may be co ⁇ elated with a plurality of targets from a second target family, and so on.
  • two target families are not a limitation, and that more than two target families may be used.
  • a group of targets from different target families are used across the entire exposure field so as to form a cross reference matrix, i.e., there may be targets almost everywhere inside and outside the field (e.g., checkerboard), each of which is characterized to all or a portion of the others (a large set of permutations).
  • the production data is produced by forming a target and the device structure on a product wafer using conventional wafer processing techniques, and measuring the overlay e ⁇ or of the target using conventional metrology tools.
  • the target and device structure are generally patterned on a product wafer using suitable photolithographic techniques.
  • the target and device structure are fonned within a photoresist layer on the product wafer.
  • the target and device structure may be formed in other layers such as dielectric or metal layers.
  • the target is generally configured similarly to one of the co ⁇ elated targets.
  • the wafer may have a similar location on the wafer, it may use the same periodic structures, and the like.
  • the production target is generally located within specific areas of the production wafer, i.e., they are typically not located across the field. In most cases, the production target is located in the scribeline of the exposure field.
  • the scribe line is the area of the wafer that is cut to form semiconductor dies. The scribe line is generally used since real estate is so valuable on the product wafer within the die. However, it should be noted that this is not a limitation and that any portion of a wafer may be used.
  • the wafer may include production targets within the field proximate the device structure.
  • the overlay measurements may be performed using a variety of methods and metrology tools. For example, they may be performed using imaging, scanning, scatterometry and the like.
  • the method is described as determining the overlay e ⁇ or at the field location of a device structure, it should be noted that it may also be used to determine the overlay e ⁇ or at any location, especially locations that are not typically used for targets.
  • Fig. 3 is a flow diagram of overlay processing 20, in accordance with one embodiment of the invention.
  • the flow generally begins at block 22 where a process robust target is provided.
  • the process robust target may be a single standard target used across all layers, processing conditions, steppers and the like or it may be a target designed for specific device structures (e.g., vias, lines, etc.), specific spatial characteristics (e.g., period, pitch, resolution, etc.), specific layers (e.g., dark field, light field, etc.), specific processing conditions (e.g., exposure, numerical aperture, coherence, etc.), specific materials (e.g., photoresist), specific steppers (e.g., process bias), specific masks (e.g., binary, phase shift, etc.) and or the like.
  • specific device structures e.g., vias, lines, etc.
  • specific spatial characteristics e.g., period, pitch, resolution, etc.
  • specific layers e.g., dark field, light field, etc.
  • the targets based on specifics are generally found in a previous step either experimentally or by simulation.
  • the general concept is to find one target that works best for a given set of device specific data, i.e., the target that is the most process robust. It should be noted, however, that more than one target may be selected in some circumstances.
  • the target(s) may be widely varied. For example, they may co ⁇ espond to conventional box in box or related targets (e.g., box in bar, bar in bar and the like) or they may co ⁇ espond to periodic structures such as those found in U.S. Pat.
  • the specific target is chosen from a group of targets stored in a library.
  • the specific target that best fits the device specific data is typically selected, i.e., this target works best for this data.
  • the specific target is designed using a set of design rules. For example, for a given set of device specific design rules, the target should have a particular pitch, linewidth, spacing, and the like.
  • the target may be determined using a target design tool configured to walk an engineer through a series of questions about the process and to determine the appropriate target based on design rules and the answers to the questions (e.g., using an algorithm).
  • the process flow proceeds to block 24 where one or more of the provided targets are printed on a production wafer along with device structures (i.e., structures that form a die) during normal wafer processing.
  • the targets may be printed using standard wafer processing techniques that are well known in the art.
  • the targets are generally placed in the scribeline where they are conventionally placed. In one implementation, the targets are positioned at the four corners of the field.
  • the process flow proceeds to block 26 where one or more of the printed targets are measured.
  • the overlay tool may be widely varied.
  • the overlay tool may be based on imaging, scanning, scatterometry and the like.
  • One particular tool that may be used is the Archer 10, which is manufactured by KLA- Tencor of San Jose, CA. h one implementation, the targets positioned at four corners of the field are measured.
  • the overlay analysis 28 generally includes receiving calibration data (block 30) and the overlay measurements (block 26). By way of example, block 28 may generally co ⁇ espond to block 16 in Fig. 2.
  • Overlay analysis 28 generally includes making a prediction of the overlay e ⁇ or at any point in the wafer and field, and more particularly the overlay e ⁇ or of a device structure at its position in the field. This is generally accomplished by comparing the overlay measurements of the process robust target to the overlay data contained in the calibration data. In particular, the overlay measurements found at the scribeline are compared with overlay data that is mapped across the field.
  • the calibration data generally includes overlay data relating targets at different points in the field.
  • the calibration data may include a relationship between the overlay located in the scribeline and the overlay located in the field.
  • the relationship may be formed with a variety of targets including both process robust and device representing targets. In most cases, the relationship is between process robust and device representing targets, and more particularly process robust targets located in the scribeline and device representing targets located across the field.
  • the calibration may be in the form of direct offsets at a given locations in the field, by extrapolation at a given points of the field, or based on a mathematical transformation of the overlay at a given points of the field based on a parameterization.
  • the calibration for a particular exposure tool (or exposure tool pair) can also be refe ⁇ ed to as a signature.
  • the overlay e ⁇ or of a device structure at its field location is predicted by: 1) converting one or more measured process robust targets located in the scribeline to one or more virtual device representing targets in the scribeline using the calibrated data; 2) converting the one or more virtual device representing targets located in the scribeline to one or more virtual device representing targets in the field location of the device structure using the calibrated data; 3) calculating the overlay e ⁇ or of the virtual device representing targets in the field location of the device structure; and 4) averaging the one or more device representing targets in the field location of the device structure (if more than one target is used).
  • virtual it is generally meant that the device representing target exists in effect though not in actual fact.
  • the first conversion may be performed by translating the measured process robust target at a first layer to a virtual device representing target at the first layer and by translating the measured process robust target at a second layer to a virtual device representing target at the second layer via tables, equations, or transformations that represent the calibration data.
  • the overlay e ⁇ or of the device representing targets in the scribeline can be determined (the misalignment between the first and second layers).
  • the second conversion may be performed by translating the virtual device representing target at the first layer to a second virtual device representing target at the first layer and by translating the virtual device representing target at the second layer to a virtual device representing target at the second layer via tables, equations, or transformations that represent the calibration data.
  • the overlay e ⁇ or of device representing targets in the field can be determined (the misalignment between the first and second layers).
  • the relationship generally indicates differences found between the overlay e ⁇ or at any two points in the field.
  • the relationship may include the offsets between any two points in the field.
  • a better prediction of the overlay may be found during production.
  • the process includes calibrating back the difference or offset between the process robust target and the real device at different places across the field. That is, the overlay e ⁇ or of the process robust target in production may be adjusted based on the differences, as for example, the differences between a calibrated process robust target located in the scribeline and a calibrated device representing target located in a region proximate the location of a device structure.
  • the differences in overlay found between the process robust target and device representing target during calibration may be subtracted from the overlay e ⁇ or of the process robust target measured in production in order to remove additional e ⁇ ors that are inherent in the measurement.
  • the additional e ⁇ ors are generally due to the fact that the measurement is based on a process robust target in the scribeline, and the desired overlay data is for a device structure located somewhere else other than the scribeline (different structure and a different location).
  • two co ⁇ ections have to be made 1) have to co ⁇ ect for the fact that this is a process robust target and not necessarily a device representing structure and 2) have to co ⁇ ect for the fact that the process robust target is in the scribeline and not at other points in the field.
  • all the data points in the calibration data are measured up front and loaded into a database directly for future use (previous to a production run) or can be parameterized or mathematically transformed into a set of parameters
  • the calibration data also includes the differences between the data points and thus the analysis block only has to compare the differences with the measured production data.
  • only the raw data is stored and thus the analysis block 28 also includes looking up the raw data and calculating the differences.
  • the production wafers have process robust structures (PRS) (Layer 1) and (PRS) (Layer 2) marks in the scribeline following the standard overlay sample plan. These marks are measured and their overlay values are used to calculate the co ⁇ ectables using the database and a methodology to allow for minimum overlay in the field for all the devices with their co ⁇ esponding design rules.
  • PRS process robust structures
  • PRS Layer 2
  • the process flow proceeds to block 34 where the optimal co ⁇ ectables are calculated.
  • co ⁇ ectables generally refers to data that may be used to co ⁇ ect the alignment of the tool to improve the control of subsequent lithographic patterning with respect to overlay performance. In essence, the co ⁇ ectables allow the wafer process to proceed within desired limits, i.e., provides feedback and feed forward to get the tool better aligned.
  • the calculations are generally performed using the overlay information from block 28 and process data from block 32.
  • the process data 32 generally includes data associated with the production process.
  • the process data may include information regarding the printed device structures, the layers in which the device structures are printed, the processing conditions, stepper and masks used to form the device structures, and/or the like.
  • the process data helps to form better co ⁇ ectables.
  • the co ⁇ ectables are generally calculated using conventional techniques that are well known in the art. However, it should be noted that although the techniques are conventional the co ⁇ ectables that are calculated herein are less than conventional. In the past, the co ⁇ ectables were simple and limited and failed to incorporate the subtleties of the stepper and the device structure. It didn't matter, if production was done at the via or poly level, the methodology of calculating the co ⁇ ectables would be the same. In contrast, using the above technique, the co ⁇ ectables can be substantially improved utilizing more information.
  • block 34 is described as calculating co ⁇ ectables, it should be noted that block 34 may also be used for lot disposition metrics.
  • lot disposition metrics generally refers to data that may be used to determine whether the quality of the lithographic pattern meets specified requirements (e.g., whether the wafers should be reworked or not).
  • Fig. 4 is a flow diagram of a calibration method 40, in accordance with one embodiment of the invention.
  • the calibration method is configured to produce calibration data.
  • the calibration data may be used during production to make better predictions of the overlay e ⁇ or. In most cases, the calibration method is performed before a production run.
  • the calibration method may be implemented once or periodically.
  • the calibration method 40 may generally co ⁇ espond to block 30 in Fig. 3.
  • the calibration method 40 generally begins at block 42 where a characterization reticle is provided.
  • the characterization reticle is generally provided by the overlay tool manufacturer, although this is not a requirement.
  • the characterization reticle generally includes a large a ⁇ ay of overlay target patterns located across the entire characterization reticle surface. The patterns may be based on process robust and/or device representing designs. In most cases, the characterization reticle includes a combination of process robust and/or device representing designs.
  • the type of overlay target patterns may be widely varied.
  • they may be conventional box in box or related targets (e.g., box in bar, bar in bar and the like) and/or they may co ⁇ espond to periodic structures such as those found in U.S. Pat.
  • the method of designing the reticle may be widely varied.
  • the method includes: 1) establishing device representing targets that act most like the device structures; 2) establishing process robust targets that can withstand the process; and 3) distributing the targets across the reticle surface.
  • the step of selecting the targets generally includes: 1) designing device representing and process robust targets with a range of spatial characteristics (e.g., line width, pitch, duty cycle) and shapes (e.g., box-in-box, lines/spaces a ⁇ ays, hole a ⁇ ays, etc.); 2) running the device representing and process robust targets through a process with various permutations of the process (e.g., exposure, focus, etc.); 3) measuring the targets; and 4) determining which device representing targets performed more like the device structure at its location in the field and determining which process robust targets changed the least across the widest range of conditions.
  • the design of the targets may be based on the device structures themselves (e.g., dense lines, isolated lines, vias, contacts, etc.).
  • the device representing and process robust targets may be run on the same test wafer or they may be run on different test wafers. In most cases, they are run on different wafers. Further, the targets are typically measured using conventional overlay metrology tools. Further still, the most device representing targets are typically determined by comparing the device representing targets with an actual device structure. The device representing targets that stay faithful to the device structure are selected for the reticle, i.e., the targets that change (shift) in a similar manner as the device structure for various permutations of the process. The manner in which the device structures change may be determined using a variety of validation techniques, as for example, SEM, CD-SEM, Cross sectional SEM, AFM, HRP or the like.
  • the step of distributing the targets may be widely varied.
  • the generally concept is to cover the widest range of possibilities. For example, there may be hundreds to thousand of process robust and device representing targets located across the entire reticle surface.
  • the test reticle is very general and would be applicable for a broad range of design rules, exposure conditions, process layers, etc..
  • the test reticle is configured to cover a particular design rule, i.e., it may create better data if the test reticle is designed for a specific design rule rather than for universal use.
  • the calibration marks reticle is composed of specifically designed areas for different lithography processes. During the exposure of the specific layer, the non-relevant reticle areas are bladed (i.e. not exposed). This way the exposure can be stepped over the field with different exposure conditions saving the cost of developing and reducing the measuring of the calibration database.
  • the process flow proceeds to block 44 where the overlay target patterns are transfe ⁇ ed onto a calibration wafer.
  • the calibration wafer is a resist/resist wafer and thus by fransferring, it is generally meant that the characterization reticle is exposed to illumination thus printing the patterns onto the resist/resist wafer. This is generally accomplished many times across the entire wafer surface, thus filling the wafer with a large number of overlay targets. This process maybe repeated on a series of calibration wafers using different resist layers, different stepper settings (e.g., illumination, focus, etc.), different steppers and/or the like.
  • a first wafer may be produced with a first stepper setting
  • a second wafer may be produced with a second stepper setting and so on or a first wafer may be produced with a first stepper, a second wafer may be produced with a second stepper and so on (or a combination of both).
  • the number of calibration wafers may be widely varied. The number generally depends on the type of processing to be performed in production. It should be noted that resist/resist wafers are not a limitation and that the patterns may be transfe ⁇ ed to other process layers. However, resist/resist wafers are typically used since they are easy to measure (e.g., they are not adversely impacted by other process layers).
  • a series of wafers is produced for various stepper settings
  • a series of wafers may be produced for various illumination settings of a single stepper.
  • Steppers generally have complex control over how they illuminate the field, i.e., steppers generally have different illumination set-ups for different types of layers, i each of these set-ups, the stepper may illuminate different portions of a lens thereby changing the impact of abe ⁇ ations on the field (e.g., if light is going to different parts of a lens or at different angles, then the field is going to feel the effects of different abe ⁇ ations).
  • illumination settings is not a limitation and that other stepper parameters may be used to produce a different series of wafers.
  • each wafer of the series of wafers represents a different stepper.
  • each stepper has its own signature (or calibration) which may or may not be specific to a particular set of processing conditions.
  • the process flow proceeds to block 46 where the overlay e ⁇ or of the targets on the wafer are measured.
  • the techniques may be based on imaging, scanning, scatterometry and the like.
  • the targets are measured at many locations within the field. For example, the targets are not only measured at the four corners as is conventionally done, but also across the field.
  • the reticle is built so that all the targets have offsets set at zero. This is generally done so that the measured offset is the actual offset created during processing (e.g., result of the abe ⁇ ations and/or distortions).
  • DMC dense mark clusters
  • the DMC units are a set of one-layer metrology marks sampling a design rule device representing feature versus a process robust feature.
  • Another set of DMC units sample design rule device representing feature versus another design rule device representing features. This emulates the behavior of layer one features versus layer two features on layer one resist. Most of these marks are used for sanity checks during calibration measurements.
  • the process flow proceeds to block 48 where the overlay e ⁇ or of the measured targets are calibrated against each other.
  • calibration it is generally meant, the offsets between any two targets are compared to determine known e ⁇ ors therebetween. That is, the relative difference between the offsets of any two targets are found and thereafter characterized relative to one another. This is typically done across the entire exposure field so as to produce a cross reference matrix where each target is calibrated against every other target. In most cases, the process robust targets are calibrated against the device representing targets.
  • the overlay of one or more process robust targets at the scribeline may be calibrated against a plurality of device representing targets located at different locations within the field, as for example, in the scribeline or in areas of the device structures, hi essence, the differences map the relative impact of the stepper characteristics on the process robust marks as compared to the device representing marks across the entire field. That is, these two types of targets are co ⁇ elated with each other as a function of the stepper characteristics (e.g., stepper, position in field, illumination, etc.).
  • the calibration data is stored, as for example in a calibration database, or fit to modeling equations after which the equations and/or the coefficients of the equations are stored, or mathematically transformed to another representation which is stored.
  • calibrating process robust targets against device representing targets is not a limitation and that device representing targets may be calibrated against other device representing targets and process robust targets may be calibrated against other process robust targets. This is sometimes done to implement self calibration.
  • Fig. 5 is a flow diagram of overlay co ⁇ ection analysis 50, in accordance with one embodiment of the present invention.
  • overlay co ⁇ ection analysis 50 may be implemented in the analysis block 28 of Fig. 3.
  • Overlay co ⁇ ection analysis 50 generally begins at block 52 where the overlay e ⁇ or of a process robust target in the scribe line is provided. This is generally accomplished by measuring a process robust target using conventional overlay measuring techniques. After block 52, the process flow proceeds to block 54 where the overlay e ⁇ or of a virtual device representing target located in the scribe line is determined using calibration data and the measured process robust target. By virtual it is meant that the device representing target exists in effect though not in actual fact. This determination is generally accomplished by matching the measured process robust target with a similar process robust target that was previously calibrated against a device representing target in the scribeline.
  • the process flow proceeds to block 56 where the overlay e ⁇ or of a second virtual device representing target located at a point in the field is determined using the calibration data. This is generally accomplished similarly to above by matching the virtual device representing target found in block 54 with a similar device representing target in the scribeline that was previously calibrated against a device representing target at a point in the field.
  • the calibrated differences between the overlay of the calibrated targets are subtracted or added into the overlay e ⁇ or of the first virtual device representing target to determine the overlay e ⁇ or of the second virtual device representing target that would have been printed in the field.
  • the first and second virtual device representing targets are not the actual device structures, they are configured to act in a similar manner and thus they can be used to better predict what is happening with the device structure at the location of the device structure.
  • the above methodology may be used for more than one target, i.e., the overlay e ⁇ or of the device structure at the field may be determined from process robust targets at the four corners of the field. The results of the four co ⁇ ected e ⁇ ors are then averaged out to form a more accurate overlay value. Fig.
  • FIG. 6 is an illustration showing one implementation of the method described in Fig. 5.
  • the illustration is a close up view of a production wafer 60 having a die 62 being formed thereon.
  • the method generally begins by measuring a process robust target 64 located in the scribeline 66 around the die 62.
  • the process robust target 64 generally consists of a first process robust structure 64A located on a first layer and a second process robust structure 64B located on the second layer of the production wafer 60 (structures located in the first layer are cross hatched and structures located in the second layer are filled in). Thereafter, the measured process robust target 64 located in the scribeline 66 is converted into a virtual device representing target 68 in the scribeline 66.
  • This is typically performed by translating the first process robust structure 64A at the first layer to a first virtual device representing structure 68A at the first layer and by translating the second process robust structure 64B at a second layer to a second virtual device representing structure 68B at the second layer using the calibration data. Thereafter, the virtual device representing target 68 located in the scribeline 66 is converted into a virtual device representing target 70 located within the die 62.
  • This is typically performed by translating the first virtual device representing structure 68A at the first layer to a third virtual device representing structure 70A at the first layer and by translating the second virtual device representing structure 68B at a second layer to a fourth virtual device representing structure 70B at the second layer using the calibration data, hi most cases, the calibration data is stored in a conversion table so that the conversions can be accomplished using a simple matching procedure. Thereafter, the overlay e ⁇ or of the virtual device representing target 70 located within the die 62 is calculated, i.e., the offset between structures 70A and 70B. The same process may be performed for process robust targets 72, 74 and 76, which are located in the scribeline at the other corners of the die 62.
  • the overlay e ⁇ or calculated from each corner may be averaged to produce a better prediction of the overlay e ⁇ or.
  • the overlay e ⁇ or of the device structure cannot be easily determined and thus it is estimated or predicted with overlay e ⁇ ors that can be determined.
  • Fig. 7 is a top plan view of a "dual pattern" overlay target 130.
  • the target may be found in U.S. Pat. Application No: 09/894,987 to Ghinovker et al., titled “OVERLAY TARGETS, METHODS OF OVERLAY TARGET DESIGN AND METHODS OF OVERLAY MEASUREMENTS", filed on June 27, 2001, which is hereby incorporated by reference.
  • Overlay target 130 is shown in a configuration that results when the tested layers of a wafer are in perfect alignment.
  • the overlay target 130 is generally provided to determine the relative shift between two or more successive layers of a wafer or between two or more separately generated patterns on a single layer of a wafer.
  • the overlay target 130 will be described in context of measuring overlay between different layers of a substrate. It should be noted, however, that the overlay target in this figure may also be used to measure two or more separately generated patterns on a single layer of a substrate.
  • the overlay target 130 includes a plurality of working zones 132 for determining the registration e ⁇ or between two wafer layers in two different directions.
  • the overlay target 130 includes eight rectangularly shaped working zones 132, which are configured to substantially fill its perimeter 71.
  • the working zones 132 represent the actual areas of the target that are used to calculate alignment between different layers of the wafer.
  • the working zones 132 are spatially separated from one another so that they do not overlap portions of an adjacent working zone, hi this particular configuration, some of the working zones are separated via exclusion zones while other working zones are positioned next to an adjacent working zone.
  • working zone 132B is separated from working zones 132 E and F via an exclusion zone 133 while working zones 132E and F are positioned next to one another at their edges.
  • the working zones 132 are grouped into a first working group 134 and a second working group 136.
  • the first working group 134 includes four working zones 132A-D that are configured to provide overlay information in a first direction.
  • the first direction may be the Y direction.
  • two of them 132A and D are disposed in the first layer and two of them 132 B and C are disposed in the second layer (the first layer is represented by cross hatching, the second layer is represented by no cross hatching).
  • the centers of symmetry 135 of working zones 132A&D and working zones 132B&C coincide exactly.
  • the second working group 136 includes four working zones 132E-H configured to provide overlay information in a second direction that is perpendicular to the first direction.
  • the second direction may be the X direction.
  • the four working zones 132E-H two of them 132 E and H are disposed in the first layer and two of them 132 F and G are disposed in the second layer (the first layer is represented by cross hatching, the second layer is represented by no cross hatching).
  • the centers of symmetry 137 of working zones 132E&H and working zones 132F&G coincide exactly.
  • each of the groups 134 and 136 represents an "X" - configured target (albeit offset).
  • working group 134 includes working zones 132A&D, which are on the same first layer and in diagonally opposed positions relative to one another, and working zones 132B&C, which are on the same second layer and in diagonally opposed positions relative to one another.
  • working zones 132A&D are angled relative to working zones 1322B&C.
  • working zone 132A is spatially offset from working zone 132D
  • working zone 132B is spatially offset from working zone 132D.
  • working group 136 includes working zones 132E&H, which are on the same first layer and in diagonally opposed positions relative to one another, and working zones 132F&G, which are on the same second layer and in diagonally opposed positions relative to one another. Further, working zones 132E&H are angled relative to working zones 1322F&G. Further still, working zone 132E is spatially offset from working zone 132H, and working zone 132F is spatially offset from working zone 132G. In essence, this particular target produces two "X" configured targets that are positioned orthogonal to each other, i.e., working group 194 and working group 196.
  • a working zone on one layer is generally juxtaposed relative to a working zone on another layer.
  • working zone 132A is juxtaposed relative to working zone 132B and working zone 132C is juxtaposed relative to working zone 132D.
  • working zone 132E is juxtaposed relative to working zone 132H and working zone 132F is juxtaposed relative to working zone 132G.
  • the working zone on the second layer is typically positioned closer to the center of the FOV than the working zone on the first layer.
  • working zones 132B and C and working zones 132 F and G are positioned closer to the center 142 of the FOV 144 than their juxtaposed working zones 132A and D and working zones 132 E and H, respectively.
  • the juxtaposed pairs are positioned in an opposed relationship (e.g., diagonal) relative to the other juxtaposed pair in the group.
  • juxtaposed pairs 132A&B are positioned opposite juxtaposed pairs 132C&D
  • juxtaposed pairs 132E&F are positioned opposite juxtaposed pairs 132G&H.
  • the configuration of the working zones is rotationally symmetric ( ⁇ 90, 180, 270, 360 degrees around the center of the target). This is typically done to reduce the impact of radial and axial variations across the field of view of the metrology tool, as for example, radial and axial variations caused by non-uniform optical abe ⁇ ations and illumination that may cause tool induced shifts (TIS).
  • Radial variations generally refer to variations that radiate from the center of the target to the outer regions of the target.
  • Axial variations generally refer to variations that occur in directions along the axis of the target, as for example, in the X direction from the left to the right portions of the target, and in the Y direction from the lower to the upper portions of the target.
  • Each of the working zones 132A-H includes a periodic structure 138 comprised by a plurality of coarsely segmented lines 140.
  • the linewidths, D, and spacings, s, of the coarsely segmented lines may be widely varied.
  • each of the periodic structures 138 substantially fills the perimeter of its co ⁇ esponding working zone 132.
  • the periodic structures 138 are also disposed on the layer of its co ⁇ esponding work zone 132.
  • the periodic structures 138 may be broken up into a first periodic structure 138A that is associated with the first working group 134 and a second periodic structure 138B that is associated with the second working group.
  • the first periodic structures 138A are all oriented in the same direction, i.e., the coarsely segmented lines 140 are parallel and horizontally positioned relative to each other.
  • the second periodic structures 138B are also all oriented in the same direction (albeit differently than the first periodic structures), i.e., the coarsely segmented lines 140 are parallel and vertically positioned relative to each other.
  • the periodic structures 138A in the first working group 134 are orthogonal to the periodic structures 138B in the second working group 136.
  • the coarsely segmented lines of juxtaposed periodic structures are aligned with one another, i.e., if we ignore the different layers they appear to be continuous gratings.
  • the coarsely segmented lines of working zone 132A may align with the coarsely segmented lines of working zone 132B and coarsely segmented lines of working zone 132C may align with the coarsely segmented lines of working zone 132D.
  • the coarsely segmented lines of working zone 132E may align with the coarsely segmented lines of working zone 132F and coarsely segmented lines of working zone 132G may align with the coarsely segmented lines of working zone 132H.
  • These new overlay structures can be chosen so that two adjacent structures will not have translational symmetry between them, since one can be right handed and the other left handed. As such, they can be placed without any separation between them without risk of inco ⁇ ect acquisition by the overlay metrology tool resulting in the report of an inco ⁇ ect metrology result due to measuring the wrong target. This feature allows the maximum possible utilization of available scribeline space for metrology purpose.
  • a Pattern Placement E ⁇ or (PPE) characterization reticle is manufactured in which the exposure field is filled with multiple "dual pattern" overlay targets.
  • These dual pattern overlay targets have both the “inner and outer” working zones in the same reticle but with different combinations of periodic structures for the inner and outer working zones.
  • These structure combinations may have, for instance, different pitches varying from as close as possible to the dense a ⁇ ay to larger, higher contrast structures designed to survive specific processes to structures very close to the periodicities of the exposure tool alignment targets.
  • These structures are printed in the reticle with zero nominal overlay e ⁇ or between the inner and outer working zones. Any measured overlay is now the result of the differing impact of exposure tool lens abe ⁇ ations or distortions on the different periodic structures (or aperiodic, isolated, etc).
  • a PPE cross-reference matrix By measuring the overlay resultant from multiple structure combinations from multiple positions across the reticle field a PPE cross-reference matrix can be constructed, which is stored in the analysis database either directly as overlay data, or parameterized, or transformed.
  • This cross reference matrix can be used to map measured overlay from a target to determine the best possible exposure tool co ⁇ ectible for overlay of dense a ⁇ ay structures when the overlay target that was used to make the measurement had a periodicity different to that of dense a ⁇ ay since it's parameters were optimized for process robustness.
  • the cross reference matrix can be used to select the overlay target with the minimum PPE offset from the device structure while ascertaining the size of the anticipated e ⁇ or. This can be used in overall metrology e ⁇ or budgeting.
  • Another methodology could be to utilize a lithography modeling tool such as PROLITH from KLA-Tencor in order to interpolate the anticipated PPE for different device structures between those actually included in the PPE reticle.
  • the interpolation can take several forms including simulating targets whose internal dimensions are intermediate to those actually measured or simulating targets whose locations are intermediate to those actually measured, or the like, hi this way a finite number of overlay structures can be measured and the results used to generate the PPE co ⁇ ections for virtually an infinite number of device structures, locations, etc..
  • These outputs can be used to "tune" the stepper co ⁇ ectables or the lot dispositioning output for different structures in the field via analysis software.
  • the periodic (or aperiodic) structure of the overlay target for the underlying layer can in most cases be chosen to be optimized for process robustness on that layer. Since the matrix contains scribe line measurements as well, co ⁇ elation between later scribe line results to in- chip results can be made.
  • the PPE characterization reticle contains overlay targets with different types of structures in the inner and outer working zones, covering the bulk of the exposure field each placed with nominally zero overlay between the inner and outer structures.
  • DRS device representing structures
  • PRS process robust structures
  • these two sets of structures are different.
  • the user determines the prefe ⁇ ed most process robust structure (out of the outer set) and which is the most device representing structure (out of the inner set) for both layers LI & L2.
  • Methodologies for making these selections are described below (we shall choose the process robust structures based on criteria of process survivability and resistance to process induced distortion, and the device representing structures as the ones which most closely mimic the abe ⁇ ation dependence of the actual device geometries).
  • the process robust structures based on criteria of process survivability and resistance to process induced distortion, and the device representing structures as the ones which most closely mimic the abe ⁇ ation dependence of the actual device geometries.
  • the most process robust structure is assigned the name pi.
  • the PPE reticle is used to manufacture two wafers with multiple resist/resist overlay targets filling the field, one using LI lithographic exposure conditions and one using L2 conditions, hi principle it may be possible to expose different dies on the same wafer with the two different sets of lithographic parameters.
  • OVL dev ice(x,y) OVL targ et(x,y) - OYLdi, p ⁇ (x,y) - OVL d2 , p2 (x,y).
  • This overlay target is printed to measure the overlay between the two layers LI and L2, consisting only of PRS structures, (i.e., of type (fpl,p2J).
  • This overlay target is situated at the position (x y ') typically in the scribeline on the wafer, while the user is interested in measuring overlay for the device features at the point (x,y).
  • OVLdevice (x,y) t (device-target, x',y') - C ⁇ (x,y,x',y') - C 2 (x,y,x',y')
  • Three co ⁇ ections need to be applied to the measured overlay data measured in the scribeline.
  • C d t (device-target, x',y') OVL d ⁇ , p ⁇ (x',y') + OVL d2 , p2 (x',y') This term accounts for the device dependent shift of both the first and second layers of the process robust target in the scribeline.
  • C 2 (x,y,x',y') co ⁇ ects for the difference in overlay e ⁇ or in the printing of the device representing target in layer 2 in going from the measured coordinates (x',y') to the actual device coordinates (x,y).
  • the co ⁇ ection factors C ⁇ and C 2 can be determined in one of several ways.
  • DRS Device Representing Structure
  • Examples of these large matching targets can be included on a PPE calibration reticle for explicit calibration, or one may use data from a PRS of very large size so that it is equally sensitive to lens abe ⁇ ations as the large stepper matching target. Alternatively, one can use measured stepper lens abe ⁇ ations (Zernikes) to model the relative abe ⁇ ation sensitivity of the large, stepper matching targets relative to the PRS and DRS targets.
  • Zernikes measured stepper lens abe ⁇ ations
  • Stepper matching using a PRS This approach eliminates the need for any Zernike co ⁇ ection of assumptions of equivalent abe ⁇ ation sensitivity, and provides the most accurate, easily calibrated results..
  • OVL d evice (x,y) OVLt arg et (x',y')- OVL dl , pl (x',y') - OVL d2 , p2 (x',y') - OVL dl , ml (x',y') - OVL d2; m2 (x',y')+ SMl(x,y,x',y') +SM2 (x,y,x',y') - OVL dl , ml (x,y) - OVL d2 , ⁇ (x.y)
  • OVL d i, ml (x',y') is the difference in measured overlay e ⁇ or for layer 1 at position (x',y') between a device representing structure and the matching structure, and similarly for layer 2.
  • SMl(x,y,x',y') is stepper matching term between stepper 1 and the golden referenceand SM2 (x,y,x',y') is is stepper matching term between stepper 2 and the golden reference.
  • a first approach is to print the series of PRS targets on a test vehicle wafer using the particular process being optimized, as is commonly in practice today.
  • optimal process robustness could be determined. For example, the structure which shows the minimum tool induced shift variability across multiple fields across the wafer could be selected.
  • An alternate criterion could be the difference between the measurements carried out after resist develop and measurements carried out after etch.
  • the best device representing structure may also be achieved by various methods.
  • the best DRS is selected by comparing overlay results with CD-SEM or AFM measurements of device representing structures. Such CD- SEM or AFM measurement may also be carried out directly on the overlay metrology features, such as those described in U.S. Pat. Application No: 09/894,987 to Ghinovker et al., titled "OVERLAY TARGETS, METHODS OF OVERLAY TARGET DESIGN AND METHODS OF OVERLAY MEASUREMENTS".
  • the best DRS could be determined by selecting the structure with the minimum deviation in the Fourier domain between the DRS and the device structure itself.
  • the device structure could be obtained from a standard CAD drawing of the device such as a *.gds (or other standard) file.
  • One problem that may be encountered using conventional measurement techniques associated with SEM and AFM is to find, in the design of the device, two overlapping structures from the two layers where misregistration is measured. Not only, that such overlap may not exist, but where it does, its field location may vary from device to device, preventing straight forward comparison. It should be noted that in general overlay varies with field position. Another problem is that the two layers of interest may be separated by a layer which is not transparent to the SEM or that does not allow the AFM to probe the underlying structures, hi such a case the work around is a SEM cross-section. This procedure is destructive, time consuming and does not always allow for choosing the measurement location. Typical critical alignment schemes limited by this problem are Contact-to-Polysilicon and Via-to-Metal.
  • a method is provided to establish a co ⁇ elation between overlay measured optically in the scribe line and an estimate of overlay at device level using Scanning Electron Microscopy (SEM) and Atomic Force Microscopy (AFM).
  • SEM Scanning Electron Microscopy
  • AFM Atomic Force Microscopy
  • the method generally includes designing a standardized test cell with device representing structures, which are suitable for SEM and AFM overlay measurements.
  • the cell may contain a single structure or a variety of structures addressing a range of dimensions and densities as present in the device itself.
  • the cell can be placed next to an overlay metrology mark or wherever in the field, as design allows. These type of overlay measurements are typically performed after etch, although this is not a requirement.
  • the standardized test cell suitable for SEM and AFM overlay measurements is configured with an etched upper layer that does not hide the features of the under layer.
  • the design of the overlay structure may consist of bars of the etched layer placed at some nominal distance of a bar or hole of the underlying layer. Deviation from that nominal distance is the overlay. This can be done on two structures to reflect overlay in both x and y directions.
  • Figs. 8A-H show several cases when the etched upper layer does not hide features of the under layer. In all the Figures, a texture convention is followed. Cross hatching is for the under layer structure (UNLS) and solid lines is for the upper layer structure (UPLS). The examples show a combination of device representing (DR) lines, larger-than-DR lines, isolated and dense lines.
  • the standardized test cell suitable for SEM
  • AFM overlay measurements is configured with an etched upper layer that hides features of under layer.
  • the etched layer was exposed through a dark field mask, then most of the layer remains unetched and does not allow the SEM or AFM to relate to the underlying layer.
  • the only way to measure overlay is through an etched hole or trench. Since the direction of overlay is not known a priori, both edges of the underlying structure should be visible through the hole. If design rules permit, the overlay structure should have a hole or trench of lateral dimension larger than the width of the underlying structure.
  • Figs. 9A-H show several cases where the etched upper layer does hides features of the under layer.
  • the under layer structure can be seen as trenches in white over a crosshatched background or as white lines over a cross hatched background.
  • the first option fits, for instance, the metal layer of a dual-damascene process.
  • the second option fits, for instance, the metal layer of an Aluminum based process.
  • the UPLS is a hole or trench (as in, for instance, the metal layer of a dual- damascene process) in solid on white background.
  • the overlay structure will have holes or trenches nominally centered on both edges of the underlying line.
  • a shift of location in the orthogonal direction may be needed between left-edge and right-edge structures for layout purpose.
  • x and y overlay are treated separately.
  • overlay is generally defined by two length measurements performed with SEM or AFM.
  • the definition of overlay when the etched upper layer does not hide features of under layer is the offset between the centers of symmetry of the featureson the upper versus underlying layer.
  • the standard structure allows to automate the task of measurement and apply it to a variety of devices for a given process flow. It also allows to map the field and gives the possibility to compare results from different locations, wafers or devices.
  • the design of an integrated circuit includes different linewidths and line densities. This cell gives the flexibility to place the various combinations of design rules and densities.
  • the structures created to solve the transparency problem cannot be found in a design layout. They are specially introduced for the purpose of measuring overlay.
  • the measurement cell can be placed anywhere in the field and is not a function of a specific device design.
  • a test reticle where the field is mapped with both optical overlay marks and special overlay marks for SEM or AFM, should be designed with the variety of design rules and feature density as in the process in development.
  • Running this reticle at the various process conditions defining the process windows establishes a base line comparison between optical overlay, that may be measured at the high- volume production stage on one hand, and intrafield variation of overlay for the relevant types of device representing features on the other hand. This baseline may be incorporated into the lot disposition criteria for optical overlay results.
  • SEM or AFM should be placed in the scribeline, next to optical overlay marks.
  • the co ⁇ elation between the two types of marks should be periodically measured.
  • the co ⁇ elation to the baseline defined at the process development stage tends to hold as long as this scribeline monitor stays stable with respect to the defined process window.
  • the PPE were calculated for two abe ⁇ ation conditions: 3 rd order coma equal to 50 miliwaves and 3 rd +5 th order comas, each equal to 50 miliwaves.
  • the PPE were calculated for two abe ⁇ ation conditions: 3 rd order coma equal to 50 miliwaves and 3 rd +5 th order comas, each equal to 50 miliwaves.
  • each overlay target 170 contains eight working zones 172.
  • the working zones 172 may be located in either a first layer or a second layer.
  • the first layer is generally processed using a first set of lithographic parameters (LI)
  • the second layer is generally processed using a second set of lithographic parameters (L2).
  • Each of the working zones 172 includes a periodic structure 174.
  • the periodic structures 174 within the working zones 172 may be process robust structures (PRS) or device representing structures (DRS).
  • the calibration overlay target 170A comprises a L2 DRS periodic structure 176 in the outer working zone and a L2 PRS periodic structure 178 in the inner working zone.
  • target 170A is typically measured at device and scribeline locations. Although not a requirement, this target is typically placed on a resist only wafer, i.e., not fully processed.
  • Fig. 12 is another example of a calibration overlay target 170B, in accordance with one embodiment of the present invention.
  • the calibration overlay target 170B comprises a LI PRS periodic structure 180 in the outer working zone and a LI DRS periodic structure 182 in the inner working zone.
  • target 170B is typically measured at device and scribeline locations. Although not a requirement, this target can typically be placed on either a resist only wafer or a fully processed wafer for this particular layer only.
  • Fig. 13 is an example of a calibration overlay target 170C, in accordance with one embodiment of the present invention.
  • the calibration target 170C comprises a LI DRS periodic structure 184 in the outer working zone and a L2 DRS periodic structure 186 in the inner working zone.
  • target 170C is typically measured at device and scribeline locations. This target may be a validation target as well. Validation targets are typically not used for calibration but for verification of the OVL value obtained from LI and L2 structures and that can be co ⁇ ected at all locations.
  • Fig. 14 is an example of a production overlay target 170D, i.e., a target that is used during production to measure overlay, in accordance with one embodiment of the present invention.
  • the production overlay target 170D comprises a LI PRS periodic structure 188 in the outer working zone and a L2 PRS periodic structure 190 in the inner working zone.
  • the LI PRS periodic structure may be formed using a first set of lithographic parameters
  • the L2 PRS periodic structure may be formed using a second set of lithographic parameters.
  • target 170D is typically measured only at scribeline locations. This target may be an anchor target.
  • Anchor targets are targets that are not very sensitive to the higher abe ⁇ ation orders.
  • the LI DRS periodic structures generally represents the most device representing structure for layer 1, i.e., a structure that best mimics what is actually happening with a device located on layer 1
  • LI PRS periodic structure generally represents the most process robust structure, i.e., a structure that best withstands the process used on layer 1.
  • the L2 DRS periodic structure generally represents the most device representing structure for layer 2, i.e., a structure that best mimics what is actually happening with a device located on layer 1
  • L2 PRS periodic structure generally represents the most process robust structure, i.e., a structure that best withstands the process used on layer 2.
  • the DRS periodic structures may include finely segmented lines as shown.
  • Fig. 15 is a schematic presentation of an exemplary method of monitoring overlay 300, in accordance with one embodiment of the present invention.
  • the method 300 may generally co ⁇ espond to the methods described above.
  • the method 300 generally consists of a calibration mode 302 and a production mode 304.
  • the calibration mode 302 is configured to produce overlay calibration data that may be used in the production mode 304 to better predict the overlay e ⁇ or of device structures formed during the production mode 304, i.e., the calibration data is used to co ⁇ ect the overlay e ⁇ or measured in the production mode.
  • the calibration mode 302 is typically conducted before the production mode 304.
  • the calibration mode 302 begins by forming one or more test dies 306 on one or more test wafers 308. This is generally accomplished with test reticles whose patterns are repeatedly printed on the test wafers 308 (e.g., resist/resist wafers). As should be appreciated, each of the test dies 306 contains a plurality of targets 320 that co ⁇ espond to the target patterns located on the test reticles. The reticle patterns are typically printed on a plurality of test wafers 308 using different lithographic parameters.
  • the reticle patterns are printed on a first test wafer 308 A using a first set of lithographic parameters and the reticle patterns are printed on a second test wafer 308B using a second set of lithographic parameters.
  • the lithographic parameters generally co ⁇ espond to the parameters that would be used during production for various layers of the device.
  • the first set of lithographic parameters may be used to form reticle patterns in a first layer (LI) and the second set of parameters may be used to form reticle patterns in a second layer (L2).
  • the reticle patterns printed on each of these wafers may or may not be from the same test reticles. Although only two wafers are shown, it should be noted that this is not a limitation and that any number of test wafers 308 may be used.
  • the printed targets 320 within each of the test dies 306 may be widely varied.
  • the targets co ⁇ espond to the style of targets shown in Figs. 11-14.
  • anyone of these targets may be used (e.g., PRS vs. PRS, PRS vs. DRS, DRS vs. DRS, etc.).
  • the PRS vs. DRS targets are used.
  • the first test wafer 308A includes at least a first set of targets 320A
  • the second test wafer 308B includes at least a second set of targets 320B.
  • the first set of targets 320A may co ⁇ espond to the target shown in Fig. 11
  • the second set of targets 320B may co ⁇ espond to the target shown in Fig. 12.
  • the targets are measured.
  • the measurements are typically performed using conventional techniques that are well known.
  • the targets are measured at device and scribeline locations 322 and 324, respectively.
  • the production mode 304 generally begins by forming one or more production dies 326 on a production wafer 328. This is generally accomplished with production reticles whose patterns are repeatedly printed across the production wafer 328.
  • Each of the production dies 326 contains one or more device structures 330 and one or more targets 332 that co ⁇ espond to patterns located on the production reticles.
  • the device structures are typically used to build a device, i.e., an integrated circuit, while the targets are typically used to ensure that the relative position of the device structures on adjacent layers are within desired limits. As shown, the targets 332 are placed around the periphery of the production dies 326, as for example, in the scribeline.
  • the production dies 326 are typically formed in various layers on the production wafer 328 using multiple production reticles and multiple lithographic parameters.
  • a first die pattern is formed in a first layer (LI) using a first set of lithographic parameters and a second die pattern is formed in a second layer (L2) using a second set of lithographic parameters, hi most cases, the lithographic parameters used in the calibration mode are similar to the lithographic parameters used in the production mode.
  • the printed targets 332 within each of the production dies 326 may be widely varied. For example, they may be any one of the previously mentioned targets. In the illustrated embodiment, the targets co ⁇ espond to the style of targets shown in Figs. 13-16. Race of these targets may be used (e.g., PRS vs. PRS, PRS vs. DRS, DRS vs. DRS, etc.). However, in most cases, the PRS vs. PRS targets are used since they are robust to the process, i.e., the first PRS is robust to the process used to form the first layer (LI) and the second PRS is robust to the process used to form the second layer (L2). In one embodiment, the PRS vs.
  • PRS target comprises a first PRS co ⁇ esponding to the PRS used on the first test wafer, and a second PRS co ⁇ esponding to the PRS used on the second test wafer.
  • the target may co ⁇ espond to the target shown in Fig. 14.
  • the targets 332 in the scribeline 334 are measured.
  • the measurements are typically performed using conventional techniques that are well known.
  • the production measurements are compared with the calibration measurements in order to determine the overlay e ⁇ or of a device structure 330 at a device location 336.
  • the location of the calibration targets generally co ⁇ espond to the location of the production target 332 and device structure 330, and therefore the calibrated measurements may be used to co ⁇ ect the production measurements. This is generally accomplished by performing a conversion as described in Fig. 5.
  • a dense mark cluster measurement sequence may be performed by the metrology tool in order to reduce the time of measuring when measuring a great number of different of similar overlay marks.
  • the dense mark cluster measurement sequence generally allows the user of the metrology tool to reduce the time of measuring by skipping the acquisition of and focus on the overlay mark by knowing the distance between the overlay marks in the cluster.
  • the dense mark cluster measurement sequence is typically determined during the recipe train, i.e., the metrology tool is walked through a series of measurement steps from one overlay mark to another.
  • the size of the dense mark cluster as well as the maximum distance between overlay marks may be widely varied. Both of these factors generally depend on the metrology tool used to measure the overlay marks, i.e., these factors are tool specific.
  • the greater the distance between marks the greater the loss in the accuracy of the measurements.
  • the maximum distance is typically small.
  • the cluster size may be between about 2 and about 100 marks, and the max. distance may be about 2 mm. Again, these values are not a limitation and generally vary according to the design of the metrology tool.
  • the first mark in the cluster is acquired & focused and the others are measured by blind stepping from the center of one mark to the subsequent mark.
  • blind stepping it is generally meant that the metrology tool moves from one point on the wafer to another point of the wafer without performing an acquisition and/or focus step.
  • the time the metrology tool typically takes to acquire and re-focus is saved.
  • the method can save up to 90% per measurement position.
  • Fig. 18 is a flow diagram showing a dense mark cluster measurement sequence 338, in accordance with one embodiment of the present invention.
  • the measurement sequence338 is typically performed on a metrology tool such as for example the Archer manufactured by KLA-Tencor of Milpitas, CA.
  • the measurements performed by the metrology tool may be based on techniques such as imaging, scanning, scatterometry and the like.
  • the flow 338 generally begins at block 340 where a global wafer alignment is performed.
  • Global wafer alignment generally refers to the procedure of determining the physical position of the wafer on the center of the chuck. This particular step is well known in the field and therefore will not be discussed in greater detail.
  • the process flow proceeds to block 342 where the tool performs a focusing step.
  • the focusing step is typically implemented to place the overlay mark in focus. For example, the tool is moved along the z axis until the surface of the wafer is in focus or within a specified value of focus.
  • the process flow proceeds to block 344 where an acquisition step is performed on the overlay mark.
  • the acquisition step generally refers to the procedure where the overlay mark is centered within the field of view of the metrology tool. This is generally accomplished by taking an image of the mark analyzing the position of the mark, and if need be repositioning the stage of the metrology tool in order to center the overlay mark within the field of view of the metrology tool.
  • the focus and acquisition steps are well known and therefore will not be described in greater detail herein.
  • the process flow proceeds to block 346 where the overlay mark is grabbed by the metrology tool.
  • Grabbing is a well known term in the art. It generally refers to the methodology where the overlay mark is measured and analyzed in order to determine the overlay e ⁇ or of the overlay mark. Although grabbing generally refers to measurement techniques related to imaging (e.g., taking a picture of the overlay mark), it should be noted that it also covers other techniques such as scanning, scatterometry and the like.
  • the process flow proceeds to block 348 where the metrology tool is moved to the next position, i.e., the next measurement location.
  • next mark is grabbed at the same focus position (as the first mark). Blindly running through steps 346 and 348 continues until all the marks in the cluster have been grabbed. Thereafter, the process flow ends or proceeds back to block 340 where a new cluster can be processed by the metrology tool.
  • skipping both focus and acquisition is not a limitation and that only one of these steps may be skipped (while implementing the other) in order to save time during overlay measurements. It should also be noted that this methodology is not limited to overlay measurements and that it may be extended to other metrologies as for example critical dimension (CD), feature shape, topography (e.g., 3D), and the like.
  • CD critical dimension
  • feature shape e.g., feature shape
  • topography e.g., 3D
  • the metrology tool can, after a pre-defined time period DeltaT, refresh the acquisition and focusing step 342 to improve the accuracy of mark positioning of step 348.
  • the acquisition and focusing step 342 can be carried out after a pre-defined distance DeltaL has been reached between the previously acquired mark and the cu ⁇ ent mark in order to improve the accuracy of mark positioning, hi yet another embodiment, a feed forward technique may be used to also save time. Feed forward generally refers to the technique of using information from the last step to modify the next step. In this embodiment, acquisition and/or focus may be performed using the grabbed image from a previous step.
  • Overlay metrology uncertainty generally refers to the variation found between the overlay e ⁇ or of the overlay mark and the actual overlay e ⁇ or of the device.
  • One important contributor of this uncertainty is the impact of the patterning process on the fidelity or robustness of the overlay mark, i.e., the degree to which the patterning process accurately reproduces the overlay mark.
  • reticle e ⁇ ors and lithography e ⁇ ors associated with the patterning process have been found to impact the overlay mark fidelity (OMF) in a non-trivial manner.
  • OMF overlay mark fidelity
  • the overlay mark fidelity is evaluated so as to help quantify and reduce the overlay metrology uncertainty.
  • the evaluation is generally accomplished by measuring the overlay e ⁇ or of an array of nominally identical overlay marks that are closely placed relative to one another. After compensating for metrology tool induced sources of uncertainty, all the overlay marks within the a ⁇ ay should, in principle, give the same overlay value. If, however, they do not give the same value then the overlay mark fidelity may be a non- negligible source of overlay metrology uncertainty. As should be appreciated, overlay mark fidelity tends to vary with mark design and location on the wafer.
  • the desired overlay mark fidelity is defined as three times (3x) the standard deviation of the overlay e ⁇ or found in the a ⁇ ay of overlay marks, i.e., the overlay e ⁇ or distribution of a group of densely packed overlay marks. It should be noted, however, that this is not a limitation and that other metrics may be used to determine the overlay mark fidelity. For example, other estimators of the tightness of the distribution of the overlay results may be used.
  • Fig. 19 is a flow diagram of a fidelity determining method 350, in accordance with one embodiment of the present invention.
  • the fidelity determining method 350 is generally configured to determine the robustness or fidelity of a given overlay mark.
  • the overlay mark may be widely varied.
  • the overlay mark may co ⁇ espond to conventional box in box (or related box marks) or they may co ⁇ espond to periodic structures such as those found in U.S. Pat. App. No. 09/894,987 to Ghinovker et al., titled "Overlay Marks, Methods of Overlay Mark Design and Methods of Overlay Measurements, filed on June 27, 2001, which is hereby incorporated by reference (or equivalents thereof).
  • the method generally begins at block 352 where an a ⁇ ay of closely packed overlay marks are formed.
  • closely packed it is generally meant that the overlay marks are placed close enough together that the impact of processing conditions that vary over a wide area are negligible, i.e., there is not much variation therebetween.
  • the overlay marks may be positioned about 1 to about 20 microns apart from each other, hi most cases, the overlay marks are formed on a test wafer using conventional wafer processing techniques.
  • the overlay marks are printed on two layers.
  • the overlay marks are printed on a single layer. The later implementation has the advantage of reducing the variables that may effect the overlay mark fidelity, i.e., a single reticle and a single processing step rather than possibly two reticles and two processing steps as in the first implementation.
  • the process flow proceeds to block 354 where the overlay e ⁇ or of each of the overlay marks is measured. This may be accomplished using any suitable overlay measurement technique, as for example, imaging, scanning, scatterometry or the like.
  • the process flow proceeds to block 356 where the variance between the overlay e ⁇ or of the overlay marks is calculated.
  • the variance generally refers to the variation found between the overlay e ⁇ or of the overlay marks located in the a ⁇ ay.
  • the variation may be found using any suitable technique. As should be appreciated, if the variation is within desired limits, then the overlay mark may be considered to work well with the given process conditions, hi addition, if the variation is not within desired limits, then the overlay mark may be considered to not work well with the given process conditions.
  • Fig. 20 is a flow diagram of an overlay mark selection method 360, in accordance with one embodiment of the present invention.
  • the overlay mark selection method 360 is generally configured to select the most process robust overlay mark for a given set of process conditions, i.e., to find one or more overlay marks that works best for the given process conditions.
  • the overlay marks may be widely varied.
  • the overlay marks may co ⁇ espond to conventional box in box (or related box marks) or they may co ⁇ espond to periodic structures such as those found in U.S. Pat. App. No. 09/894,987 to Ghinovker et al., titled "Overlay Marks, Methods of Overlay Mark Design and Methods of Overlay Measurements, filed on June 27, 2001, which is hereby incorporated by reference (or equivalents thereof).
  • the method generally begins at block 362 where a plurality of overlay mark a ⁇ ays are formed.
  • Each of the overlay mark a ⁇ ays includes two or more closely packed and identical overlay marks.
  • the overlay mark a ⁇ ays may include a lxl a ⁇ ay, 2x2 a ⁇ ay, 4x4 a ⁇ ay, 8x8 a ⁇ ay and the like.
  • Asymmetrical a ⁇ ays may also be used. For example, 1x2, 2x4, 4x8 and the like.
  • the overlay mark a ⁇ ays are generally formed with different overlay marks.
  • a first overlay mark a ⁇ ay may include a first type of overlay mark and a second overlay mark may include a second type of overlay mark.
  • the overlay marks may be formed on one or more test wafers using a predetermined set of process conditions.
  • the process flow proceeds to block 364 where the overlay e ⁇ or of the overlay marks in the overlay mark a ⁇ ays is measured. This may be accomplished using any suitable overlay measurement technique, as for example, imaging, scanning, scatterometry or the like.
  • the process flow proceeds to block 366 where the overlay e ⁇ or variance for each of the overlay mark a ⁇ ays is calculated. This is similar to block 356 in Fig. 19, however, the calculation is performed for each one of the arrays.
  • the process flow proceeds to block 368 where the overlay e ⁇ or variance of the overlay mark a ⁇ ays are compared.
  • the overlay marks from the overlay mark a ⁇ ay with the least amount of overlay variance is generally believed to yield the most process robust mark for the given overlay mark a ⁇ ays. For example, if a first overlay mark a ⁇ ay has a large variance as compared to a second overlay mark a ⁇ ay then the overlay mark contained in the second overlay mark a ⁇ ay is generally believed to work better than the overlay mark contained in the first overlay mark a ⁇ ay for the given process conditions. That is, the second overlay mark is more process robust than the first overlay mark.
  • OMF overlay mark fidelity
  • process effects refer to the sequence of steps starting with reticle manufacture and ending in a topographically complex structure on the wafer in which the overlay mark contains information from two different process layers.
  • the distribution, quantified by OMF is an important component of the overlay metrology e ⁇ or, which is independent of the traditional metrology uncertainty contributors, i.e. precision, TIS (Tool Induced Shift) and TIS variability.
  • the OMF is computed from the overlay results from the a ⁇ ay after compensating for the above-mentioned metrology tool-induced sources of uncertainty.
  • i an index of the target number within an a ⁇ ay of N adjacent targets.
  • j an index of the field number from F fields on a wafer of index k in a lot of W wafers.
  • OVL_Xjjk and OVL_Y be the overlay in the X and Y directions respectively for target i, in field j and on wafer k.
  • the OMF of the field j on wafer k is therefore defined as:
  • the pooled OMF is estimated by:
  • the dynamic precision S of an individual measurement is typically 5 fold smaller than the OMF so that this co ⁇ ection is small, but is included for rigor.
  • the next step in the analysis is to extract the component of this statistical estimator, which is constant for all a ⁇ ays on all fields and all wafers, from the component that varies from a ⁇ ay to a ⁇ ay.
  • the a ⁇ ay independent component is attributed to the mask e ⁇ or, defined as: ME ⁇ OVLi ⁇ liOVL,
  • the reticle overlay mark fidelity can them be estimated by the statistic:
  • Co ⁇ ected overlay is then defined as:
  • OVL_X_Corrected ijk OVL_X ijk -ME
  • the random or process OMF contribution is estimated in the same way as field OMF, where OVL_X is replaced with OVL_X_Co ⁇ ected.
  • the reticle contribution can be calculated on a wafer by wafer basis, i.e., replace ME; with MEi and sum only over fields. To within reasonable statistical limits this parameter should yield identical results on all wafers.
  • the random component of the OMF of the new grating-based overlay mark was observed to be 50% less sensitive to process variation compared with Box in Box marks. This shows that the new grating-based overlay mark is more robust against CMP-process variations than the traditional box-in-box overlay mark.
  • Figs. 23A-C are illustrations showing the results of the breakdown between reticle and random (process) components of OMF.

Abstract

An overlay method for determining the overlay error of a device structure formed during semiconductor processing is disclosed. The overlay method includes producing calibration data (30) that contains overlay information relating the overlay error of a first target at a first location to the overlay error of a second target at a second location for a given set of process conditions. The overlay method also includes producing production data that contains overlay information associated with a production target formed with the device structure. The overlay method further includes correcting (34) the overlay error of the production target based on the calibration data.

Description

OVERLAYMETROLOGYAND CONTROLMETHOD
Field of the Invention
The invention relates to a method of caπying out overlay metrology and control as a "use case".
Background of the Invention
Lithography tools used in the manufacture of integrated circuits have been around for some time. Such tools have proven extremely effective in the precise manufacturing and formation of very small details in the product, hi most lithography tools, a circuit image is written on a substrate by transferring a pattern via a light beam. For example, the lithography tool may include a light source that projects a circuit image through a reticle and onto a silicon wafer coated with photoresist. The exposed photoresist typically forms a pattern that masks the layers of the wafer during subsequent processing steps, as for example deposition and/or etching. As is generally well known, materials are deposited onto the layers of the wafer during deposition and materials are selectively removed from the layers of the wafer during etching.
The measurement of overlay between successive patterned layers on a wafer is one of the most critical process control techniques used in the manufacturing of integrated circuits and devices. Overlay generally pertains to the determination of how accurately a first patterned layer aligns with respect to a second patterned layer disposed above or below it. Presently, overlay measurements are performed via targets that are printed together with layers of the wafer. The most commonly used overlay target pattern is the "Box-in-Box" target, which includes a pair of concentric squares (or boxes) that are formed on successive layers of the wafer. The overlay eπor is generally determined by comparing the position of one square relative to the other square. This may be accomplished with an overlay metrology tool that measures the relative displacement between the two squares. To facilitate discussion, Fig. 1 is a top view of a typical "Box-in-Box" target 2. As shown, the target 2 includes an inner box 4 disposed within an open-centered outer box 6. The inner box 4 is printed on the top layer of the wafer while the outer box 6 is printed on the layer directly below the top layer of the wafer. As is generally well known, the overlay eπor between the two boxes, along the x-axis for example, is determined by calculating the locations of the edges of lines cl and c2 of the outer box 6, and the edge locations of the lines c3 and c4 of the inner box 4, and then comparing the average separation between lines cl and c3 with the average separation between lines c2 and c4. Half of the difference between the average separations cl&c3 and c2&c4 is the overlay eπor (along the x-axis) at that point. Thus, if the average spacing between lines cl and c3 is the same as the average spacing between lines c2 and c4, the coπesponding overlay eπor tends to be zero. Although not described, the overlay eπor between the two boxes along the y-axis may also be determined using the above technique.
Most overlay measurements are performed immediately after the photoresist is developed, i.e., the photoresist is developed away in the area where it was exposed to the light thus leaving the overlay pattern in the photoresist, hi some cases, the overlay measurements are used to coπect the process in order to keep the overlay eπors within desired limits. For example, the overlay measurements may be fed into an analysis routine that calculates coπectables and other statistics, which are used by the operator and/or the lithography tool to get the tool better aligned thus allowing wafer processing to proceed within desired limits. If the overlay eπor is too great, the analysis results may indicate that the wafer needs to be reworked, i.e., strip or remove the resist and start over on that layer. Reworking is typically expensive and undesirable, but it is better than scrapping the wafer all together. Overlay measurements can also be performed after process steps such as etch, when no photoresist is present. In this case, reworking is not possible, but the added information aids in the finer tuning of the overall process.
A typical semiconductor process includes wafer processing by lot. A lot is a group of typically 25 wafers which are processed together. Each wafer in the lot is comprised of many exposure fields from the lithography processing tools (e.g. steppers, scanners, etc.). Within each exposure field can be typically 1 to many die. A die is the functional unit which eventually becomes a single chip. On product wafers, overlay metrology marks are typically placed in the scribeline area (for example in the 4 corners of the field). This is a region that is typically free of circuitry around the perimeter of the exposure field (and outside the die). Sometimes overlay targets are placed in the streets, which are regions between the die but not at the perimeter of the field. It is fairly rare for overlay targets to be placed on product wafers within the prime die areas, as this area is critically needed for circuitry. Engineering and characterization wafers (not production wafers), however, typically have many overlay targets throughout the center of the field where no such limitations are involved. Because of the spatial separation between the "scribe-line" metrology marks and the prime die circuitry, there occur discrepancies between what is measured and what needs to be optimized on product wafers. Advances in both the scribe-line metrology marks and in their interpretation are required.
Unfortunately, the lithography tool's optical characteristics have a strong influence on overlay and critical dimension performance when patterning advanced design rule integrated circuits. Of particular importance are the optical abeπations of the lithographic lens system. Many examples exist today of methods used to quantitatively measure these optical abeπations. Three examples of these, each based on slightly different physical principles are the Litel reticle concept described in, "In Situ Measurement of Lens Abeπations", N. R. Faπar, Hewlet-Packard Co.; A. H. Smith, Litel Instruments; D. R. Busath, KLA-Tencor Corp. [4000-03], March 2000, Proceedings of SPIE Vol. 4000, Optical Microlithography XIII; the Artemis concept described in SPIE vol. 3679 (1999) p. 77-86 "Novel Abeπation Monitor for Optical Lithography" Peter Dirksen et al.; and the phase shift grating concept described in Optical Review No. 8 Vol. 4 (2001) p. 227-234 "Measurement of Wavefront Abeπations in Lithographic Lenses with an Optical Inspection Tool," Hiroshi Nomura, hi each case the output of the analysis tool are typically provided in terms of Zernike polynomial coefficients, which can accurately describe the induced phase eπor across the exit pupil of the lithographic lens in a form which can be easily interpreted in optically meaningful terms such as spherical, astigmatic and coma abeπations. Although these abeπation descriptors are generally accepted as quantitative metrics for the quality of lens systems, it is a non-trivial problem to quantitatively estimate the impact they will have on overlay, or more specifically the pattern placement eπor. Such calculations require detailed knowledge of other process parameters such as the exposure tool illumination configuration, wavelength, numerical aperture and the geometry of the pattern. In conventional overlay metrology using box in box targets as is common today, the impact of the exposure tool's optical abeπations on the accuracy of the metrology and the proper use of these metrology results for the purpose of overlay control is not taken into account at all.
Traditional overlay targets are characterized by large open areas and large feature sizes, which is very different than the transistors that they are trying to represent. Today's semiconductor processes are designed to optimize the transistors and circuitry feature sizes and pitches only. Therefore traditional overlay marks are not sensitive to the same abeπations as the transistors.
There have been recent disclosures, however, which attempt to deal with this issue by making box in box targets, which are more device representing (or device like) and process-robust. For ease of discussion "device representing" targets may be defined as a target that is sensitive to the same abeπations as a particular size and pitch of the transistor. Large open spaces are also subject to the adverse effects of other process areas (besides lithography), such as CMP polish and deposition.
Further, "process-robust" targets may be defined as targets that are not adversely affected by these spurious processes. It should be noted that these two terms are defined in greater detail in the description of the invention.
By way of example, the "Box in Box" target has been modified to form a
"Box in Bar" target and the "Bar in Bar" target. Both of these targets have the same general appearance as the "Box in Box" target. In "Box in Bar" targets, the outer box of the "Box in Box" target is separated into a plurality of parallel bars. In "Bar in Bar" targets, both the outer and inner box of the "Box in Box" target are separated into a plurality of parallel bars. More recently, there has been the introduction of separated bars that created features comparable to the design rules of the integrated circuit. See for example U.S. Patent Publication 2001 0055720 by Sato et al. While this method shows some potential in reducing the discrepancy between box in box scribeline structures and the overlay at the device structure itself, it still suffers from several short comings.
For one, the targets are typically limited in number and to specific places on the wafer and therefore they cannot compensate for the fact that the abeπations of the lithography tool vary across the exposure field. As should be appreciated, the available space on the wafer is severely restricted due to the fact that the real estate thereon is so expensive, i.e., most of the space on the wafer is reserved for dies. In most cases, the targets are spatially located in the scribeline at the perimeter of the exposure field, i.e., it is the space between the dies used for dicing the dies from the wafer. Furthermore, the number of targets in the scribeline is typically limited to four, one at each corner of the field. As should be appreciated, it is difficult to accurately determine how the overlay is behaving across the field (e.g. in the middle of the field) if only four places at the periphery of the field are sampled, i.e., if you only measure the four corners of the field, you have no knowledge of any other points. That is, overlay measurements carried at peripheral locations such as the scribeline do not necessarily represent the true overlay of the device features within the die since the abeπations of the lens vary across the exposure field of the lithography tool. By way of example, see SPIE vol. 3051 (1997) p. 362-373 "Minimization of Total Overlay Eπors on Product Wafers Using an Advanced Optimization Scheme" Harry J. Levinson et al.
In addition, the targets are typically not optimized for the process and therefore the fine structures of the targets may suffer from process induced biases when measured by the metrology tool. As should be appreciated, each time a new process is introduced in microelectronic manufacture, there is some impact on the target. The ability to measure the target depends on it's visibility or contrast in the image acquisition microscope of the metrology tool. Some processes such as metallization by sputtering tend to diminish contrast, hence impacting precision. Other processes such as chemical mechanical polishing (CMP) tend to blur or distort the targets, hence impacting accuracy. These processes may also make the target features asymmetric or create an apparent spatial translation of the center of the target feature with respect to the center of the originally patterned trench or line. Further, the box in box and related targets are asymmetric, i.e., the inner box is smaller and the outer box is bigger, and therefore each samples the optical metrology tool pupil differently. Further still, the box in box and related targets do not fully utilize the available scribeline space for metrology purposes. That is, they take up space due to the fact that they need to be spatially separated from one another in order to be coπectly acquired by the overlay metrology tool, i.e., if not separated, the metrology tool runs the risk of measuring the wrong target. Moreover, the box in box and related targets are large and cumbersome compared to actual device being printed therewith, and therefore the coπectables, which are based on the overlay measurements thereof, may not be the ideal coπectables. For example, the coπectables may indicate that a coπection can be made to get the stepper aligned when ideally it would have been better to rework the wafer. Furthermore, because the overlay measurements are only performed at a few points on the wafer, the coπectables may not facilitate optimal process control since they don't represent points across the field.
Other steps such as stepper matching have been utilized recently to reduce the impact of abeπations on wafer processing. Stepper matching generally refers to the process of determining which steppers work well together, i.e., matching steppers such that when two layers are printed on different steppers there is a minimum overlay eπor between the two layers. As should be appreciated, every stepper has its own unique signature of abeπations or other eπors and therefore each stepper tends to print patterns differently for a given set of process conditions. The steppers that print patterns in a similar manner are matched thus minimizing the impact of these abeπations and other eπors over the entire process, hi most cases, stepper matching is performed by providing a golden wafer having a standard pattern; printing patterns on the golden wafer with each stepper using the same reticle and processing conditions; and calculating the relative difference between each of the steppers by comparing the alignment between the standard pattern and each of the stepper patterns. If the alignment between steppers is similar, then the steppers tend to work well together. If the alignment between steppers is different, then the steppers may not work well together. Although stepper matching provides some benefit, it is not ideal since it does not provide control feedback during wafer processing, i.e., it does not overcome the problems associated with conventional overlay targets and the manner in which the overlay eπor is determined therefrom.
In view of the foregoing, a method is desired which is able to isolate, quantify and/or minimize the impact of abeπation effects and other process effects on overlay metrology. Furthermore, a method is desired that can utilize the overlay information in a scenario specific way to provide the most accurate possible feedback to the lithography cell for either lithography tool overlay control (e.g., coπectables) or product lot dispositioning (e.g., rework).
Summary of the Invention
The invention relates, in one embodiment to an overlay method for determining the overlay eπor of a device structure formed during semiconductor processing. The overlay method includes producing calibration data that contains overlay information relating the overlay eπor of a first target at a first location to the overlay eπor of a second target at a second location for a given set of process conditions. The overlay method also includes producing production data that contains overlay information associated with a production target foπned with the device structure. The overlay method further includes coπecting the overlay eπor of the production target based on the calibration data.
The invention relates, in another embodiment to an overlay processing method. The method includes providing a process robust target. The method also includes foπriing a device structure along with one or more of the process robust targets on a substrate. The method further includes measuring the overlay eπor of the one or more process robust targets. The method additionally includes receiving calibration data associated with the one or more process robust targets. Moreover, the method includes predicting the overlay eπor of the device structure at its position in the field based on the measured overlay eπor and the calibration data.
The invention relates, in another embodiment to a calibration method. The calibration method includes providing one or more characterization reticles having a plurality of overlay target patterns. The method also includes transferring the overlay target patterns onto a calibration wafer. The method further includes measuring the overlay eπor of the overlay targets on the calibration wafer. The method additionally includes calibrating the overlay eπor of the measured overlay targets against one another.
The invention relates, in another embodiment to a method of performing overlay coπection analysis. The method includes providing calibration data. The method also includes determining the overlay eπor of a process robust target located in the scribeline. The method further includes determining the overlay eπor of a virtual device representing target located in the scribeline based on the overlay eπor of the process robust target and the calibration data. The method additionally includes determining the overlay eπor of a second virtual device representing target located at a point in the field based on the overlay eπor of the first virtual device representing target and the calibration data.
The invention relates, in another embodiment to a method of determining the overlay eπor of a device structure located within a die. The method includes measuring a process robust target located in the scribeline around the die. The method also includes converting the measured process robust target into a virtual device representing target located in the scribeline. The method further includes converting the virtual device representing target into a second virtual device representing target located within the die. The method additionally includes calculating the overlay eπor of the second virtual device representing target.
The invention relates, in another embodiment to a method of monitoring overlay. The method includes a calibration mode configured to produce overlay calibration data. The calibration mode includes: forming one or more test dies on one or more test wafers, the test dies containing a plurality of calibration targets; and measuring the calibration targets. The method also includes a production mode. The production mode includes: forming one or more production dies on a production wafer, the production dies containing one or more device structures and one or more production targets; measuring the production targets; and comparing the production measurements with the calibration measurements in order to determine the overlay eπor of a particular device structure at a particular device location.
Brief Description of the Drawings
The present invention is illustrated by way of example, and not by way of limitation.
Fig. 1 is a top plan view of an overlay mark, which is well known in the art.
Fig. 2 is a diagram of an overlay method, in accordance with one embodiment of the present invention.
Fig. 3 is a flow diagram of overlay processing, in accordance with one embodiment of the invention.
Fig. 4 is a flow diagram of a calibration method, in accordance with one embodiment of the invention.
Fig. 5 is a flow diagram of overlay coπection analysis, in accordance with one embodiment of the present invention.
Fig. 6 is an illustration showing one implementation of the method described in Fig. 5, in accordance with one embodiment of the present invention.
Fig. 7 is a top plan view of a "dual pattern" overlay target, in accordance with one embodiment of the present invention.
Fig. 8A-H are examples of device representing metrology marks (line features to line features) for measurement on SEM or AFM, in accordance with one embodiment of the present invention.
Fig. 9A-H are examples of device representing metrology marks (line features to hole features) for measurement on SEM or AFM, in accordance with one embodiment of the present invention. Fig. 10A-F are further examples of device representing metrology marks (line features to hole features) for measurement on SEM or AFM, in accordance with one embodiment of the present invention.
Fig. 11 is a top plan view of an overlay target, in accordance with one embodiment of the present invention.
Fig. 12 is a top plan view of an overlay target, in accordance with one embodiment of the present invention.
Fig. 13 is a top plan view of an overlay target, in accordance with one embodiment of the present invention.
Fig. 14 is a top plan view of an overlay target, in accordance with one embodiment of the present invention.
Fig. 15 is a schematic presentation of an exemplary method of monitoring overlay, in accordance with one embodiment of the present invention.
Fig. 16 is a graphical plot of pattern placement eπor, in accordance with one embodiment of the present invention.
Fig. 17 is a graphical plot of pattern placement eπor relative to periodic device representing structure, in accordance with one embodiment of the present invention.
Fig. 18 is a flow diagram showing a measurement sequence, in accordance with one embodiment of the present invention.
Fig. 19 is a flow diagram of a fidelity determining method, in accordance with one embodiment of the present invention.
Fig. 20 is a flow diagram of an overlay mark selection method, in accordance with one embodiment of the present invention.
Fig. 21 is a diagram of an overlay mark fidelity array, in accordance with one embodiment of the present invention. Fig. 22 is a graph showing results obtained on both box in box and newer targets, in accordance with one embodiment of the present invention.
Figs. 23A-C are illustrations showing the results of the breakdown between reticle and random (process) components of OMF, in accordance with one embodiment of the present invention.
Detailed Description of the Invention
The invention generally pertains to a method of carrying out overlay metrology and control as a "use case". One aspect of the invention relates to a method of making a process tolerant metrology mark and calibrating it off-line to the device structures. Another aspect of the invention relates to a method of separating out the offset due to the combination of lens and device geometry from the offset induced by the process. Another aspect of the invention relates to a calibration method of putting the device-like and process robust or tolerant marks on the same wafer so that the offset between them can be characterized. Another aspect of the invention relates to a production method for using the process robust or tolerant mark and compensating for a process-device shift measured in the calibration phase. Another aspect of the invention relates to a method of predicting the true device overlay at any point in the die, for any type of structure by knowing the process tolerant to device (e.g., offsets as a function of location) or by using simulation to understand the root cause of the offset. Another aspect of the invention relates to a method of using simulation to create across field maps, which can be used to take a limited number of measured points and calculate the actual, in-device overlay for any point in the lens field.
Another aspect of the invention relates to a method of mapping out abeπation induced differences across the entire lens field, and ranning it on calibration wafers. Another aspect of the invention relates to using gratings or any other type of target in any of the above methods. These and other aspects will be described in greater detail below.
The present invention will now be described in detail with reference to a few prefeπed embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps have not been described in detail in order not to unnecessarily obscure the present invention.
Fig. 2 is a diagram of an overlay method 10, in accordance with one embodiment of the present invention. Overlay method 10 is generally configured to determine the overlay eπor of a device structure formed during a lithographic process (e.g., photolithographic). The determined overlay eπor may be used to improve the control of subsequent lithographic patterning and to determine whether the quality of the lithographic pattern meets specified requirements. The method may be suitable for a wide variety of photolithography processes, as for example, photolithographic processes pertaining to semiconductor manufacturing, optical device manufacturing, micro-mechanical device manufacturing, magnetic recording data storage manufacturing and the like. Although the method may be used in any of the above processes, the illustrated embodiment described herein is directed at semiconductor manufacturing. As such, in this embodiment, the device structures may coπespond to vias, trenches, lines, and the like.
In brief, overlay eπor generally pertains to the determination of how accurately a first patterned layer aligns with respect to a second pattered layer disposed above or below it and to the determination of how accurately a first pattern aligns with respect to a second pattern disposed on the same layer. The overlay eπor is typically determined with an overlay target having structures formed on one or more layers of a workpiece (e.g., semiconductor wafer). The structures may be periodic or they may be based on the structures of the Box in Box and related targets. If the two layers or patterns are properly formed, then the structure on one layer or pattern tends to be aligned relative to the structure on the other layer or pattern. If the two layers or patterns are not properly formed, then the structure on one layer or pattern tends to be offset or misaligned relative to the structure on the other layer or pattern.
Referring to Fig. 2, the method generally consists of a calibration block 12, a production block 14 and a coπection block 16. The calibration block 12 is generally implemented before the production block 14 (e.g., preprocessing) while the coπection block 16 is generally implemented after the production block 14 (e.g., post processing).
The calibration block 12 is configured to produce calibration data that contains overlay information relating the overlay eπor of a first target at a first location to the overlay eπor of a second target at a second location for a given set of process conditions. By calibration, it is generally meant that a relationship is made between the targets, i.e., the overlay eπors are calibrated against each other. For example, the calibrated overlay information may include information showing the relative difference between the overlay eπor of the first target and the overlay eπor of the second target, i.e., the differences may be found and thereafter characterized relative to one another. The differences may be caused by a variety of factors including, but not limited to, abeπations in the lenses of the lithography system, distortions in the lenses of the lithography system, mechanical eπors of the lithography system, eπors on the reticle, and the like. The first and second locations may be widely varied. For example, the targets may be positioned almost anywhere inside and outside the field. In most cases, however, the first location coπesponds to a typical target location (e.g., in the scribe line) and the second location coπesponds to a typical location of a device structure (e.g., field). The overlay information is generally stored in a library for future use, either directly as overlay data or parameterized as mathematical coefficients.
The production block 14 is configured to produce production data that contains overlay information associated with a target formed during production. The location and configuration of the target may be similar to the first target used in the calibration block 12. The target is formed with a device structure using a similar set of process conditions as above. The device structure is typically located proximate the location of the second target used in the calibration block 12. As should be appreciated, in production, the overlay eπor of the device structure cannot be easily determined and thus the target is used to predict the overlay eπor of the device structure. Unfortunately, however, as previously mentioned, there may be factors that effect the formation of the device structure and target in a different manner, and thus they may have a different overlay eπor. For example, since they are not located in the same area, distortions, i.e., abeπations, may affect the device structure and target differently. Another example is that spurious processes adversely affect the device differently than the target, even if they are in close proximity.
The coπection block 16 is configured to coπect the overlay eπor of the production target to better reflect the true overlay eπor of the device structure at its location in the field, i.e., take into account the impact of the various factors. Conventionally, the overlay eπor is determined at various points, but not at the field position of the device structure, i.e., it was assumed that the overlay eπor was the same across the sample. The coπection is generally implemented by comparing the production data with the calibration data. The comparison generally yields what the overlay eπor of a second target would have been if formed in the vicinity of the device structure during production (without having to measure it). That is, since the differences between the two calibration targets is known (one of which is located at a point coπesponding to the location of the production target, and one of which is located at a point coπesponding to the location of the device structure), it may be used, along with the known overlay eπor of the production target, to solve for the unknown overlay eπor of a second target that would have been formed in production at the location of the device structure, i.e., the known variables may be used to solve for the unknown variable. In particular, the overlay eπor of the production target may be converted or translated into the overlay eπor at the field location of the device structure fonned in production by adding or subtracting the differences (either directly or by transformation) found between the overlay eπor of the first and second target formed in calibration from the production target's overlay eπor. As should be appreciated, the process can be controlled to a greater degree by knowing the overlay eπor at the field position of the device structure rather than somewhere else (e.g., scribeline).
In order to further enhance the predicted overlay eπor of the device structure, any one of the targets may coπespond to process robust targets and/or device representing targets. Process robust targets generally refer to targets that can withstand a wide range of process conditions so that they can be measured with optimal performance under production conditions, i.e., the process has little effect on the process robust target measurement results, hi essence, the process robust target is the target that gives the most consistent metrology results across the widest range of process conditions (e.g., CMP, Sputter, film thickness, exposure). Device representing targets, on the other hand, generally refer to targets that produce an overlay eπor similar to an actual device formed on a product wafer for a given set of process conditions. That is, device representing targets typically change in a similar manner as the device structure itself across the widest range of parameters (lens abeπations, focus, exposure, etc.). For example, if the device structure shifts 10 nm to the right then so does the device representing target.
Different variations of the process robust and device representing targets may be used. For example, in the calibration block, process robust targets may be calibrated against process robust targets, process robust targets may be calibrated against device representing targets, and/or device representing targets may be calibrated against device representing targets between one and/or several layers. In one particular embodiment, the calibration is between process robust and device representing targets, hi particular, the first target coπesponds to a process robust target and the second target coπesponds to a device representing target. Because the production target is typically configured similarly to the first calibration target, then it too generally coπesponds to a process robust target. This is generally done to provide a better overlay determination. That is, since the process robust target is insensitive to unwanted variation, it tends to provide the most accurate and consistent overlay information in production, i.e., its not distorted by the process in unwanted ways. Furthermore, since the device representing target is more device representing, it tends to provide the most accurate overlay information with regards to how the process affects the actual device structure.
In one embodiment of the invention, the first target coπesponds to a process robust target, i.e., configured to withstand a wide range of process conditions so that it can be measured with optimal performance under production conditions, and the second target coπesponds a device representing target, i.e., configured to produce an overlay eπor similar to an actual device formed on a product wafer for a given set of process conditions. As should be appreciated, the device itself cannot be easily measured and thus a device representing target is used to mimic what is happening with the device at the location of the device in the field. By way of example, the device representing target may have spatial characteristics that are similar to the actual device (size, pitch, etc.), and may be located proximate the location of the actual device when formed on a product wafer.
The most process robust target is generally determined in a previous step. In one implementation, the most process robust target is determined by forming a plurality of process robust targets (e.g., tens to hundreds) across one or more wafers with various process conditions and with various known offsets to the overlay, and then measuring the process robust targets to see which targets are closest to a known overlay value. The targets closest to the known overlay value over the widest range of process conditions are considered the most process robust. The goal is generally to find a single process robust target for a given process, i.e., wafer layer, process conditions, tool, and the like.
The most device representing target is also generally determined in a previous step. In one implementation, the most device representing target is determined by printing a plurality of device representing targets across one or more wafers with various parameters; measuring the device representing targets; and comparing the measurements to the device itself over a wide range of conditions to see which device representing targets are closest to the ideal device structure, i.e., which device representing target stays faithful to the way that the device varies with parameters. The comparison may be widely varied. In most cases, the comparison is made using computational simulation (where physical process are modeled via sophisticated computer programs well known in the industry). Alternatively, scanning electron microscope (SEM), CD-SEM, Cross sectional SEM, atomic force microscope (AFM), high resolution profiler (HRP) techniques may be used to compare the two structures. The goal is generally to find a single device representing target for a given process, i.e., wafer layer, process conditions, tool, and the like. The manner in which the calibrated data is produced may be widely varied. For example, it may be produced using simulation and/or experimental methods. In the illustrated embodiment, the calibrated data is obtained experimentally by forming two or more targets at various locations on a test wafer for a given set of process conditions using conventional wafer processing techniques; measuring the overlay eπor of the targets using conventional overlay metrology tools; and then coπelating the overlay eπor between different targets at different locations for the given set of process conditions. With regards to forming the targets, the targets are generally patterned on a test wafer using suitable photolithographic techniques, hi the simplest case, the targets are formed within a photoresist layer on the test wafer (e.g., resist/resist wafer). Alternatively, the targets may be formed in other layers such as dielectric or metal layers. With regards to measuring the targets, the overlay measurements may be performed using a variety of methods and metrology tools. For example, they may be performed using imaging, scanning, scatterometry and the like. Furthermore, with regards to coπelating, the targets may be configured to have zero nominal overlay therebetween, i.e., zero overlay between the target structures. As such, any overlay eπor that occurs between any two targets during processing is an indication that the process is inducing a relative shift at one area of the wafer relative to another area of the wafer.
The position, number and configuration of the targets may be widely varied. For example, the targets may be positioned almost anywhere on the test wafer. In one particular embodiment, at least one target is positioned at a future measurable position on the wafer, and at least one other target is positioned at a future site of a device structure on the wafer. As such, the calibrated data includes overlay information associated with different areas of the wafer with one coπesponding to the field position of the device structure. Accordingly, the calibrated data may be used in later steps to help determine the overlay eπor of a device structure at the location of the device structure in the field.
Further, the targets may be configured with similar attributes or they may be configured with substantially different attributes. For example, the targets may be from the same target family (substantially similar attributes) or they may be from a different target family (substantially different attributes). Even targets from the same target family may be configured differently, i.e., although they show similar attributes overall, they have some attribute that differentiates it from its family member. By way of example, a first target family may contain process robust targets and a second target family may contain device representing targets.
Further still, any number of targets, with any configuration, may be used so long as there are at least two. For example, a target from a first target family may be coπelated with another target from the first target family or with a target from a second target family, a target from a first target family may be coπelated with a plurality of targets from a second target family, a target from a second target family may be coπelated with a plurality of targets from a first target family, a plurality of targets from a first target family may be coπelated with a plurality of targets from a second target family, and so on. Moreover, it should be noted that two target families are not a limitation, and that more than two target families may be used. In most cases, a group of targets from different target families are used across the entire exposure field so as to form a cross reference matrix, i.e., there may be targets almost everywhere inside and outside the field (e.g., checkerboard), each of which is characterized to all or a portion of the others (a large set of permutations).
The manner in which production data is produced may also be widely varied. In one particular embodiment, the production data is produced by forming a target and the device structure on a product wafer using conventional wafer processing techniques, and measuring the overlay eπor of the target using conventional metrology tools. With regards to forming the target and device structure, the target and device structure are generally patterned on a product wafer using suitable photolithographic techniques. In the simplest case, the target and device structure are fonned within a photoresist layer on the product wafer. Alternatively, however, the target and device structure may be formed in other layers such as dielectric or metal layers. The target is generally configured similarly to one of the coπelated targets.
For example, it may have a similar location on the wafer, it may use the same periodic structures, and the like. The production target is generally located within specific areas of the production wafer, i.e., they are typically not located across the field. In most cases, the production target is located in the scribeline of the exposure field. As should be appreciated, the scribe line is the area of the wafer that is cut to form semiconductor dies. The scribe line is generally used since real estate is so valuable on the product wafer within the die. However, it should be noted that this is not a limitation and that any portion of a wafer may be used. For example, the wafer may include production targets within the field proximate the device structure. With regards to measuring the target, the overlay measurements may be performed using a variety of methods and metrology tools. For example, they may be performed using imaging, scanning, scatterometry and the like.
Although the method is described as determining the overlay eπor at the field location of a device structure, it should be noted that it may also be used to determine the overlay eπor at any location, especially locations that are not typically used for targets.
Fig. 3 is a flow diagram of overlay processing 20, in accordance with one embodiment of the invention. The flow generally begins at block 22 where a process robust target is provided. The process robust target may be a single standard target used across all layers, processing conditions, steppers and the like or it may be a target designed for specific device structures (e.g., vias, lines, etc.), specific spatial characteristics (e.g., period, pitch, resolution, etc.), specific layers (e.g., dark field, light field, etc.), specific processing conditions (e.g., exposure, numerical aperture, coherence, etc.), specific materials (e.g., photoresist), specific steppers (e.g., process bias), specific masks (e.g., binary, phase shift, etc.) and or the like. The targets based on specifics are generally found in a previous step either experimentally or by simulation. The general concept is to find one target that works best for a given set of device specific data, i.e., the target that is the most process robust. It should be noted, however, that more than one target may be selected in some circumstances. The target(s) (whether standard or specific) may be widely varied. For example, they may coπespond to conventional box in box or related targets (e.g., box in bar, bar in bar and the like) or they may coπespond to periodic structures such as those found in U.S. Pat. Application No: 09/894,987 to Ghinovker et al., titled "OVERLAY MARKS, METHODS OF OVERLAY MARK DESIGN AND METHODS OF OVERLAY MEASUREMENTS", filed on June 27, 2001, which is hereby incorporated by reference (or equivalents thereof).
In one embodiment, the specific target is chosen from a group of targets stored in a library. The specific target that best fits the device specific data is typically selected, i.e., this target works best for this data. In another embodiment, the specific target is designed using a set of design rules. For example, for a given set of device specific design rules, the target should have a particular pitch, linewidth, spacing, and the like. The target may be determined using a target design tool configured to walk an engineer through a series of questions about the process and to determine the appropriate target based on design rules and the answers to the questions (e.g., using an algorithm).
Following block 22, the process flow proceeds to block 24 where one or more of the provided targets are printed on a production wafer along with device structures (i.e., structures that form a die) during normal wafer processing. By way of example, the targets may be printed using standard wafer processing techniques that are well known in the art. The targets are generally placed in the scribeline where they are conventionally placed. In one implementation, the targets are positioned at the four corners of the field.
After block 24, the process flow proceeds to block 26 where one or more of the printed targets are measured. This is generally accomplished with an overlay metrology tool. The overlay tool may be widely varied. By way of example, the overlay tool may be based on imaging, scanning, scatterometry and the like. One particular tool that may be used is the Archer 10, which is manufactured by KLA- Tencor of San Jose, CA. h one implementation, the targets positioned at four corners of the field are measured.
After block 26, the process flow proceeds to block 28 where overlay analysis is performed. The overlay analysis 28 generally includes receiving calibration data (block 30) and the overlay measurements (block 26). By way of example, block 28 may generally coπespond to block 16 in Fig. 2. Overlay analysis 28 generally includes making a prediction of the overlay eπor at any point in the wafer and field, and more particularly the overlay eπor of a device structure at its position in the field. This is generally accomplished by comparing the overlay measurements of the process robust target to the overlay data contained in the calibration data. In particular, the overlay measurements found at the scribeline are compared with overlay data that is mapped across the field. The calibration data generally includes overlay data relating targets at different points in the field. For example, the calibration data may include a relationship between the overlay located in the scribeline and the overlay located in the field. The relationship may be formed with a variety of targets including both process robust and device representing targets. In most cases, the relationship is between process robust and device representing targets, and more particularly process robust targets located in the scribeline and device representing targets located across the field. The calibration may be in the form of direct offsets at a given locations in the field, by extrapolation at a given points of the field, or based on a mathematical transformation of the overlay at a given points of the field based on a parameterization. The calibration for a particular exposure tool (or exposure tool pair) can also be refeπed to as a signature.
In one embodiment, the overlay eπor of a device structure at its field location is predicted by: 1) converting one or more measured process robust targets located in the scribeline to one or more virtual device representing targets in the scribeline using the calibrated data; 2) converting the one or more virtual device representing targets located in the scribeline to one or more virtual device representing targets in the field location of the device structure using the calibrated data; 3) calculating the overlay eπor of the virtual device representing targets in the field location of the device structure; and 4) averaging the one or more device representing targets in the field location of the device structure (if more than one target is used). By virtual it is generally meant that the device representing target exists in effect though not in actual fact.
By way of example, the first conversion may be performed by translating the measured process robust target at a first layer to a virtual device representing target at the first layer and by translating the measured process robust target at a second layer to a virtual device representing target at the second layer via tables, equations, or transformations that represent the calibration data. With this information, the overlay eπor of the device representing targets in the scribeline can be determined (the misalignment between the first and second layers). In addition, the second conversion may be performed by translating the virtual device representing target at the first layer to a second virtual device representing target at the first layer and by translating the virtual device representing target at the second layer to a virtual device representing target at the second layer via tables, equations, or transformations that represent the calibration data. With this information, the overlay eπor of device representing targets in the field can be determined (the misalignment between the first and second layers).
The relationship generally indicates differences found between the overlay eπor at any two points in the field. For example, the relationship may include the offsets between any two points in the field. With this information, a better prediction of the overlay may be found during production. In general, the process includes calibrating back the difference or offset between the process robust target and the real device at different places across the field. That is, the overlay eπor of the process robust target in production may be adjusted based on the differences, as for example, the differences between a calibrated process robust target located in the scribeline and a calibrated device representing target located in a region proximate the location of a device structure. For example, the differences in overlay found between the process robust target and device representing target during calibration may be subtracted from the overlay eπor of the process robust target measured in production in order to remove additional eπors that are inherent in the measurement. As should be appreciated, the additional eπors are generally due to the fact that the measurement is based on a process robust target in the scribeline, and the desired overlay data is for a device structure located somewhere else other than the scribeline (different structure and a different location). In essence, two coπections have to be made 1) have to coπect for the fact that this is a process robust target and not necessarily a device representing structure and 2) have to coπect for the fact that the process robust target is in the scribeline and not at other points in the field. In one embodiment, all the data points in the calibration data are measured up front and loaded into a database directly for future use (previous to a production run) or can be parameterized or mathematically transformed into a set of parameters In one implementation, the calibration data also includes the differences between the data points and thus the analysis block only has to compare the differences with the measured production data. In another implementation, only the raw data is stored and thus the analysis block 28 also includes looking up the raw data and calculating the differences.
In another embodiment, after the abeπation effects on the design rules are measured with the device representing structure (DRS) marks and the calibration data is known, the production wafers have process robust structures (PRS) (Layer 1) and (PRS) (Layer 2) marks in the scribeline following the standard overlay sample plan. These marks are measured and their overlay values are used to calculate the coπectables using the database and a methodology to allow for minimum overlay in the field for all the devices with their coπesponding design rules.
After block 28, the process flow proceeds to block 34 where the optimal coπectables are calculated. The term coπectables generally refers to data that may be used to coπect the alignment of the tool to improve the control of subsequent lithographic patterning with respect to overlay performance. In essence, the coπectables allow the wafer process to proceed within desired limits, i.e., provides feedback and feed forward to get the tool better aligned. The calculations are generally performed using the overlay information from block 28 and process data from block 32. The process data 32 generally includes data associated with the production process. By way of example, the process data may include information regarding the printed device structures, the layers in which the device structures are printed, the processing conditions, stepper and masks used to form the device structures, and/or the like. As should be appreciated, the process data helps to form better coπectables. The coπectables are generally calculated using conventional techniques that are well known in the art. However, it should be noted that although the techniques are conventional the coπectables that are calculated herein are less than conventional. In the past, the coπectables were simple and limited and failed to incorporate the subtleties of the stepper and the device structure. It didn't matter, if production was done at the via or poly level, the methodology of calculating the coπectables would be the same. In contrast, using the above technique, the coπectables can be substantially improved utilizing more information.
Although block 34 is described as calculating coπectables, it should be noted that block 34 may also be used for lot disposition metrics. The term lot disposition metrics generally refers to data that may be used to determine whether the quality of the lithographic pattern meets specified requirements (e.g., whether the wafers should be reworked or not).
Fig. 4 is a flow diagram of a calibration method 40, in accordance with one embodiment of the invention. The calibration method is configured to produce calibration data. The calibration data may be used during production to make better predictions of the overlay eπor. In most cases, the calibration method is performed before a production run. The calibration method may be implemented once or periodically. By way of example, the calibration method 40 may generally coπespond to block 30 in Fig. 3. The calibration method 40 generally begins at block 42 where a characterization reticle is provided. The characterization reticle is generally provided by the overlay tool manufacturer, although this is not a requirement. The characterization reticle generally includes a large aπay of overlay target patterns located across the entire characterization reticle surface. The patterns may be based on process robust and/or device representing designs. In most cases, the characterization reticle includes a combination of process robust and/or device representing designs.
The type of overlay target patterns may be widely varied. For example, they may be conventional box in box or related targets (e.g., box in bar, bar in bar and the like) and/or they may coπespond to periodic structures such as those found in U.S. Pat. Application No: 09/894,987 to Ghinovker et al., titled "OVERLAY MARKS, METHODS OF OVERLAY MARK DESIGN AND METHODS OF OVERLAY MEASUREMENTS", filed on June 27, 2001, which is hereby incorporated by reference (or equivalents thereof). The method of designing the reticle may be widely varied. In one particular embodiment, the method includes: 1) establishing device representing targets that act most like the device structures; 2) establishing process robust targets that can withstand the process; and 3) distributing the targets across the reticle surface.
The step of selecting the targets generally includes: 1) designing device representing and process robust targets with a range of spatial characteristics (e.g., line width, pitch, duty cycle) and shapes (e.g., box-in-box, lines/spaces aπays, hole aπays, etc.); 2) running the device representing and process robust targets through a process with various permutations of the process (e.g., exposure, focus, etc.); 3) measuring the targets; and 4) determining which device representing targets performed more like the device structure at its location in the field and determining which process robust targets changed the least across the widest range of conditions. The design of the targets may be based on the device structures themselves (e.g., dense lines, isolated lines, vias, contacts, etc.). That is, they may be designed with similar features. The device representing and process robust targets may be run on the same test wafer or they may be run on different test wafers. In most cases, they are run on different wafers. Further, the targets are typically measured using conventional overlay metrology tools. Further still, the most device representing targets are typically determined by comparing the device representing targets with an actual device structure. The device representing targets that stay faithful to the device structure are selected for the reticle, i.e., the targets that change (shift) in a similar manner as the device structure for various permutations of the process. The manner in which the device structures change may be determined using a variety of validation techniques, as for example, SEM, CD-SEM, Cross sectional SEM, AFM, HRP or the like.
The step of distributing the targets may be widely varied. The generally concept is to cover the widest range of possibilities. For example, there may be hundreds to thousand of process robust and device representing targets located across the entire reticle surface. In one embodiment, the test reticle is very general and would be applicable for a broad range of design rules, exposure conditions, process layers, etc.. In another embodiment, the test reticle is configured to cover a particular design rule, i.e., it may create better data if the test reticle is designed for a specific design rule rather than for universal use.
In another embodiment, the calibration marks reticle is composed of specifically designed areas for different lithography processes. During the exposure of the specific layer, the non-relevant reticle areas are bladed (i.e. not exposed). This way the exposure can be stepped over the field with different exposure conditions saving the cost of developing and reducing the measuring of the calibration database.
After block 42, the process flow proceeds to block 44 where the overlay target patterns are transfeπed onto a calibration wafer. In most cases, the calibration wafer is a resist/resist wafer and thus by fransferring, it is generally meant that the characterization reticle is exposed to illumination thus printing the patterns onto the resist/resist wafer. This is generally accomplished many times across the entire wafer surface, thus filling the wafer with a large number of overlay targets. This process maybe repeated on a series of calibration wafers using different resist layers, different stepper settings (e.g., illumination, focus, etc.), different steppers and/or the like. For example, a first wafer may be produced with a first stepper setting, a second wafer may be produced with a second stepper setting and so on or a first wafer may be produced with a first stepper, a second wafer may be produced with a second stepper and so on (or a combination of both). The number of calibration wafers may be widely varied. The number generally depends on the type of processing to be performed in production. It should be noted that resist/resist wafers are not a limitation and that the patterns may be transfeπed to other process layers. However, resist/resist wafers are typically used since they are easy to measure (e.g., they are not adversely impacted by other process layers).
In one embodiment, a series of wafers is produced for various stepper settings By way of example, a series of wafers may be produced for various illumination settings of a single stepper. Steppers generally have complex control over how they illuminate the field, i.e., steppers generally have different illumination set-ups for different types of layers, i each of these set-ups, the stepper may illuminate different portions of a lens thereby changing the impact of abeπations on the field (e.g., if light is going to different parts of a lens or at different angles, then the field is going to feel the effects of different abeπations). It should be noted that illumination settings is not a limitation and that other stepper parameters may be used to produce a different series of wafers. For example, Numerical aperture, focus, etc. In another embodiment, each wafer of the series of wafers represents a different stepper. As should be appreciated, each stepper has its own signature (or calibration) which may or may not be specific to a particular set of processing conditions.
After block 44, the process flow proceeds to block 46 where the overlay eπor of the targets on the wafer are measured. This is generally accomplished using conventional techniques that are well known in the art. By way of example, the techniques may be based on imaging, scanning, scatterometry and the like. The targets are measured at many locations within the field. For example, the targets are not only measured at the four corners as is conventionally done, but also across the field. In one embodiment, the reticle is built so that all the targets have offsets set at zero. This is generally done so that the measured offset is the actual offset created during processing (e.g., result of the abeπations and/or distortions).
An embodiment of the above technique can be described as follows: Since the abeπation field is a slowly varying function, the scanner field is sampled with a series of repeat units. Each repeat unit is composed of dense mark clusters (DMC). The DMC units are a set of one-layer metrology marks sampling a design rule device representing feature versus a process robust feature. Another set of DMC units sample design rule device representing feature versus another design rule device representing features. This emulates the behavior of layer one features versus layer two features on layer one resist. Most of these marks are used for sanity checks during calibration measurements.
After block 46, the process flow proceeds to block 48 where the overlay eπor of the measured targets are calibrated against each other. By calibration, it is generally meant, the offsets between any two targets are compared to determine known eπors therebetween. That is, the relative difference between the offsets of any two targets are found and thereafter characterized relative to one another. This is typically done across the entire exposure field so as to produce a cross reference matrix where each target is calibrated against every other target. In most cases, the process robust targets are calibrated against the device representing targets. For instance, the overlay of one or more process robust targets at the scribeline may be calibrated against a plurality of device representing targets located at different locations within the field, as for example, in the scribeline or in areas of the device structures, hi essence, the differences map the relative impact of the stepper characteristics on the process robust marks as compared to the device representing marks across the entire field. That is, these two types of targets are coπelated with each other as a function of the stepper characteristics (e.g., stepper, position in field, illumination, etc.). After the differences are determined, the calibration data is stored, as for example in a calibration database, or fit to modeling equations after which the equations and/or the coefficients of the equations are stored, or mathematically transformed to another representation which is stored.
It should be noted that calibrating process robust targets against device representing targets is not a limitation and that device representing targets may be calibrated against other device representing targets and process robust targets may be calibrated against other process robust targets. This is sometimes done to implement self calibration.
Additionally, it may not be conceivable to calibrate every combination of possible targets, and thus an interpolation step may be included in the above calibration method. As should be appreciated, interpolation can predict a virtually infinite number of overlay values using a set of finite overlay values. That is, using a limited number of measured points, the overlay can be predicted at any point in the field, not just at the points measured. Interpolating may be performed using simulation techniques, standard algebraic interpolation procedures, or mathematical transformations. Fig. 5 is a flow diagram of overlay coπection analysis 50, in accordance with one embodiment of the present invention. By way of example, overlay coπection analysis 50 may be implemented in the analysis block 28 of Fig. 3. Overlay coπection analysis 50 generally begins at block 52 where the overlay eπor of a process robust target in the scribe line is provided. This is generally accomplished by measuring a process robust target using conventional overlay measuring techniques. After block 52, the process flow proceeds to block 54 where the overlay eπor of a virtual device representing target located in the scribe line is determined using calibration data and the measured process robust target. By virtual it is meant that the device representing target exists in effect though not in actual fact. This determination is generally accomplished by matching the measured process robust target with a similar process robust target that was previously calibrated against a device representing target in the scribeline. Thereafter, the calibrated differences between the overlay of the calibrated targets are subtracted or added into the overlay eπor of the measured process robust target to determine the overlay eπor of the virtual device representing target that would have been printed in the scribeline. After block 54, the process flow proceeds to block 56 where the overlay eπor of a second virtual device representing target located at a point in the field is determined using the calibration data. This is generally accomplished similarly to above by matching the virtual device representing target found in block 54 with a similar device representing target in the scribeline that was previously calibrated against a device representing target at a point in the field. Thereafter, the calibrated differences between the overlay of the calibrated targets are subtracted or added into the overlay eπor of the first virtual device representing target to determine the overlay eπor of the second virtual device representing target that would have been printed in the field. Although the first and second virtual device representing targets are not the actual device structures, they are configured to act in a similar manner and thus they can be used to better predict what is happening with the device structure at the location of the device structure. As should be appreciated, the above methodology may be used for more than one target, i.e., the overlay eπor of the device structure at the field may be determined from process robust targets at the four corners of the field. The results of the four coπected eπors are then averaged out to form a more accurate overlay value. Fig. 6 is an illustration showing one implementation of the method described in Fig. 5. The illustration is a close up view of a production wafer 60 having a die 62 being formed thereon. The method generally begins by measuring a process robust target 64 located in the scribeline 66 around the die 62. The process robust target 64 generally consists of a first process robust structure 64A located on a first layer and a second process robust structure 64B located on the second layer of the production wafer 60 (structures located in the first layer are cross hatched and structures located in the second layer are filled in). Thereafter, the measured process robust target 64 located in the scribeline 66 is converted into a virtual device representing target 68 in the scribeline 66. This is typically performed by translating the first process robust structure 64A at the first layer to a first virtual device representing structure 68A at the first layer and by translating the second process robust structure 64B at a second layer to a second virtual device representing structure 68B at the second layer using the calibration data. Thereafter, the virtual device representing target 68 located in the scribeline 66 is converted into a virtual device representing target 70 located within the die 62. This is typically performed by translating the first virtual device representing structure 68A at the first layer to a third virtual device representing structure 70A at the first layer and by translating the second virtual device representing structure 68B at a second layer to a fourth virtual device representing structure 70B at the second layer using the calibration data, hi most cases, the calibration data is stored in a conversion table so that the conversions can be accomplished using a simple matching procedure. Thereafter, the overlay eπor of the virtual device representing target 70 located within the die 62 is calculated, i.e., the offset between structures 70A and 70B. The same process may be performed for process robust targets 72, 74 and 76, which are located in the scribeline at the other corners of the die 62. The overlay eπor calculated from each corner may be averaged to produce a better prediction of the overlay eπor. As should be appreciated, the overlay eπor of the device structure cannot be easily determined and thus it is estimated or predicted with overlay eπors that can be determined.
Fig. 7 is a top plan view of a "dual pattern" overlay target 130. By way of example, the target may be found in U.S. Pat. Application No: 09/894,987 to Ghinovker et al., titled "OVERLAY TARGETS, METHODS OF OVERLAY TARGET DESIGN AND METHODS OF OVERLAY MEASUREMENTS", filed on June 27, 2001, which is hereby incorporated by reference. Overlay target 130 is shown in a configuration that results when the tested layers of a wafer are in perfect alignment. The overlay target 130 is generally provided to determine the relative shift between two or more successive layers of a wafer or between two or more separately generated patterns on a single layer of a wafer. For ease of discussion, the overlay target 130 will be described in context of measuring overlay between different layers of a substrate. It should be noted, however, that the overlay target in this figure may also be used to measure two or more separately generated patterns on a single layer of a substrate.
The overlay target 130 includes a plurality of working zones 132 for determining the registration eπor between two wafer layers in two different directions. In the illustrated embodiment, the overlay target 130 includes eight rectangularly shaped working zones 132, which are configured to substantially fill its perimeter 71. The working zones 132 represent the actual areas of the target that are used to calculate alignment between different layers of the wafer. As mentioned previously, the working zones 132 are spatially separated from one another so that they do not overlap portions of an adjacent working zone, hi this particular configuration, some of the working zones are separated via exclusion zones while other working zones are positioned next to an adjacent working zone. For example, working zone 132B is separated from working zones 132 E and F via an exclusion zone 133 while working zones 132E and F are positioned next to one another at their edges.
To facilitate discussion, the working zones 132 are grouped into a first working group 134 and a second working group 136. The first working group 134 includes four working zones 132A-D that are configured to provide overlay information in a first direction. By way of example, the first direction may be the Y direction. Of the four working zones 132A-D, two of them 132A and D are disposed in the first layer and two of them 132 B and C are disposed in the second layer (the first layer is represented by cross hatching, the second layer is represented by no cross hatching). As should be appreciated, for this target configuration and in the case of zero overlay eπor (as shown), the centers of symmetry 135 of working zones 132A&D and working zones 132B&C coincide exactly. The second working group 136 includes four working zones 132E-H configured to provide overlay information in a second direction that is perpendicular to the first direction. By way of example, the second direction may be the X direction. Of the four working zones 132E-H, two of them 132 E and H are disposed in the first layer and two of them 132 F and G are disposed in the second layer (the first layer is represented by cross hatching, the second layer is represented by no cross hatching). Similarly to the above, for this target configuration and in the case of zero overlay (as shown), the centers of symmetry 137 of working zones 132E&H and working zones 132F&G coincide exactly.
As should be appreciated, each of the groups 134 and 136 represents an "X" - configured target (albeit offset). For example, working group 134 includes working zones 132A&D, which are on the same first layer and in diagonally opposed positions relative to one another, and working zones 132B&C, which are on the same second layer and in diagonally opposed positions relative to one another. Further, working zones 132A&D are angled relative to working zones 1322B&C. Further still, working zone 132A is spatially offset from working zone 132D, and working zone 132B is spatially offset from working zone 132D.
In addition, working group 136 includes working zones 132E&H, which are on the same first layer and in diagonally opposed positions relative to one another, and working zones 132F&G, which are on the same second layer and in diagonally opposed positions relative to one another. Further, working zones 132E&H are angled relative to working zones 1322F&G. Further still, working zone 132E is spatially offset from working zone 132H, and working zone 132F is spatially offset from working zone 132G. In essence, this particular target produces two "X" configured targets that are positioned orthogonal to each other, i.e., working group 194 and working group 196.
To elaborate further, a working zone on one layer is generally juxtaposed relative to a working zone on another layer. For example, in the first working group, working zone 132A is juxtaposed relative to working zone 132B and working zone 132C is juxtaposed relative to working zone 132D. Similarly, in the second working group, working zone 132E is juxtaposed relative to working zone 132H and working zone 132F is juxtaposed relative to working zone 132G. Of the two juxtaposed pairs, the working zone on the second layer is typically positioned closer to the center of the FOV than the working zone on the first layer. For example, working zones 132B and C and working zones 132 F and G are positioned closer to the center 142 of the FOV 144 than their juxtaposed working zones 132A and D and working zones 132 E and H, respectively. Furthermore, within each of the working groups, the juxtaposed pairs are positioned in an opposed relationship (e.g., diagonal) relative to the other juxtaposed pair in the group. For example, juxtaposed pairs 132A&B are positioned opposite juxtaposed pairs 132C&D, and juxtaposed pairs 132E&F are positioned opposite juxtaposed pairs 132G&H.
As should be appreciated, in this particular target, the configuration of the working zones is rotationally symmetric (± 90, 180, 270, 360 degrees around the center of the target). This is typically done to reduce the impact of radial and axial variations across the field of view of the metrology tool, as for example, radial and axial variations caused by non-uniform optical abeπations and illumination that may cause tool induced shifts (TIS). Radial variations generally refer to variations that radiate from the center of the target to the outer regions of the target. Axial variations generally refer to variations that occur in directions along the axis of the target, as for example, in the X direction from the left to the right portions of the target, and in the Y direction from the lower to the upper portions of the target.
Each of the working zones 132A-H includes a periodic structure 138 comprised by a plurality of coarsely segmented lines 140. The linewidths, D, and spacings, s, of the coarsely segmented lines may be widely varied. As shown, each of the periodic structures 138 substantially fills the perimeter of its coπesponding working zone 132. As should be appreciated, the periodic structures 138 are also disposed on the layer of its coπesponding work zone 132.
For ease of discussion, the periodic structures 138 may be broken up into a first periodic structure 138A that is associated with the first working group 134 and a second periodic structure 138B that is associated with the second working group. As shown, the first periodic structures 138A are all oriented in the same direction, i.e., the coarsely segmented lines 140 are parallel and horizontally positioned relative to each other. The second periodic structures 138B are also all oriented in the same direction (albeit differently than the first periodic structures), i.e., the coarsely segmented lines 140 are parallel and vertically positioned relative to each other. As such, the periodic structures 138A in the first working group 134 are orthogonal to the periodic structures 138B in the second working group 136.
In one embodiment, the coarsely segmented lines of juxtaposed periodic structures are aligned with one another, i.e., if we ignore the different layers they appear to be continuous gratings. For example, the coarsely segmented lines of working zone 132A may align with the coarsely segmented lines of working zone 132B and coarsely segmented lines of working zone 132C may align with the coarsely segmented lines of working zone 132D. In addition, the coarsely segmented lines of working zone 132E may align with the coarsely segmented lines of working zone 132F and coarsely segmented lines of working zone 132G may align with the coarsely segmented lines of working zone 132H.
One advantage of these new overlay structures is that they can be chosen so that two adjacent structures will not have translational symmetry between them, since one can be right handed and the other left handed. As such, they can be placed without any separation between them without risk of incoπect acquisition by the overlay metrology tool resulting in the report of an incoπect metrology result due to measuring the wrong target. This feature allows the maximum possible utilization of available scribeline space for metrology purpose.
A methodology using the overlay target (or equivalents thereof) in accordance with the methods described previously will now be described. A Pattern Placement Eπor (PPE) characterization reticle is manufactured in which the exposure field is filled with multiple "dual pattern" overlay targets. These dual pattern overlay targets have both the "inner and outer" working zones in the same reticle but with different combinations of periodic structures for the inner and outer working zones. These structure combinations may have, for instance, different pitches varying from as close as possible to the dense aπay to larger, higher contrast structures designed to survive specific processes to structures very close to the periodicities of the exposure tool alignment targets. These structures are printed in the reticle with zero nominal overlay eπor between the inner and outer working zones. Any measured overlay is now the result of the differing impact of exposure tool lens abeπations or distortions on the different periodic structures (or aperiodic, isolated, etc...).
By measuring the overlay resultant from multiple structure combinations from multiple positions across the reticle field a PPE cross-reference matrix can be constructed, which is stored in the analysis database either directly as overlay data, or parameterized, or transformed. This cross reference matrix can be used to map measured overlay from a target to determine the best possible exposure tool coπectible for overlay of dense aπay structures when the overlay target that was used to make the measurement had a periodicity different to that of dense aπay since it's parameters were optimized for process robustness. Alternatively, the cross reference matrix can be used to select the overlay target with the minimum PPE offset from the device structure while ascertaining the size of the anticipated eπor. This can be used in overall metrology eπor budgeting.
Another methodology could be to utilize a lithography modeling tool such as PROLITH from KLA-Tencor in order to interpolate the anticipated PPE for different device structures between those actually included in the PPE reticle. The interpolation can take several forms including simulating targets whose internal dimensions are intermediate to those actually measured or simulating targets whose locations are intermediate to those actually measured, or the like, hi this way a finite number of overlay structures can be measured and the results used to generate the PPE coπections for virtually an infinite number of device structures, locations, etc.. These outputs can be used to "tune" the stepper coπectables or the lot dispositioning output for different structures in the field via analysis software. In this way the periodic (or aperiodic) structure of the overlay target for the underlying layer can in most cases be chosen to be optimized for process robustness on that layer. Since the matrix contains scribe line measurements as well, coπelation between later scribe line results to in- chip results can be made.
This methodology will now be described in accordance with one embodiment of the invention. Suppose the PPE characterization reticle contains overlay targets with different types of structures in the inner and outer working zones, covering the bulk of the exposure field each placed with nominally zero overlay between the inner and outer structures. Let us denote by (x, y) the position of a target in the field. Let us also suppose that there are D different structure types for the inner part of the target and P different structure types for the outer part. For clarity, let the inner structures be designed to be device representing structures (DRS), while the outer structures be chosen to be process robust structures (PRS). Generally speaking, these two sets of structures are different. Let us enumerate the set of device representing structures by the index d (d=l,2, ...,D), while we shall use index p for the process robust structures (p=l,2, ...,P).
Now suppose we wish to measure overlay between two layers LI and L2. Independently of the PPE coπection (i.e., regardless of the absolute accuracy of the targets), the user determines the prefeπed most process robust structure (out of the outer set) and which is the most device representing structure (out of the inner set) for both layers LI & L2. Methodologies for making these selections are described below (we shall choose the process robust structures based on criteria of process survivability and resistance to process induced distortion, and the device representing structures as the ones which most closely mimic the abeπation dependence of the actual device geometries). Suppose that for layer 1 we select the best device representing structure and assign it the name dl, and the most process robust structure is assigned the name pi. Similarly, for layer 2, we select the most device representing structure d2 and the most process robust target p2.
Next, the PPE reticle is used to manufacture two wafers with multiple resist/resist overlay targets filling the field, one using LI lithographic exposure conditions and one using L2 conditions, hi principle it may be possible to expose different dies on the same wafer with the two different sets of lithographic parameters. We now carry out a set of overlay measurements as follows. All targets on wafer LI of the chosen type (fdl,plJ,i.Q. comprising LI DRS versus LI PRS ) are measured across the field and the vector field OVL i, pl(x,y) is determined at device and scribeline locations. Similarly, all targets of type [d2,p2J on the L2 wafer are measured and OVLd2,p2 (x,y) is determined at device and scribeline locations. These measurements allow the abeπation induced PPE variations across the field of LI and L2 layer optimized targets to be measured. This completes the pre-production or periodic calibration part of the measurement. We now know that if we measure a process tolerant target composed of a first layer target pi and a second layer target p2, that the device representing target at that location would have given an overlay eπor
OVLdevice(x,y) = OVLtarget(x,y) - OYLdi,pι(x,y) - OVLd2,p2 (x,y).
At the product measurement stage, the user measures the real overlay target on the wafer. This overlay target is printed to measure the overlay between the two layers LI and L2, consisting only of PRS structures, (i.e., of type (fpl,p2J). This overlay target is situated at the position (x y ') typically in the scribeline on the wafer, while the user is interested in measuring overlay for the device features at the point (x,y).
We will now describe how this new technique allows the user to quickly determine the device overlay at any point in the field given the process tolerant measurements in the scribe line, the PRS to DRS calibration data across the field, and the usual stepper matching data.
Suppose the overlay measurements of this real target produced the result OVLtarget (x',y j= OVLpl)p2 (x', yj. hi order to calculate the real device overlay at the device position (x,y) on the basis of the real target measurement at the target location (x y '), we need to carry out the following transformation:
OVLdevice (x,y)
Figure imgf000039_0001
t (device-target, x',y') - Cι(x,y,x',y') - C2 (x,y,x',y') Three coπections need to be applied to the measured overlay data measured in the scribeline.
Cdt (device-target, x',y'), the difference between the device representing target and the process tolerant target measured in the scribeline at coordinates (x',y') is simply the shift we have discussed above:
Cdt (device-target, x',y') = OVLdι,pι(x',y') + OVLd2,p2 (x',y') This term accounts for the device dependent shift of both the first and second layers of the process robust target in the scribeline.
C^Xj^x'jy') coπects for the difference in overlay eπor in the printing of the device representing target in layer 1 in going from the measured coordinates (x',y') to the actual device coordinates (x,y). If there were no lens abeπations, this number would be zero for all targets, and the lens would print an ideal grid, hi the presence of real lens abeπations, this value is non-zero. The main contribution to this position dependent shift is a simple translation of all features, characterized by the Zernike coefficients Zl and Z2 for x and y translation, respectively. Pattern specific offsets are also induced by the higher order Zernike terms.
Similarly, C2 (x,y,x',y') coπects for the difference in overlay eπor in the printing of the device representing target in layer 2 in going from the measured coordinates (x',y') to the actual device coordinates (x,y).
The coπection factors C\ and C2 can be determined in one of several ways.
1) Stepper matching using the Device Representing Structure (DRS). If the steppers are matched to each other (or, equivalently, if each stepper is matched to a reference stepper or set of reference wafers), then the terms and C2 are known explicitly from the matching data. This is a mathematically simple approach, but impractical in production since it would require performing the stepper matching procedure with a wide range of DRS targets to represent different device representing geometries. Fortunately, our calibration scheme can be easily extended to eliminate this requirement for multiple matching databases. 2) Stepper matching using traditional large area matching targets, i this case, the targets are sufficiently large as to be insensitive to most of the higher order abeπation terms. Examples of these large matching targets can be included on a PPE calibration reticle for explicit calibration, or one may use data from a PRS of very large size so that it is equally sensitive to lens abeπations as the large stepper matching target. Alternatively, one can use measured stepper lens abeπations (Zernikes) to model the relative abeπation sensitivity of the large, stepper matching targets relative to the PRS and DRS targets.
3) Stepper matching using a PRS. This approach eliminates the need for any Zernike coπection of assumptions of equivalent abeπation sensitivity, and provides the most accurate, easily calibrated results..
Knowing all of the coπection terms, we can now write an equation for the device representing overlay at any point (x,y) in the integrated circuit based on the calibration data and the measurement of the PRS target at the scribe line location (x', y'):
OVLdevice (x,y) =OVLtarget (x',y')- OVLdl, pl(x',y') - OVLd2,p2 (x',y') - OVLdl, ml(x',y') - OVLd2; m2(x',y')+ SMl(x,y,x',y') +SM2 (x,y,x',y') - OVLdl,ml(x,y) - OVLd2, ^(x.y)
Where
Figure imgf000041_0001
is the difference in measured overlay eπor for layer 1 at position (x,y) between a device representing structure and the matching structure, OVLdi,ml(x',y') is the difference in measured overlay eπor for layer 1 at position (x',y') between a device representing structure and the matching structure, and similarly for layer 2. And where SMl(x,y,x',y') is stepper matching term between stepper 1 and the golden referenceand SM2 (x,y,x',y') is is stepper matching term between stepper 2 and the golden reference.
Now some methods for establishing the most process robust structures will be discussed in accordance with several embodiments of the invention. A first approach is to print the series of PRS targets on a test vehicle wafer using the particular process being optimized, as is commonly in practice today. There are several possible criteria by which optimal process robustness could be determined. For example, the structure which shows the minimum tool induced shift variability across multiple fields across the wafer could be selected. An alternate criterion could be the difference between the measurements carried out after resist develop and measurements carried out after etch.
The best device representing structure (DRS) may also be achieved by various methods. In one embodiment, the best DRS is selected by comparing overlay results with CD-SEM or AFM measurements of device representing structures. Such CD- SEM or AFM measurement may also be carried out directly on the overlay metrology features, such as those described in U.S. Pat. Application No: 09/894,987 to Ghinovker et al., titled "OVERLAY TARGETS, METHODS OF OVERLAY TARGET DESIGN AND METHODS OF OVERLAY MEASUREMENTS". Alternatively, the best DRS could be determined by selecting the structure with the minimum deviation in the Fourier domain between the DRS and the device structure itself. The device structure could be obtained from a standard CAD drawing of the device such as a *.gds (or other standard) file.
One problem that may be encountered using conventional measurement techniques associated with SEM and AFM is to find, in the design of the device, two overlapping structures from the two layers where misregistration is measured. Not only, that such overlap may not exist, but where it does, its field location may vary from device to device, preventing straight forward comparison. It should be noted that in general overlay varies with field position. Another problem is that the two layers of interest may be separated by a layer which is not transparent to the SEM or that does not allow the AFM to probe the underlying structures, hi such a case the work around is a SEM cross-section. This procedure is destructive, time consuming and does not always allow for choosing the measurement location. Typical critical alignment schemes limited by this problem are Contact-to-Polysilicon and Via-to-Metal. For more details, please refer to "Comparison of Optical, SEM, and AFM Overlay Measurement", V.C. Jaipraksh and C.J. Gould, SPLE vol.3677 (1999) p. 229, which is incorporated herein by reference. hi one embodiment, a method is provided to establish a coπelation between overlay measured optically in the scribe line and an estimate of overlay at device level using Scanning Electron Microscopy (SEM) and Atomic Force Microscopy (AFM). In order to overcome the aforementioned problems, the method generally includes designing a standardized test cell with device representing structures, which are suitable for SEM and AFM overlay measurements. The cell may contain a single structure or a variety of structures addressing a range of dimensions and densities as present in the device itself. The cell can be placed next to an overlay metrology mark or wherever in the field, as design allows. These type of overlay measurements are typically performed after etch, although this is not a requirement.
In one implementation, the standardized test cell suitable for SEM and AFM overlay measurements is configured with an etched upper layer that does not hide the features of the under layer. By way of example, if the etched layer is exposed through a light field reticle, the design of the overlay structure may consist of bars of the etched layer placed at some nominal distance of a bar or hole of the underlying layer. Deviation from that nominal distance is the overlay. This can be done on two structures to reflect overlay in both x and y directions. Figs. 8A-H show several cases when the etched upper layer does not hide features of the under layer. In all the Figures, a texture convention is followed. Cross hatching is for the under layer structure (UNLS) and solid lines is for the upper layer structure (UPLS). The examples show a combination of device representing (DR) lines, larger-than-DR lines, isolated and dense lines.
In another implementation, the standardized test cell suitable for SEM and
AFM overlay measurements is configured with an etched upper layer that hides features of under layer. By way of example, if the etched layer was exposed through a dark field mask, then most of the layer remains unetched and does not allow the SEM or AFM to relate to the underlying layer. For such layers, the only way to measure overlay is through an etched hole or trench. Since the direction of overlay is not known a priori, both edges of the underlying structure should be visible through the hole. If design rules permit, the overlay structure should have a hole or trench of lateral dimension larger than the width of the underlying structure. Figs. 9A-H show several cases where the etched upper layer does hides features of the under layer. In the following drawings, the under layer structure (UNLS) can be seen as trenches in white over a crosshatched background or as white lines over a cross hatched background. The first option fits, for instance, the metal layer of a dual-damascene process. The second option fits, for instance, the metal layer of an Aluminum based process. The UPLS is a hole or trench (as in, for instance, the metal layer of a dual- damascene process) in solid on white background.
If the structures described above violate design rule, the overlay structure will have holes or trenches nominally centered on both edges of the underlying line. A shift of location in the orthogonal direction may be needed between left-edge and right-edge structures for layout purpose. Figs. 10A-10F. Here again x and y overlay are treated separately.
As should be appreciated, overlay is generally defined by two length measurements performed with SEM or AFM. The definition of overlay when the etched upper layer does not hide features of under layer is the offset between the centers of symmetry of the featureson the upper versus underlying layer.
Furthermore, the standard structure allows to automate the task of measurement and apply it to a variety of devices for a given process flow. It also allows to map the field and gives the possibility to compare results from different locations, wafers or devices. The design of an integrated circuit includes different linewidths and line densities. This cell gives the flexibility to place the various combinations of design rules and densities. The structures created to solve the transparency problem cannot be found in a design layout. They are specially introduced for the purpose of measuring overlay. The measurement cell can be placed anywhere in the field and is not a function of a specific device design.
A test reticle, where the field is mapped with both optical overlay marks and special overlay marks for SEM or AFM, should be designed with the variety of design rules and feature density as in the process in development. Running this reticle at the various process conditions defining the process windows establishes a base line comparison between optical overlay, that may be measured at the high- volume production stage on one hand, and intrafield variation of overlay for the relevant types of device representing features on the other hand. This baseline may be incorporated into the lot disposition criteria for optical overlay results.
At the high- volume production stage, a small set of special overlay marks for
SEM or AFM should be placed in the scribeline, next to optical overlay marks. The coπelation between the two types of marks should be periodically measured. The coπelation to the baseline defined at the process development stage tends to hold as long as this scribeline monitor stays stable with respect to the defined process window.
An additional challenge faced when trying to achieve the best device representative structure is the trade-off between minimum contrast requirements for the target as measured on the overlay tool and exact replication of the device PPE. The inclusion of even small changes to the periodic structure from that of the device, in order to be able to resolve edges using the metrology tool, induces some residual PPE deviations from the device itself. A number of strategies can be used to minimize this. One enhancement to the above technique in order to address this issue can be achieved as follows. The device representing structure is patterned in resist on a test wafer in a dual reticle sequential exposure. In the first reticle the selected device structure in the layer is accurately replicated in one working zone of the overlay target. In the second reticle, a coarse periodic structure is overlayed on top of this device replicating structure. The two reticles are sequentially exposed and developed, hence avoiding the proximity effects generally associated with the coarse periodic structure which shift the resolved edges in the overlay target in a way unrepresentative of the device.
An alternative solution to this problem which does not require a dual reticle exposure is to reduce the width of the coarse modulation structure of the device representing structure. At sufficiently small line or trench widths, the PPE perturbation is diminished. There is a trade-off for this technique since sufficient contrast must remain in the image obtained by the overlay metrology tool which ensures the minimum signal to noise ratio to achieve required measurement precision. This trade-off is demonstrated in Fig. 16 which shows the effect on the Pattern Placement Eπor of perturbing the width of a single space in a 150/150 nm line/space periodic structure. The simulation results were achieved using PROLITH Tool Kit lithography simulation software from KLA-Tencor. The Scanner model used for this simulation was adopted for AT: 1100 ArF TWLNSCAN System of ASML (Wavelength 193 nm, NA=0.75, Sigma =0.25, conventional illumination source). The PPE were calculated for two abeπation conditions: 3rd order coma equal to 50 miliwaves and 3rd +5th order comas, each equal to 50 miliwaves.
An additional methodology that can be used to diminish the perturbation due to the coarse structure which is added in order to achieve contrast in the metrology tool image is the application of optical proximity coπections. The idea is to construct the target in such way so as to minimize perturbation in the Fourier domain. As an example, the addition of sub printable scatter bars within the space opened up inside the coarse periodic structure at the same pitch as the device representing periodic structure with differing duty cycle, can also diminish the pattern placement eπor perturbation while maintaining reasonable metrology image contrast. Figs. 16 and 17 illustrate the implementation of this approach. The simulation results demonstrated in Figure 16 were calculated using the same ASML Scanner model described above. The results presented in Figure 17 were simulated, using real process parameter adjusted to a known lithographic process. The Scanner parameters were: Wavelength 193 nm, NA=0.63, Sigma =0.87/0.57, annular illumination source. The PPE were calculated for two abeπation conditions: 3rd order coma equal to 50 miliwaves and 3rd +5th order comas, each equal to 50 miliwaves.
Referring to Figs. 11-14, several overlay targets 170A-D in conjunction with the description above are shown. Each of these overlay targets 170 is similar to the overlay target shown in Fig. 7. As shown, each overlay target 170 contains eight working zones 172. The working zones 172 may be located in either a first layer or a second layer. The first layer is generally processed using a first set of lithographic parameters (LI), and the second layer is generally processed using a second set of lithographic parameters (L2). Each of the working zones 172 includes a periodic structure 174. The periodic structures 174 within the working zones 172 may be process robust structures (PRS) or device representing structures (DRS). Fig. 11 is a calibration overlay target 170A, i.e., a target that is used during calibration to form calibration data, in accordance with one embodiment of the present invention. The calibration overlay target 170 A comprises a L2 DRS periodic structure 176 in the outer working zone and a L2 PRS periodic structure 178 in the inner working zone. During calibration, target 170A is typically measured at device and scribeline locations. Although not a requirement, this target is typically placed on a resist only wafer, i.e., not fully processed.
Fig. 12 is another example of a calibration overlay target 170B, in accordance with one embodiment of the present invention. The calibration overlay target 170B comprises a LI PRS periodic structure 180 in the outer working zone and a LI DRS periodic structure 182 in the inner working zone. During calibration, target 170B is typically measured at device and scribeline locations. Although not a requirement, this target can typically be placed on either a resist only wafer or a fully processed wafer for this particular layer only.
Fig. 13 is an example of a calibration overlay target 170C, in accordance with one embodiment of the present invention. The calibration target 170C comprises a LI DRS periodic structure 184 in the outer working zone and a L2 DRS periodic structure 186 in the inner working zone. During calibration, target 170C is typically measured at device and scribeline locations. This target may be a validation target as well. Validation targets are typically not used for calibration but for verification of the OVL value obtained from LI and L2 structures and that can be coπected at all locations.
Fig. 14 is an example of a production overlay target 170D, i.e., a target that is used during production to measure overlay, in accordance with one embodiment of the present invention. The production overlay target 170D comprises a LI PRS periodic structure 188 in the outer working zone and a L2 PRS periodic structure 190 in the inner working zone. By way of example, the LI PRS periodic structure may be formed using a first set of lithographic parameters, and the L2 PRS periodic structure may be formed using a second set of lithographic parameters. During production, target 170D is typically measured only at scribeline locations. This target may be an anchor target. Anchor targets are targets that are not very sensitive to the higher abeπation orders.
In one embodiment, and referring to Figs. 11-14, the LI DRS periodic structures generally represents the most device representing structure for layer 1, i.e., a structure that best mimics what is actually happening with a device located on layer 1, and LI PRS periodic structure generally represents the most process robust structure, i.e., a structure that best withstands the process used on layer 1. Additionally, the L2 DRS periodic structure generally represents the most device representing structure for layer 2, i.e., a structure that best mimics what is actually happening with a device located on layer 1, and L2 PRS periodic structure generally represents the most process robust structure, i.e., a structure that best withstands the process used on layer 2. The DRS periodic structures may include finely segmented lines as shown.
Fig. 15 is a schematic presentation of an exemplary method of monitoring overlay 300, in accordance with one embodiment of the present invention. By way of example, the method 300 may generally coπespond to the methods described above. The method 300 generally consists of a calibration mode 302 and a production mode 304. The calibration mode 302 is configured to produce overlay calibration data that may be used in the production mode 304 to better predict the overlay eπor of device structures formed during the production mode 304, i.e., the calibration data is used to coπect the overlay eπor measured in the production mode. The calibration mode 302 is typically conducted before the production mode 304.
The calibration mode 302 begins by forming one or more test dies 306 on one or more test wafers 308. This is generally accomplished with test reticles whose patterns are repeatedly printed on the test wafers 308 (e.g., resist/resist wafers). As should be appreciated, each of the test dies 306 contains a plurality of targets 320 that coπespond to the target patterns located on the test reticles. The reticle patterns are typically printed on a plurality of test wafers 308 using different lithographic parameters. In the illustrated embodiment, the reticle patterns are printed on a first test wafer 308 A using a first set of lithographic parameters and the reticle patterns are printed on a second test wafer 308B using a second set of lithographic parameters. The lithographic parameters generally coπespond to the parameters that would be used during production for various layers of the device. For example, the first set of lithographic parameters may be used to form reticle patterns in a first layer (LI) and the second set of parameters may be used to form reticle patterns in a second layer (L2). The reticle patterns printed on each of these wafers may or may not be from the same test reticles. Although only two wafers are shown, it should be noted that this is not a limitation and that any number of test wafers 308 may be used.
The printed targets 320 within each of the test dies 306 may be widely varied.
For example, they may be anyone of the previously mentioned targets. In the illustrated embodiment, the targets coπespond to the style of targets shown in Figs. 11-14. Anyone of these targets may be used (e.g., PRS vs. PRS, PRS vs. DRS, DRS vs. DRS, etc.). However, in most cases, the PRS vs. DRS targets are used. As shown, the first test wafer 308A includes at least a first set of targets 320A, and the second test wafer 308B includes at least a second set of targets 320B. By way of example, the first set of targets 320A may coπespond to the target shown in Fig. 11 and the second set of targets 320B may coπespond to the target shown in Fig. 12.
After printing the targets, the targets are measured. The measurements are typically performed using conventional techniques that are well known. As shown, the targets are measured at device and scribeline locations 322 and 324, respectively.
The production mode 304, on the other hand, generally begins by forming one or more production dies 326 on a production wafer 328. This is generally accomplished with production reticles whose patterns are repeatedly printed across the production wafer 328. Each of the production dies 326 contains one or more device structures 330 and one or more targets 332 that coπespond to patterns located on the production reticles. The device structures are typically used to build a device, i.e., an integrated circuit, while the targets are typically used to ensure that the relative position of the device structures on adjacent layers are within desired limits. As shown, the targets 332 are placed around the periphery of the production dies 326, as for example, in the scribeline. The production dies 326 are typically formed in various layers on the production wafer 328 using multiple production reticles and multiple lithographic parameters. In the illustrated embodiment, a first die pattern is formed in a first layer (LI) using a first set of lithographic parameters and a second die pattern is formed in a second layer (L2) using a second set of lithographic parameters, hi most cases, the lithographic parameters used in the calibration mode are similar to the lithographic parameters used in the production mode.
The printed targets 332 within each of the production dies 326 may be widely varied. For example, they may be any one of the previously mentioned targets. In the illustrated embodiment, the targets coπespond to the style of targets shown in Figs. 13-16. Anyone of these targets may be used (e.g., PRS vs. PRS, PRS vs. DRS, DRS vs. DRS, etc.). However, in most cases, the PRS vs. PRS targets are used since they are robust to the process, i.e., the first PRS is robust to the process used to form the first layer (LI) and the second PRS is robust to the process used to form the second layer (L2). In one embodiment, the PRS vs. PRS target comprises a first PRS coπesponding to the PRS used on the first test wafer, and a second PRS coπesponding to the PRS used on the second test wafer. By way of example, the target may coπespond to the target shown in Fig. 14.
After forming the production dies 326, the targets 332 in the scribeline 334 are measured. The measurements are typically performed using conventional techniques that are well known. After measuring the targets 332, the production measurements are compared with the calibration measurements in order to determine the overlay eπor of a device structure 330 at a device location 336. As should be appreciated, the location of the calibration targets generally coπespond to the location of the production target 332 and device structure 330, and therefore the calibrated measurements may be used to coπect the production measurements. This is generally accomplished by performing a conversion as described in Fig. 5.
In one embodiment of the invention, a dense mark cluster measurement sequence may be performed by the metrology tool in order to reduce the time of measuring when measuring a great number of different of similar overlay marks. The dense mark cluster measurement sequence generally allows the user of the metrology tool to reduce the time of measuring by skipping the acquisition of and focus on the overlay mark by knowing the distance between the overlay marks in the cluster. The dense mark cluster measurement sequence is typically determined during the recipe train, i.e., the metrology tool is walked through a series of measurement steps from one overlay mark to another. The size of the dense mark cluster as well as the maximum distance between overlay marks may be widely varied. Both of these factors generally depend on the metrology tool used to measure the overlay marks, i.e., these factors are tool specific. Although not a requirement, it is generally believed that the greater the distance between marks, the greater the loss in the accuracy of the measurements. As such, the maximum distance is typically small. By way of example, and not by way of limitation, the cluster size may be between about 2 and about 100 marks, and the max. distance may be about 2 mm. Again, these values are not a limitation and generally vary according to the design of the metrology tool.
Referring specifically to the dense mark cluster measurement sequence, the first mark in the cluster is acquired & focused and the others are measured by blind stepping from the center of one mark to the subsequent mark. By blind stepping it is generally meant that the metrology tool moves from one point on the wafer to another point of the wafer without performing an acquisition and/or focus step. As such, the time the metrology tool typically takes to acquire and re-focus is saved. By way of example, for a great number of metrology marks the method can save up to 90% per measurement position.
Fig. 18 is a flow diagram showing a dense mark cluster measurement sequence 338, in accordance with one embodiment of the present invention. The measurement sequence338 is typically performed on a metrology tool such as for example the Archer manufactured by KLA-Tencor of Milpitas, CA. The measurements performed by the metrology tool may be based on techniques such as imaging, scanning, scatterometry and the like. The flow 338 generally begins at block 340 where a global wafer alignment is performed. Global wafer alignment generally refers to the procedure of determining the physical position of the wafer on the center of the chuck. This particular step is well known in the field and therefore will not be discussed in greater detail. Following block 340, the process flow proceeds to block 342 where the tool performs a focusing step. The focusing step is typically implemented to place the overlay mark in focus. For example, the tool is moved along the z axis until the surface of the wafer is in focus or within a specified value of focus. Following block 342, the process flow proceeds to block 344 where an acquisition step is performed on the overlay mark. The acquisition step generally refers to the procedure where the overlay mark is centered within the field of view of the metrology tool. This is generally accomplished by taking an image of the mark analyzing the position of the mark, and if need be repositioning the stage of the metrology tool in order to center the overlay mark within the field of view of the metrology tool. The focus and acquisition steps are well known and therefore will not be described in greater detail herein.
Following block 344, the process flow proceeds to block 346 where the overlay mark is grabbed by the metrology tool. Grabbing is a well known term in the art. It generally refers to the methodology where the overlay mark is measured and analyzed in order to determine the overlay eπor of the overlay mark. Although grabbing generally refers to measurement techniques related to imaging (e.g., taking a picture of the overlay mark), it should be noted that it also covers other techniques such as scanning, scatterometry and the like. Following block 346, the process flow proceeds to block 348 where the metrology tool is moved to the next position, i.e., the next measurement location.
Before moving to the next position as indicated in block 348, however, a decision is made as to whether or not the metrology tool is running in a standard mode or a dense mark cluster mode. If the metrology tool is running in the standard mode then the process flow proceeds to block 340. If the metrology tool is miming in the dense mark cluster mode then steps 340-344 are skipped and the process flow proceeds to block 346. That is, after all steps are complete on the first mark (steps 340-348) the vector is calculated from the center of the metrology tool field of view to where the next mark in the cluster is positioned on the wafer (step 348). This may be refeπed to as running blind, i.e., no acquisition or focus steps are performed. At block 346, the next mark is grabbed at the same focus position (as the first mark). Blindly running through steps 346 and 348 continues until all the marks in the cluster have been grabbed. Thereafter, the process flow ends or proceeds back to block 340 where a new cluster can be processed by the metrology tool.
It should be noted that skipping both focus and acquisition is not a limitation and that only one of these steps may be skipped (while implementing the other) in order to save time during overlay measurements. It should also be noted that this methodology is not limited to overlay measurements and that it may be extended to other metrologies as for example critical dimension (CD), feature shape, topography (e.g., 3D), and the like.
In another embodiment, the metrology tool can, after a pre-defined time period DeltaT, refresh the acquisition and focusing step 342 to improve the accuracy of mark positioning of step 348. In another embodiment, the acquisition and focusing step 342 can be carried out after a pre-defined distance DeltaL has been reached between the previously acquired mark and the cuπent mark in order to improve the accuracy of mark positioning, hi yet another embodiment, a feed forward technique may be used to also save time. Feed forward generally refers to the technique of using information from the last step to modify the next step. In this embodiment, acquisition and/or focus may be performed using the grabbed image from a previous step.
In order to meet ever shrinking lithographic overlay control budgets, overlay metrology uncertainty should be quantified and minimized. Overlay metrology uncertainty generally refers to the variation found between the overlay eπor of the overlay mark and the actual overlay eπor of the device. One important contributor of this uncertainty is the impact of the patterning process on the fidelity or robustness of the overlay mark, i.e., the degree to which the patterning process accurately reproduces the overlay mark. By way of example, reticle eπors and lithography eπors associated with the patterning process have been found to impact the overlay mark fidelity (OMF) in a non-trivial manner.
In accordance with one embodiment, therefore, the overlay mark fidelity is evaluated so as to help quantify and reduce the overlay metrology uncertainty. The evaluation is generally accomplished by measuring the overlay eπor of an array of nominally identical overlay marks that are closely placed relative to one another. After compensating for metrology tool induced sources of uncertainty, all the overlay marks within the aπay should, in principle, give the same overlay value. If, however, they do not give the same value then the overlay mark fidelity may be a non- negligible source of overlay metrology uncertainty. As should be appreciated, overlay mark fidelity tends to vary with mark design and location on the wafer. In one implementation, the desired overlay mark fidelity is defined as three times (3x) the standard deviation of the overlay eπor found in the aπay of overlay marks, i.e., the overlay eπor distribution of a group of densely packed overlay marks. It should be noted, however, that this is not a limitation and that other metrics may be used to determine the overlay mark fidelity. For example, other estimators of the tightness of the distribution of the overlay results may be used.
Fig. 19 is a flow diagram of a fidelity determining method 350, in accordance with one embodiment of the present invention. The fidelity determining method 350 is generally configured to determine the robustness or fidelity of a given overlay mark. The overlay mark may be widely varied. By way of example, the overlay mark may coπespond to conventional box in box (or related box marks) or they may coπespond to periodic structures such as those found in U.S. Pat. App. No. 09/894,987 to Ghinovker et al., titled "Overlay Marks, Methods of Overlay Mark Design and Methods of Overlay Measurements, filed on June 27, 2001, which is hereby incorporated by reference (or equivalents thereof).
The method generally begins at block 352 where an aπay of closely packed overlay marks are formed. By closely packed, it is generally meant that the overlay marks are placed close enough together that the impact of processing conditions that vary over a wide area are negligible, i.e., there is not much variation therebetween. By way of example, the overlay marks may be positioned about 1 to about 20 microns apart from each other, hi most cases, the overlay marks are formed on a test wafer using conventional wafer processing techniques. In one implementation, the overlay marks are printed on two layers. In another implementation, the overlay marks are printed on a single layer. The later implementation has the advantage of reducing the variables that may effect the overlay mark fidelity, i.e., a single reticle and a single processing step rather than possibly two reticles and two processing steps as in the first implementation.
Following block 352, the process flow proceeds to block 354 where the overlay eπor of each of the overlay marks is measured. This may be accomplished using any suitable overlay measurement technique, as for example, imaging, scanning, scatterometry or the like.
Following block 354, the process flow proceeds to block 356 where the variance between the overlay eπor of the overlay marks is calculated. The variance generally refers to the variation found between the overlay eπor of the overlay marks located in the aπay. The variation may be found using any suitable technique. As should be appreciated, if the variation is within desired limits, then the overlay mark may be considered to work well with the given process conditions, hi addition, if the variation is not within desired limits, then the overlay mark may be considered to not work well with the given process conditions.
Fig. 20 is a flow diagram of an overlay mark selection method 360, in accordance with one embodiment of the present invention. The overlay mark selection method 360 is generally configured to select the most process robust overlay mark for a given set of process conditions, i.e., to find one or more overlay marks that works best for the given process conditions. The overlay marks may be widely varied. By way of example, the overlay marks may coπespond to conventional box in box (or related box marks) or they may coπespond to periodic structures such as those found in U.S. Pat. App. No. 09/894,987 to Ghinovker et al., titled "Overlay Marks, Methods of Overlay Mark Design and Methods of Overlay Measurements, filed on June 27, 2001, which is hereby incorporated by reference (or equivalents thereof).
The method generally begins at block 362 where a plurality of overlay mark aπays are formed. Each of the overlay mark aπays includes two or more closely packed and identical overlay marks. By way of example, the overlay mark aπays may include a lxl aπay, 2x2 aπay, 4x4 aπay, 8x8 aπay and the like. Asymmetrical aπays may also be used. For example, 1x2, 2x4, 4x8 and the like. The overlay mark aπays are generally formed with different overlay marks. For example, a first overlay mark aπay may include a first type of overlay mark and a second overlay mark may include a second type of overlay mark. The overlay marks may be formed on one or more test wafers using a predetermined set of process conditions.
Following block 362, the process flow proceeds to block 364 where the overlay eπor of the overlay marks in the overlay mark aπays is measured. This may be accomplished using any suitable overlay measurement technique, as for example, imaging, scanning, scatterometry or the like.
Following block 364, the process flow proceeds to block 366 where the overlay eπor variance for each of the overlay mark aπays is calculated. This is similar to block 356 in Fig. 19, however, the calculation is performed for each one of the arrays.
Following block 366, the process flow proceeds to block 368 where the overlay eπor variance of the overlay mark aπays are compared. The overlay marks from the overlay mark aπay with the least amount of overlay variance is generally believed to yield the most process robust mark for the given overlay mark aπays. For example, if a first overlay mark aπay has a large variance as compared to a second overlay mark aπay then the overlay mark contained in the second overlay mark aπay is generally believed to work better than the overlay mark contained in the first overlay mark aπay for the given process conditions. That is, the second overlay mark is more process robust than the first overlay mark.
Examples of overlay mark fidelity aπays and results obtained on both box in box and newer targets are shown in Figs. 21 and 22.
Furthermore, OMF (overlay mark fidelity) may be defined as 3 times the standard deviation of N overlay measurement results from an aπay of N identical marks printed in close proximity. By design, it is expected that these N measurements will produce identical overlay results. However in reality, due to process effects, a distribution of overlay readings is resultant, hi this context, "process effects" refer to the sequence of steps starting with reticle manufacture and ending in a topographically complex structure on the wafer in which the overlay mark contains information from two different process layers. The distribution, quantified by OMF, is an important component of the overlay metrology eπor, which is independent of the traditional metrology uncertainty contributors, i.e. precision, TIS (Tool Induced Shift) and TIS variability. The OMF is computed from the overlay results from the aπay after compensating for the above-mentioned metrology tool-induced sources of uncertainty.
Specifically, let i be an index of the target number within an aπay of N adjacent targets. Let j be an index of the field number from F fields on a wafer of index k in a lot of W wafers. Let OVL_Xjjk and OVL_Y be the overlay in the X and Y directions respectively for target i, in field j and on wafer k. The OMF of the field j on wafer k is therefore defined as:
OMFjk
Figure imgf000057_0001
It is generally assumed that of the W wafers in the lot, all were processed with the same reticle set, but with arbitrary process parameters. For a given wafer k, the pooled OMF is estimated by:
Figure imgf000057_0002
The dynamic precision S of an individual measurement is typically 5 fold smaller than the OMF so that this coπection is small, but is included for rigor. The next step in the analysis is to extract the component of this statistical estimator, which is constant for all aπays on all fields and all wafers, from the component that varies from aπay to aπay. The aπay independent component is attributed to the mask eπor, defined as: ME^ OVLi ΣliOVL,
N
where the mean target overlay is calculated as follows:
Figure imgf000058_0001
The reticle overlay mark fidelity can them be estimated by the statistic:
Figure imgf000058_0002
Finally, the process contribution are estimated by calculating the "random" component on an aπay-by-aπay basis, after coπecting for the mask eπor. Coπected overlay is then defined as:
OVL_X_Correctedijk = OVL_Xijk -ME,
Accordingly, the random or process OMF contribution is estimated in the same way as field OMF, where OVL_X is replaced with OVL_X_Coπected. In this way it is possible to separate the process contribution from the reticle contribution of the overlay mark fidelity. As a final sanity check, the reticle contribution can be calculated on a wafer by wafer basis, i.e., replace ME; with MEi and sum only over fields. To within reasonable statistical limits this parameter should yield identical results on all wafers.
We present the results of such measurements on various marks, which were produced in a number of different process layer combinations, and patterned using a DTJV seamier. The same reticle set was used to pattern wafers on different process layers and process conditions. As described above, by appropriate statistical analysis, the breakdown of the total OMF into a reticle-induced OMF component and a random OMF component was facilitated. We compare the OMF of traditional box-in-box overlay marks and of new grating-based overlay marks. The reticle-induced OMF showed an improvement of 30 % when using the new grating-based overlay mark. Furthermore, in a series of wafers run through an STI-process with different CMP times, the random component of the OMF of the new grating-based overlay mark was observed to be 50% less sensitive to process variation compared with Box in Box marks. This shows that the new grating-based overlay mark is more robust against CMP-process variations than the traditional box-in-box overlay mark.
Figs. 23A-C are illustrations showing the results of the breakdown between reticle and random (process) components of OMF.
While this invention has been described in terms of several prefeπed embodiments, there are alterations, permutations, and equivalents, which fall within the scope of this invention. For example, while the above description refers generally to overlay mark fidelity characterization, it should be appreciated that the reticle and process fidelity contributions of any metrology mark can be characterized by this method, for instance CD metrology marks or other parametric metrology and inspection marks used in microelectronic manufacturing. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. It is therefore intended that the following appended claims be interpreted as including all such alterations, permutations, and equivalents as fall within the true spirit and scope of the present invention.

Claims

What is claimed is:
1. An overlay method for determining the overlay eπor of a device structure formed during semiconductor processing, comprising: producing calibration data that contains overlay information relating the overlay eπor of a first target at a first location to the overlay eπor of a second target at a second location for a given set of process conditions; producing production data that contains overlay information associated with a production target formed with the device structure; and coπecting the overlay eπor of the production target based on the calibration data to better reflect the true overlay eπor of the device structure at its location in the field.
2. The method as recited in claim 1 wherein the calibrated overlay information shows the relative difference between the overlay eπor of the first target and the overlay eπor of the second target.
3. The method as recited in claim 1 wherein the first location coπesponds to a typical target location and the second location coπesponds to a typical location of the device structure.
4. The method as recited in claim 1 wherein the location and configuration of the production target is similar to the first target.
5. The method as recited in claim 1 wherein the production target and device structure are formed with a similar set of processing conditions as the first and second targets.
6. The method as recited in claim 1 wherein the coπection is implemented by comparing the production data with the calibration data, the comparison yielding what the overlay eπor of a second production target would have been if formed in the vicinity of the device structure during production.
7. The method as recited in claim 1 wherein the step of coπecting includes converting the overlay eπor of the production target into the overlay eπor at the location of the device structure formed in production by adding or subtracting the differences found between the overlay eπor of the first and second target formed in calibration to or from the overlay eπor of the production target formed in production.
8. The method as recited in claim 1 wherein the targets coπespond to process robust targets or device representing targets.
9. The method as recited in claim 8 wherein the first and second targets are process robust targets.
10. The method as recited in claim 8 wherein the first and second targets are device representing targets.
11. The method as recited in claim 8 wherein one of the targets of the first and second targets coπesponds to a process robust target and the other of the first and second targets coπesponds to a device representing target.
12. The method as recited in claim 8 wherein the production target is a process robust target.
13. The method as recited in claim 8 wherein the production target is a device representing target.
14. The method as recited in claim 1 wherem the calibrated data is obtained experimentally by forming two or more targets at various locations on a test wafer for a given set of process conditions; measuring the overlay eπor of the targets; and coπelating the overlay eπor between different targets at different locations for the given set of process conditions and wherein the production data is obtained by forming the production target and the device structure on a product wafer for the given set of process conditions; and measuring the overlay eπor of the production target.
15. The method as recited in claim 1 wherein the overlay measurements are performed using imaging, scanning or scatterometry techniques.
16. An overlay processing method, comprising: providing a process robust target; forming a device structure along with one or more of the process robust targets on a substrate; measuring the overlay eπor of the one or more process robust targets; receiving calibration data associated with the one or more process robust targets; and predicting the overlay eπor of the device structure at its position in the field based on the measured overlay eπor and the calibration data.
17. The method as recited in claim 16 wherein the process robust target is the most process robust target for a given set of device specific data.
18. The method as recited in claim 16 wherein the process robust target is a box in box or related target.
19. The method as recited in claim 16 wherein the process robust target is a periodic structure.
20. The method as recited in claim 16 wherein the one or more process robust targets are formed in the scribeline of the substrate.
21. The method as recited in claim 16 wherein the measurements are performed using imaging, scanning or scatterometry techniques.
22. The method as recited in claim 16 wherein the prediction is performed by comparing the overlay measurements of the process robust target to the overlay data contained in the calibration data, the overlay data relating targets at different points in the field, the relationship being formed with a variety of targets including both process robust and device representing targets.
23. The method as recited in claim 22 wherein the relationship is between process robust targets located in the scribeline and device representing targets located across the field.
24. The method as recited in claim 16 wherein the overlay eπor of the device structure at its position in the field is predicted by: converting one or more measured process robust targets located in the scribeline to one or more virtual device representing targets in the scribeline using the calibrated data; converting the one or more virtual device representing targets located in the scribeline to one or more virtual device representing targets in the field location of the device structure using the calibrated data; calculating the overlay eπor of the virtual device representing targets in the field location of the device structure; and averaging the one or more device representing targets in the field location of the device structure.
25. The method as recited in claim 16 further comprising: calculating optimal coπectables, the optimal coπectables helping to determine the coπect alignment associated with forming the device structure.
26. The method as recited in claim 25 wherein the calculations are based on the predicted overlay eπor of the device structure and process data that includes data associated with forming the device structure.
27. A calibration method, comprising: providing one or more characterization reticles having a plurality of overlay target patterns; transfeπing the overlay target patterns onto a calibration wafer; measuring the overlay eπor of the overlay targets on the calibration wafer; and calibrating the overlay eπor of the measured overlay targets against one another.
28. The method as recited in claim 27 wherein the characterization reticle includes a large aπay of overlay target patterns located across the entire characterization reticle surface.
29. The method as recited in claim 28 wherein the patterns are based on process robust and device representing structures.
30. The method as recited in claim 27 wherein the reticle is formed by: establishing device representing targets that act most like the device structures; establishing process robust targets that can withstand a particular process; and distributing the device representing and process robust targets across the reticle surface.
31. The method as recited in claim 30 wherein the step of establishing device representing targets includes: designing device representing targets with a range of spatial characteristics and shapes; running the device representing through a process with various permutations of the process; measuring the targets; and determining which device representing targets performed more like the device stmcture at its location in the field.
32. The method as recited in claim 31 wherein the most device representing targets are determined by comparing the device representing targets with an actual device stmcture, the device representing targets that remain faithful to the actual device stmcture being the most device representing targets.
32. The method as recited in claim 30 wherein the step of establishing process robust targets includes: designing process robust targets with a range of spatial characteristics and shapes; running the process robust targets through a process with various permutations of the process; measuring the targets; and determining which process robust targets changed the least across the widest range of conditions.
33. The method as recited in claim 27 wherein the calibration wafer is a resist/resist wafer.
34. The method as recited in claim 27 wherein the patterns are transfeπed over the entire wafer surface, thus filling the wafer with a large number of overlay targets.
35. The method as recited in claim 27 wherein the overlay target patterns are transfeπed onto a series of calibration wafers for various stepper settings.
36. The method as recited in claim 27 wherein the reticle is built so that all the targets have offsets set at zero.
37. The method as recited in claim 27 wherein the step of calibrating includes comparing the offsets between any two targets to determine eπors therebetween.
38. The method as recited in claim 27 wherein a plurality of targets are compared across the entire exposure field so as to produce a cross reference matrix where each target is calibrated against every other target.
39. The method as recited in claim 27 wherein process robust targets are calibrated against device representing targets as a function of the characteristics used to form them in the calibration wafer.
40. The method as recited in claim 39 wherein the overlay of one or more process robust targets at the scribeline are calibrated against a plurality of device representing targets located at different locations within the field.
41. The method as recited in claim 27 wherein the step of calibrating includes constructing a pattern placement eπor cross reference matrix that relates multiple structure combinations from multiple positions across the field.
42 The method as recited in claim 27 wherein the step of calibrating includes interpolating the anticipated pattern placement eπor for different device structures between those actually included in the characterization reticle.
43. A method of performing overlay coπection analysis, comprising: providing calibration data; determining the overlay eπor of a process robust target located in the scribeline; determining the overlay eπor of a virtual device representing target located in the scribeline based on the overlay eπor of the process robust target and the calibration data; and determining the overlay eπor of a second virtual device representing target located at a point in the field based on the overlay eπor of the first virtual device representing target and the calibration data.
44. A method of determining the overlay eπor of a device stmcture located within a die, comprising: measuring a process robust target located in the scribeline around the die; converting the measured process robust target into a virtual device representing target located in the scribeline; converting the virtual device representing target into a second virtual device representing target located within the die; and calculating the overlay eπor of the second virtual device representing target.
45. A method of monitoring overlay, comprising: a calibration mode configured to produce overlay calibration data, the calibration mode including: forming one or more test dies on one or more test wafers, the test dies containing a plurality of calibration targets; and measuring the calibration targets; a production mode including: forming one or more production dies on a production wafer, the production dies containing one or more device structures and one or more production targets; measuring the production targets; and comparing the production measurements with the calibration measurements in order to determine the overlay eπor of a particular device stmcture at a particular device location.
46. The method as recited in claim 45 wherein the calibration targets are dual pattern overlay targets having both inner and outer working zones, each of the working zones including a periodic stmcture.
47. The method as recited in claim 46 wherein the periodic structures are selected from process robust structures and device representing stractures.
48. The method as recited in claim 46 wherein the outer working zone is formed using a first set of lithographic parameters and the inner working zone is formed using the first set of lithographic parameters, and wherein the outer working zone includes a device representing stmcture and the inner working zone includes a process robust stmcture.
49. The method as recited in claim 46 wherein the outer working zone is formed using a first set of lithographic parameters and the inner working zone is formed using the first set of lithographic parameters, and wherein the outer working zone includes a process robust stmcture and the inner working zone includes a device representing structure.
50. The method as recited in claim 46 wherein the outer working zone is formed using a first set of lithographic parameters and the inner working zone is formed using a second set of lithographic parameters, and wherein the outer working zone includes a first process robust stmcture and the inner working zone includes a second process robust stmcture.
51. The method as recited in claim 45 wherein the production targets are dual pattern overlay targets having both inner and outer working zones, each of the working zones including a periodic structure.
52. The method as recited in claim 51 wherein the periodic stmctures are selected from process robust structures and device representing stractures.
53. The method as recited in claim 51 wherein the outer working zone is formed using a first set of lithographic parameters and the inner working zone is formed using a second set of lithographic parameters, and wherein the outer working zone includes a first process robust stmcture and the inner working zone includes a second process robust structure.
54. The method as recited in claim 51 wherein the one or more test dies are formed from a characterization reticle having a plurality of dual pattern overlay targets located at various positions across the exposure field, each of the dual pattern overlay targets having both inner and outer working zones with different combinations of periodic stmctures for the inner and outer working zones, the periodic stractures being selected from device representing stmctures and process robust stmctures.
55. A method of determining the fidelity of an overlay mark, comprising: forming an aπay of closely packed overlay marks; measuring the overlay eπor of each of the overlay marks; and calculating the variance between the overlay eπor of the overlay marks.
56. A method of selecting an overlay mark, comprising: forming a plurality of overlay mark aπays; measuring the overlay eπor of the overlay marks in the overlay mark aπay; calculating the overlay eπor variance for each of the overlay mark aπays; and comparing the overlay eπor variance of the overlay mark aπays.
57. A method of measuring a plurality of overlay marks on a wafer, comprising: focusing on a first overlay mark; performing an acquisition step on the first overlay mark; grabbing the first overlay mark; moving to a second overlay mark; and grabbing the second overlay mark and skipping the focusing and acquisition steps on the second overlay mark.
PCT/US2003/004471 2002-02-15 2003-02-14 Overlay metrology and control method WO2003071471A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2003570292A JP2005518107A (en) 2002-02-15 2003-02-14 Overlay measurement and control method
AU2003213059A AU2003213059A1 (en) 2002-02-15 2003-02-14 Overlay metrology and control method

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US35739002P 2002-02-15 2002-02-15
US60/357,390 2002-02-15
US41978602P 2002-10-17 2002-10-17
US60/419,786 2002-10-17
US43587802P 2002-12-19 2002-12-19
US60/435,878 2002-12-19

Publications (1)

Publication Number Publication Date
WO2003071471A1 true WO2003071471A1 (en) 2003-08-28

Family

ID=27761428

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/004471 WO2003071471A1 (en) 2002-02-15 2003-02-14 Overlay metrology and control method

Country Status (4)

Country Link
US (1) US7804994B2 (en)
JP (1) JP2005518107A (en)
AU (1) AU2003213059A1 (en)
WO (1) WO2003071471A1 (en)

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10345524A1 (en) * 2003-09-30 2005-05-04 Infineon Technologies Ag Overlay measurement structure method e.g. for determining relative off set of two structure switch patters on semiconductor wafer via raster electron microscope
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US6958819B1 (en) 2002-04-04 2005-10-25 Nanometrics Incorporated Encoder with an alignment target
WO2005106932A1 (en) * 2004-04-28 2005-11-10 Nikon Corporation Analysis method, exposing equipment and exposing equipment system
US6970255B1 (en) 2003-04-23 2005-11-29 Nanometrics Incorporated Encoder measurement based on layer thickness
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
DE102004063522A1 (en) * 2004-07-30 2006-03-23 Infineon Technologies Ag Method for correcting structure-size-dependent placement errors in photolithographic projection by means of an exposure apparatus and its use
US7046361B1 (en) 2002-04-04 2006-05-16 Nanometrics Incorporated Positioning two elements using an alignment target with a designed offset
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
EP1760531A1 (en) * 2005-09-06 2007-03-07 ASML Netherlands B.V. Lithographic method
WO2007040855A1 (en) * 2005-09-30 2007-04-12 Advanced Micro Devices, Inc. Structure and method for simultaneously determining an overlay accuracy and pattern placement error
US7426011B2 (en) 2005-09-12 2008-09-16 Asml Netherlands B.V. Method of calibrating a lithographic apparatus and device manufacturing method
US7667842B2 (en) 2005-09-30 2010-02-23 GlobalFoundries, Inc. Structure and method for simultaneously determining an overlay accuracy and pattern placement error
US7678516B2 (en) 2004-07-22 2010-03-16 Kla-Tencor Technologies Corp. Test structures and methods for monitoring or controlling a semiconductor fabrication process
WO2010124791A1 (en) * 2009-04-29 2010-11-04 Carl Zeiss Sms Gmbh Method and calibration mask for calibrating a position measuring apparatus
JP2015532733A (en) * 2012-09-06 2015-11-12 ケーエルエー−テンカー コーポレイション Device correlation measurement method (DCM) for OVL with embedded SEM structure overlay target
EP3321737A1 (en) * 2016-11-10 2018-05-16 ASML Netherlands B.V. Method for determining an optimized set of measurement locations for measurement of a parameter of a lithographic process, metrology system
US10488767B2 (en) 2016-06-03 2019-11-26 Asml Holding N.V. Alignment system wafer stack beam analyzer
CN114171500A (en) * 2021-12-07 2022-03-11 成都海威华芯科技有限公司 Layout positioning mark drawing method, chip and wafer prepared based on layout positioning mark drawing method
WO2022235424A1 (en) * 2021-05-03 2022-11-10 Kla Corporation Self-calibrating overlay metrology

Families Citing this family (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US6928628B2 (en) * 2002-06-05 2005-08-09 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
US7111256B2 (en) 2002-06-05 2006-09-19 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
WO2003104929A2 (en) * 2002-06-05 2003-12-18 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
US7606403B2 (en) * 2002-10-17 2009-10-20 Intel Corporation Model-based fusion of scanning probe microscopic images for detection and identification of molecular structures
US7457736B2 (en) * 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
JP4746987B2 (en) * 2002-12-05 2011-08-10 ケーエルエー−テンカー コーポレイション Apparatus and method for detecting overlay error using scatterometry
JP2004317718A (en) * 2003-04-15 2004-11-11 Toshiba Corp Method for forming pattern, pattern forming system and method for manufacturing semiconductor device
US6892365B2 (en) * 2003-04-16 2005-05-10 International Business Machines Corporation Method for performing monte-carlo simulations to predict overlay failures in integrated circuit designs
US7075639B2 (en) * 2003-04-25 2006-07-11 Kla-Tencor Technologies Corporation Method and mark for metrology of phase errors on phase shift masks
US7230704B2 (en) * 2003-06-06 2007-06-12 Tokyo Electron Limited Diffracting, aperiodic targets for overlay metrology and method to detect gross overlay
US7230703B2 (en) * 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US20050095515A1 (en) * 2003-08-29 2005-05-05 Inficon Lt, Inc. Methods and systems for processing overlay data
US7508976B1 (en) 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
US8027813B2 (en) * 2004-02-20 2011-09-27 Nikon Precision, Inc. Method and system for reconstructing aberrated image profiles through simulation
US7131103B2 (en) * 2004-03-04 2006-10-31 Lsi Logic Corporation Conductor stack shifting
CN100445869C (en) * 2004-04-23 2008-12-24 上海华虹Nec电子有限公司 Scribing groove structure for registration photo etching
US20060194129A1 (en) * 2005-02-25 2006-08-31 Horn Douglas M Substrate edge focus compensation
US7582538B2 (en) * 2005-04-06 2009-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of overlay measurement for alignment of patterns in semiconductor manufacturing
US20060258023A1 (en) * 2005-05-10 2006-11-16 Lsi Logic Corporation Method and system for improving integrated circuit manufacturing yield
JP2007035768A (en) * 2005-07-25 2007-02-08 Toshiba Corp Forming method of mark for checking misalignment and semiconductor device manufacturing method
US20070115452A1 (en) * 2005-11-23 2007-05-24 Asml Netherlands B.V. Method of measuring the magnification of a projection system, device manufacturing method and computer program product
KR100706813B1 (en) * 2006-02-13 2007-04-12 삼성전자주식회사 Method for arranging patterns of a semiconductor device
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7391513B2 (en) * 2006-03-29 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
US7528941B2 (en) * 2006-06-01 2009-05-05 Kla-Tencor Technolgies Corporation Order selected overlay metrology
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US8045786B2 (en) * 2006-10-24 2011-10-25 Kla-Tencor Technologies Corp. Waferless recipe optimization
CN202189297U (en) * 2007-07-22 2012-04-11 康代有限公司 System for controlling manufacturing process of electronic circuit
JP4897006B2 (en) * 2008-03-04 2012-03-14 エーエスエムエル ネザーランズ ビー.ブイ. Method for providing alignment mark, device manufacturing method, and lithographic apparatus
TW200941010A (en) * 2008-03-24 2009-10-01 Promos Technologies Inc Method and system for processing test wafer in photolithography process
NL1036734A1 (en) * 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
JP6185693B2 (en) * 2008-06-11 2017-08-23 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation Systems and methods for detection of design and process defects on wafers, inspection of defects on wafers, selection to use one or more features in the design as process monitoring features, or some combination thereof
US8084872B2 (en) * 2008-07-01 2011-12-27 Macronix International Co., Ltd. Overlay mark, method of checking local aligmnent using the same and method of controlling overlay based on the same
NL2003294A (en) * 2008-08-19 2010-03-09 Asml Netherlands Bv A method of measuring overlay error and a device manufacturing method.
NL2004094A (en) * 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
KR101732750B1 (en) * 2009-07-17 2017-05-24 케이엘에이-텐코 코포레이션 Scanner performance comparison and matching using design and defect data
US8003482B2 (en) 2009-11-19 2011-08-23 Micron Technology, Inc. Methods of processing semiconductor substrates in forming scribe line alignment marks
US8559001B2 (en) 2010-01-11 2013-10-15 Kla-Tencor Corporation Inspection guided overlay metrology
KR101675380B1 (en) * 2010-02-19 2016-11-14 삼성전자주식회사 method for correcting overlay and manufacturing method of semiconductor device used the same
US9177219B2 (en) * 2010-07-09 2015-11-03 Asml Netherlands B.V. Method of calibrating a lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product
CN102540733A (en) * 2010-12-08 2012-07-04 无锡华润上华科技有限公司 Photoetching control method
NL2008317A (en) 2011-03-24 2012-09-25 Asml Netherlands Bv Substrate and patterning device for use in metrology, metrology method and device manufacturing method.
EP2694983B1 (en) * 2011-04-06 2020-06-03 KLA-Tencor Corporation Method and system for providing a quality metric for improved process control
US10890436B2 (en) 2011-07-19 2021-01-12 Kla Corporation Overlay targets with orthogonal underlayer dummyfill
KR20130014190A (en) * 2011-07-29 2013-02-07 삼성전자주식회사 Method of fabricating a semiconductor device including calibrating process conditions and configurations by monitoring processes
US9360858B2 (en) 2011-08-08 2016-06-07 Globalfoundries Inc. Alignment data based process control system
CN103019052B (en) * 2011-09-23 2015-10-21 中芯国际集成电路制造(北京)有限公司 Photoetching alignment mark and comprise its mask plate and semiconductor wafer
US9354526B2 (en) * 2011-10-11 2016-05-31 Kla-Tencor Corporation Overlay and semiconductor process control using a wafer geometry metric
US9163935B2 (en) * 2011-12-12 2015-10-20 Asml Netherlands B.V. Device manufacturing method and associated lithographic apparatus, inspection apparatus, and lithographic processing cell
US8745546B2 (en) * 2011-12-29 2014-06-03 Nanya Technology Corporation Mask overlay method, mask, and semiconductor device using the same
US9029172B2 (en) 2012-01-20 2015-05-12 International Business Machines Corporation On-chip poly-to-contact process monitoring and reliability evaluation system and method of use
US9330223B2 (en) 2012-09-28 2016-05-03 International Business Machines Corporation Optical rule checking for detecting at risk structures for overlay issues
US10242290B2 (en) * 2012-11-09 2019-03-26 Kla-Tencor Corporation Method, system, and user interface for metrology target characterization
US9442392B2 (en) 2012-12-17 2016-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Scanner overlay correction system and method
US8889434B2 (en) 2012-12-17 2014-11-18 Taiwan Semiconductor Manufacturing Co., Ltd. Scanner overlay correction system and method
US9081287B2 (en) 2012-12-20 2015-07-14 Kla-Tencor Corporation Methods of measuring overlay errors in area-imaging e-beam lithography
US9255787B1 (en) * 2013-01-21 2016-02-09 Kla-Tencor Corporation Measurement of critical dimension and scanner aberration utilizing metrology targets
JP6114411B2 (en) 2013-03-14 2017-04-12 エーエスエムエル ネザーランズ ビー.ブイ. Patterning device, marker forming method on substrate, and device manufacturing method
US9390492B2 (en) * 2013-03-14 2016-07-12 Kla-Tencor Corporation Method and system for reference-based overlay measurement
CN103247550B (en) * 2013-05-07 2016-04-13 上海华力微电子有限公司 The test module of monitoring program stability and method
KR102066320B1 (en) * 2013-05-29 2020-01-14 케이엘에이 코포레이션 Multi-layered target design
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
TWI621190B (en) 2013-06-19 2018-04-11 克萊譚克公司 Hybrid imaging and scatterometry targets
WO2015006233A1 (en) * 2013-07-09 2015-01-15 Kla-Tencor Corporation Aperture alignment in scatterometry metrology systems
US9007571B2 (en) 2013-08-20 2015-04-14 United Microelectronics Corp. Measurement method of overlay mark
JP2015052573A (en) 2013-09-09 2015-03-19 株式会社東芝 Pattern measuring device, and pattern measuring method
US9202788B2 (en) 2013-10-02 2015-12-01 Taiwan Semiconductor Manufacturing Company Limited Multi-layer semiconductor device structure
KR101860042B1 (en) * 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. Method and apparatus for design of a metrology target
NL2013677A (en) * 2014-01-24 2015-07-29 Asml Netherlands Bv Method of determining a measurement subset of metrology points on a substrate, associated apparatus and computer program.
WO2015122932A1 (en) * 2014-02-12 2015-08-20 Kla-Tencor Corporation Metrology targets with filling elements that reduce inaccuracies and maintain contrast
US10002806B2 (en) * 2014-02-12 2018-06-19 Kla-Tencor Corporation Metrology targets with filling elements that reduce inaccuracies and maintain contrast
US9087176B1 (en) * 2014-03-06 2015-07-21 Kla-Tencor Corporation Statistical overlay error prediction for feed forward and feedback correction of overlay errors, root cause analysis and process control
KR102237698B1 (en) * 2014-04-15 2021-04-08 삼성전자주식회사 Method of detecting an asymmetric portion of an overlay mark and method of measuring an overlay including the same
NL2014647A (en) * 2014-05-13 2016-03-31 Asml Netherlands Bv Substrate and Patterning Device for use in Metrology, Metrology Method and Device Manufacturing Method.
WO2016030255A2 (en) * 2014-08-29 2016-03-03 Asml Netherlands B.V. Metrology method, target and substrate
TWI703651B (en) * 2014-10-03 2020-09-01 美商克萊譚克公司 Verification metrology targets and their design
CN104765254B (en) * 2015-04-29 2017-08-08 上海华虹宏力半导体制造有限公司 A kind of alignment mark
US9995689B2 (en) 2015-05-22 2018-06-12 Nanometrics Incorporated Optical metrology using differential fitting
KR102287757B1 (en) * 2015-05-26 2021-08-09 삼성전자주식회사 Methods of Revising an Overlay Correction Data
US9530199B1 (en) * 2015-07-13 2016-12-27 Applied Materials Israel Ltd Technique for measuring overlay between layers of a multilayer structure
US10061210B2 (en) 2015-07-31 2018-08-28 Nanometrics Incorporated 3D target for monitoring multiple patterning process
US9733577B2 (en) * 2015-09-03 2017-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Intra-field process control for lithography
US10304178B2 (en) * 2015-09-18 2019-05-28 Taiwan Semiconductor Manfacturing Company, Ltd. Method and system for diagnosing a semiconductor wafer
EP3371657B9 (en) 2015-11-05 2021-12-15 Carl Zeiss SMT GmbH Method and device for characterizing a wafer patterned using at least one lithography step
KR102166317B1 (en) * 2015-12-24 2020-10-16 에이에스엠엘 네델란즈 비.브이. Control method of patterning process, device manufacturing method, control system for lithographic apparatus and lithographic apparatus
JP7117242B2 (en) * 2016-01-11 2022-08-12 ケーエルエー コーポレイション Hotspot and process window monitoring
US10691028B2 (en) 2016-02-02 2020-06-23 Kla-Tencor Corporation Overlay variance stabilization methods and systems
US9881122B2 (en) * 2016-03-30 2018-01-30 Globalfoundries Inc. Overlay sampling reduction
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
CN106022055A (en) * 2016-05-27 2016-10-12 广东欧珀移动通信有限公司 Fingerprint unlocking control method and terminal equipment
EP3321740A1 (en) 2016-11-11 2018-05-16 ASML Netherlands B.V. Determining an optimal operational parameter setting of a metrology system
EP3339959A1 (en) 2016-12-23 2018-06-27 ASML Netherlands B.V. Method of determining a position of a feature
DE112017007043T5 (en) * 2017-02-10 2020-01-16 KLA Corp. REDUCTION OF INACCURACIES RELATING TO GRID ASYMMETRIES IN SCATTEROMETRY MEASUREMENTS
US10901325B2 (en) * 2017-02-28 2021-01-26 Kla-Tencor Corporation Determining the impacts of stochastic behavior on overlay metrology data
CN108574539B (en) * 2017-03-08 2021-01-15 深圳市通用测试系统有限公司 Signal generation method and device based on MIMO wireless terminal test
JP2018185452A (en) * 2017-04-27 2018-11-22 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method therefor
US10598617B2 (en) * 2017-05-05 2020-03-24 Kla-Tencor Corporation Metrology guided inspection sample shaping of optical inspection results
US10754261B2 (en) * 2017-06-06 2020-08-25 Kla-Tencor Corporation Reticle optimization algorithms and optimal target design
US10445889B2 (en) * 2017-06-08 2019-10-15 Inspectrology LLC Method for measuring overlay offset in an integrated circuit and related technology
US11112369B2 (en) * 2017-06-19 2021-09-07 Kla-Tencor Corporation Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay
US10079185B1 (en) 2017-06-23 2018-09-18 United Microelectronics Corp. Semiconductor pattern for monitoring overlay and critical dimension at post-etching stage and metrology method of the same
EP3454129A1 (en) * 2017-09-07 2019-03-13 ASML Netherlands B.V. Beat patterns for alignment on small metrology targets
EP3454126A1 (en) * 2017-09-08 2019-03-13 ASML Netherlands B.V. Method for estimating overlay
US11023648B2 (en) * 2017-12-12 2021-06-01 Siemens Industry Software Inc. Puzzle-based pattern analysis and classification
US10483214B2 (en) 2018-01-03 2019-11-19 Globalfoundries Inc. Overlay structures
US10677588B2 (en) 2018-04-09 2020-06-09 Kla-Tencor Corporation Localized telecentricity and focus optimization for overlay metrology
US11409206B2 (en) 2018-04-26 2022-08-09 Asml Netherlands B.V. Alignment method and apparatus
EP3575874A1 (en) * 2018-05-29 2019-12-04 ASML Netherlands B.V. Metrology method, apparatus and computer program
US10818001B2 (en) * 2018-09-07 2020-10-27 Kla-Tencor Corporation Using stochastic failure metrics in semiconductor manufacturing
US10642161B1 (en) * 2018-10-10 2020-05-05 International Business Machines Corporation Baseline overlay control with residual noise reduction
US10990022B2 (en) * 2018-12-20 2021-04-27 Kla Corporation Field-to-field corrections using overlay targets
CN113272736A (en) * 2018-12-31 2021-08-17 Asml荷兰有限公司 In-die measurement method and system for process control
JP7317131B2 (en) * 2019-02-15 2023-07-28 ケーエルエー コーポレイション Displacement measurement using combined optical and electron beam technology
CN113950644A (en) 2019-06-20 2022-01-18 科磊股份有限公司 Multi-tool parameter calibration and offset measurement system and method
WO2020263461A1 (en) 2019-06-25 2020-12-30 Kla Corporation Selection of regions of interest for measurement of misregistration and amelioration thereof
US11933717B2 (en) * 2019-09-27 2024-03-19 Kla Corporation Sensitive optical metrology in scanning and static modes
US11874102B2 (en) * 2019-12-30 2024-01-16 Kla Corporation Thick photo resist layer metrology target
US11809090B2 (en) 2020-01-30 2023-11-07 Kla Corporation Composite overlay metrology target
KR102630496B1 (en) * 2020-04-15 2024-01-29 케이엘에이 코포레이션 Mismatch target with device scale features useful for measuring mismatch in semiconductor devices
US20240042674A1 (en) * 2021-01-07 2024-02-08 Tohoku University Positioning method, method for manufacturing layered body, positioning device, layered body manufacturing device, and layered body
US20220326626A1 (en) * 2021-03-30 2022-10-13 Onto Innovation Inc. Multi-layer calibration for empirical overlay measurement
CN115881563A (en) * 2021-09-28 2023-03-31 上海华力集成电路制造有限公司 Method for improving overlay measurement accuracy of self-aligned multi-imaging technology
CN113917802A (en) * 2021-10-13 2022-01-11 杭州广立微电子股份有限公司 Overlay error measuring and calculating method
WO2023136845A1 (en) * 2022-01-13 2023-07-20 Kla Corporation Calibrated measurement of overlay error using small targets
CN116203808B (en) * 2023-04-20 2023-10-03 长鑫存储技术有限公司 Overlay error measurement method and overlay mark

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5604819A (en) * 1993-03-15 1997-02-18 Schlumberger Technologies Inc. Determining offset between images of an IC
US5960125A (en) * 1996-11-21 1999-09-28 Cognex Corporation Nonfeedback-based machine vision method for determining a calibration relationship between a camera and a moveable object

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL7606548A (en) * 1976-06-17 1977-12-20 Philips Nv METHOD AND DEVICE FOR ALIGNING AN IC CARTRIDGE WITH REGARD TO A SEMI-CONDUCTIVE SUBSTRATE.
US4538105A (en) * 1981-12-07 1985-08-27 The Perkin-Elmer Corporation Overlay test wafer
US4475811A (en) * 1983-04-28 1984-10-09 The Perkin-Elmer Corporation Overlay test measurement systems
US4703434A (en) * 1984-04-24 1987-10-27 The Perkin-Elmer Corporation Apparatus for measuring overlay error
DE3530439A1 (en) * 1985-08-26 1987-02-26 Siemens Ag DEVICE FOR ADJUSTING A MASK PROVIDED WITH AT LEAST ONE ADJECTOR TOKET WITH A SEMICONDUCTOR THAT IS PROVIDED WITH AT LEAST ONE GRID STRUCTURE
US4714874A (en) * 1985-11-12 1987-12-22 Miles Inc. Test strip identification and instrument calibration
IT1186523B (en) * 1985-12-31 1987-11-26 Sgs Microelettronica Spa PROCEDURE FOR THE EVALUATION OF PROCESS PARAMETERS IN THE MANUFACTURE OF SEMICONDUCTOR DEVICES
NL8600639A (en) * 1986-03-12 1987-10-01 Asm Lithography Bv METHOD FOR ALIGNING A MASK AND A SUBSTRATE AND DEVICE FOR CARRYING OUT THE METHOD
GB2188417B (en) * 1986-03-19 1990-02-21 British Steel Corp Molten metal gas analysis
US5148214A (en) * 1986-05-09 1992-09-15 Canon Kabushiki Kaisha Alignment and exposure apparatus
US4929083A (en) * 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4757207A (en) * 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
US4855253A (en) * 1988-01-29 1989-08-08 Hewlett-Packard Test method for random defects in electronic microstructures
JPH0251214A (en) 1988-08-12 1990-02-21 Sanyo Electric Co Ltd Manufacture of semiconductor device
JP2666859B2 (en) * 1988-11-25 1997-10-22 日本電気株式会社 Semiconductor device with vernier pattern for alignment
NL8900991A (en) * 1989-04-20 1990-11-16 Asm Lithography Bv DEVICE FOR IMAGING A MASK PATTERN ON A SUBSTRATE.
DE4000785A1 (en) * 1990-01-12 1991-07-18 Suess Kg Karl ADJUSTMENT TOKENS FOR TWO OBJECTS TO BE ADJUSTED
DE69123610T2 (en) * 1990-02-02 1997-04-24 Canon Kk Exposure process
US5112129A (en) * 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
JPH0444307A (en) * 1990-06-12 1992-02-14 Nec Corp Manufacture of semiconductor device
US5216257A (en) * 1990-07-09 1993-06-01 Brueck Steven R J Method and apparatus for alignment and overlay of submicron lithographic features
NL9001611A (en) * 1990-07-16 1992-02-17 Asm Lithography Bv DEVICE FOR IMAGING A MASK PATTERN ON A SUBSTRATE.
JPH04234930A (en) * 1991-01-10 1992-08-24 Shimano Inc Reel for fishing
EP0502679B1 (en) * 1991-03-04 2001-03-07 AT&T Corp. Semiconductor integrated circuit fabrication utilizing latent imagery
US5296917A (en) * 1992-01-21 1994-03-22 Mitsubishi Denki Kabushiki Kaisha Method of monitoring accuracy with which patterns are written
US5857258A (en) * 1992-03-13 1999-01-12 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conductive features on an insulating substrate
US5617340A (en) * 1994-04-28 1997-04-01 The United States Of America As Represented By The Secretary Of Commerce Method and reference standards for measuring overlay in multilayer structures, and for calibrating imaging equipment as used in semiconductor manufacturing
US5383136A (en) * 1992-03-13 1995-01-17 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
JP2530080B2 (en) * 1992-03-14 1996-09-04 株式会社東芝 Evaluation apparatus for semiconductor manufacturing equipment and its evaluation method
US5479270A (en) * 1992-05-19 1995-12-26 Eastman Kodak Company Method and apparatus for aligning depth images
US5403754A (en) * 1992-09-30 1995-04-04 Texas Instruments Incorporated Lithography method for direct alignment of integrated circuits multiple layers
US5438413A (en) * 1993-03-03 1995-08-01 Kla Instruments Corporation Process for measuring overlay misregistration during semiconductor wafer fabrication
JPH06260390A (en) * 1993-03-05 1994-09-16 Toshiba Corp Alignment method
US5414514A (en) * 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
JP3039210B2 (en) * 1993-08-03 2000-05-08 日本電気株式会社 Method for manufacturing semiconductor device
KR0168772B1 (en) * 1994-03-10 1999-02-01 김주용 Photomask and fabricating method using it
US5699282A (en) * 1994-04-28 1997-12-16 The United States Of America As Represented By The Secretary Of Commerce Methods and test structures for measuring overlay in multilayer devices
DE69531854T2 (en) * 1994-08-02 2004-08-19 Koninklijke Philips Electronics N.V. METHOD FOR REPEATING IMAGE OF A MASK PATTERN ON A SUBSTRATE
US5477057A (en) * 1994-08-17 1995-12-19 Svg Lithography Systems, Inc. Off axis alignment system for scanning photolithography
JPH08233555A (en) * 1994-12-28 1996-09-13 Matsushita Electric Ind Co Ltd Method and apparatus for measuring resist pattern
US5923041A (en) * 1995-02-03 1999-07-13 Us Commerce Overlay target and measurement procedure to enable self-correction for wafer-induced tool-induced shift by imaging sensor means
US5702567A (en) * 1995-06-01 1997-12-30 Kabushiki Kaisha Toshiba Plurality of photolithographic alignment marks with shape, size and spacing based on circuit pattern features
DE69637180T2 (en) * 1995-06-09 2008-04-10 Pbi Performance Products, Inc. HIGH-FILLED FORMABLE POLYARYLETHERKETONE
US5596413A (en) * 1995-08-17 1997-01-21 Lucent Technologies Inc. Sub-micron through-the-lens positioning utilizing out of phase segmented gratings
KR0170909B1 (en) * 1995-09-27 1999-03-30 김주용 Overlay detecting method of semiconductor device
JPH09115817A (en) * 1995-10-13 1997-05-02 Nikon Corp Aligner and aligning method
US5712707A (en) * 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
US5757507A (en) * 1995-11-20 1998-05-26 International Business Machines Corporation Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
JP2842360B2 (en) * 1996-02-28 1999-01-06 日本電気株式会社 Semiconductor device and manufacturing method thereof
JP2842362B2 (en) 1996-02-29 1999-01-06 日本電気株式会社 Superposition measurement method
JPH09244222A (en) * 1996-03-08 1997-09-19 Mitsubishi Electric Corp Reticle for measuring superposition error, method for measuring superposition error by using the reticle and mark for measuring superposition error
US5805290A (en) * 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
US5701013A (en) * 1996-06-07 1997-12-23 Mosel Viltelic, Inc. Wafer metrology pattern integrating both overlay and critical dimension features for SEM or AFM measurements
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5872042A (en) * 1996-08-22 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for alignment mark regeneration
KR19980030438A (en) * 1996-10-29 1998-07-25 김영환 Semiconductor Vernier structure and method of measuring overlay accuracy using it
US5912983A (en) * 1997-01-24 1999-06-15 Oki Electric Industry Co., Ltd Overlay accuracy measuring method
US5902703A (en) * 1997-03-27 1999-05-11 Vlsi Technology, Inc. Method for measuring dimensional anomalies in photolithographed integrated circuits using overlay metrology, and masks therefor
US5877861A (en) * 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US6160622A (en) * 1997-12-29 2000-12-12 Asm Lithography, B.V. Alignment device and lithographic apparatus comprising such a device
JPH11325877A (en) 1998-03-31 1999-11-26 Siemens Ag Method and apparatus for reducing measuring error
US6077756A (en) * 1998-04-24 2000-06-20 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
US5919714A (en) * 1998-05-06 1999-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Segmented box-in-box for improving back end overlay measurement
US6140217A (en) * 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
US6128089A (en) * 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
US6137578A (en) * 1998-07-28 2000-10-24 International Business Machines Corporation Segmented bar-in-bar target
US6061606A (en) * 1998-08-25 2000-05-09 International Business Machines Corporation Geometric phase analysis for mask alignment
US6020966A (en) * 1998-09-23 2000-02-01 International Business Machines Corporation Enhanced optical detection of minimum features using depolarization
US6037671A (en) * 1998-11-03 2000-03-14 Advanced Micro Devices, Inc. Stepper alignment mark structure for maintaining alignment integrity
US6146910A (en) * 1999-02-02 2000-11-14 The United States Of America, As Represented By The Secretary Of Commerce Target configuration and method for extraction of overlay vectors from targets having concealed features
TW569083B (en) * 1999-02-04 2004-01-01 Asml Netherlands Bv Lithographic projection apparatus
US6084679A (en) * 1999-04-02 2000-07-04 Advanced Micro Devices, Inc. Universal alignment marks for semiconductor defect capture and analysis
JP3351382B2 (en) 1999-04-19 2002-11-25 日本電気株式会社 Superposition accuracy measurement method.
US6405096B1 (en) * 1999-08-10 2002-06-11 Advanced Micro Devices, Inc. Method and apparatus for run-to-run controlling of overlay registration
US6612159B1 (en) * 1999-08-26 2003-09-02 Schlumberger Technologies, Inc. Overlay registration error measurement made simultaneously for more than two semiconductor wafer layers
JP4458735B2 (en) * 2000-01-14 2010-04-28 パナソニック株式会社 Optical disc, address information generation method, optical disc address reading device, and optical disc data writing device
US6484060B1 (en) * 2000-03-24 2002-11-19 Micron Technology, Inc. Layout for measurement of overlay error
TW588414B (en) * 2000-06-08 2004-05-21 Toshiba Corp Alignment method, overlap inspecting method and mask
US6462818B1 (en) * 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6734971B2 (en) * 2000-12-08 2004-05-11 Lael Instruments Method and apparatus for self-referenced wafer stage positional error mapping
JP4198877B2 (en) * 2000-12-25 2008-12-17 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
TW526573B (en) * 2000-12-27 2003-04-01 Koninkl Philips Electronics Nv Method of measuring overlay
JP2003014819A (en) * 2001-07-03 2003-01-15 Matsushita Electric Ind Co Ltd Semiconductor wiring board, semiconductor device, test method therefor and mounting method therefor
KR100809955B1 (en) * 2001-11-27 2008-03-06 삼성전자주식회사 align measuring method of photo-lithography fabrication
KR100435260B1 (en) * 2001-12-03 2004-06-11 삼성전자주식회사 align measuring method of photo-lithography fabrication
US20030160163A1 (en) * 2002-02-25 2003-08-28 Alan Wong Optical metrology target design for simultaneous measurement of multiple periodic structures
US6664121B2 (en) * 2002-05-20 2003-12-16 Nikon Precision, Inc. Method and apparatus for position measurement of a pattern formed by a lithographic exposure tool
US7346878B1 (en) * 2003-07-02 2008-03-18 Kla-Tencor Technologies Corporation Apparatus and methods for providing in-chip microtargets for metrology or inspection
US7608468B1 (en) * 2003-07-02 2009-10-27 Kla-Tencor Technologies, Corp. Apparatus and methods for determining overlay and uses of same

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5604819A (en) * 1993-03-15 1997-02-18 Schlumberger Technologies Inc. Determining offset between images of an IC
US5960125A (en) * 1996-11-21 1999-09-28 Cognex Corporation Nonfeedback-based machine vision method for determining a calibration relationship between a camera and a moveable object

Cited By (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7061615B1 (en) 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6982793B1 (en) 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6958819B1 (en) 2002-04-04 2005-10-25 Nanometrics Incorporated Encoder with an alignment target
US7230705B1 (en) 2002-04-04 2007-06-12 Nanometrics Incorporated Alignment target with designed in offset
US7046361B1 (en) 2002-04-04 2006-05-16 Nanometrics Incorporated Positioning two elements using an alignment target with a designed offset
US6949462B1 (en) 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US7236244B1 (en) 2002-04-04 2007-06-26 Nanometrics Incorporated Alignment target to be measured with multiple polarization states
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US6970255B1 (en) 2003-04-23 2005-11-29 Nanometrics Incorporated Encoder measurement based on layer thickness
DE10345524B4 (en) * 2003-09-30 2005-10-13 Infineon Technologies Ag Method for determining a relative offset of two structured circuit patterns on a semiconductor wafer by means of a scanning electron microscope
DE10345524A1 (en) * 2003-09-30 2005-05-04 Infineon Technologies Ag Overlay measurement structure method e.g. for determining relative off set of two structure switch patters on semiconductor wafer via raster electron microscope
WO2005106932A1 (en) * 2004-04-28 2005-11-10 Nikon Corporation Analysis method, exposing equipment and exposing equipment system
JPWO2005106932A1 (en) * 2004-04-28 2008-03-21 株式会社ニコン Analysis method, exposure apparatus and exposure apparatus system
US7678516B2 (en) 2004-07-22 2010-03-16 Kla-Tencor Technologies Corp. Test structures and methods for monitoring or controlling a semiconductor fabrication process
DE102004063522A1 (en) * 2004-07-30 2006-03-23 Infineon Technologies Ag Method for correcting structure-size-dependent placement errors in photolithographic projection by means of an exposure apparatus and its use
US7423725B2 (en) 2005-09-06 2008-09-09 Asml Netherlands B.V. Lithographic method
EP1760531A1 (en) * 2005-09-06 2007-03-07 ASML Netherlands B.V. Lithographic method
US7426011B2 (en) 2005-09-12 2008-09-16 Asml Netherlands B.V. Method of calibrating a lithographic apparatus and device manufacturing method
US7667842B2 (en) 2005-09-30 2010-02-23 GlobalFoundries, Inc. Structure and method for simultaneously determining an overlay accuracy and pattern placement error
WO2007040855A1 (en) * 2005-09-30 2007-04-12 Advanced Micro Devices, Inc. Structure and method for simultaneously determining an overlay accuracy and pattern placement error
GB2446314B (en) * 2005-09-30 2010-09-08 Advanced Micro Devices Inc Structure and method for simultaneously determining an overlay accuracy and pattern placement error
KR101309752B1 (en) 2005-09-30 2013-09-23 글로벌파운드리즈 인크. Structure and method for simultaneously determining an overlay accuracy and pattern placement error
GB2446314A (en) * 2005-09-30 2008-08-06 Advanced Micro Devices Inc Structure and method for simultaneously determining an overlay accuracy and pattern placement error
CN102414615B (en) * 2009-04-29 2015-11-25 卡尔蔡司Sms有限责任公司 For method and the calibration mask of calibrating position measuring equipment
WO2010124791A1 (en) * 2009-04-29 2010-11-04 Carl Zeiss Sms Gmbh Method and calibration mask for calibrating a position measuring apparatus
CN102414615A (en) * 2009-04-29 2012-04-11 卡尔蔡司Sms有限责任公司 Method and calibration mask for calibrating a position measuring apparatus
US8617774B2 (en) 2009-04-29 2013-12-31 Carl Zeiss Sms Gmbh Method and calibration mask for calibrating a position measuring apparatus
JP2015532733A (en) * 2012-09-06 2015-11-12 ケーエルエー−テンカー コーポレイション Device correlation measurement method (DCM) for OVL with embedded SEM structure overlay target
US10488767B2 (en) 2016-06-03 2019-11-26 Asml Holding N.V. Alignment system wafer stack beam analyzer
EP3321737A1 (en) * 2016-11-10 2018-05-16 ASML Netherlands B.V. Method for determining an optimized set of measurement locations for measurement of a parameter of a lithographic process, metrology system
WO2018086795A1 (en) * 2016-11-10 2018-05-17 Asml Netherlands B.V. Method for determining an optimized set of measurement locations for measurement of a parameter of a lithographic process, metrology system and computer program products for implementing such methods
US10816907B2 (en) 2016-11-10 2020-10-27 Asml Netherlands B.V. Method for determining an optimized set of measurement locations for measurement of a parameter of a lithographic process, metrology system and computer program products for implementing such methods
WO2022235424A1 (en) * 2021-05-03 2022-11-10 Kla Corporation Self-calibrating overlay metrology
US11604420B2 (en) 2021-05-03 2023-03-14 Kla Corporation Self-calibrating overlay metrology
US11880142B2 (en) 2021-05-03 2024-01-23 Kla Corporation Self-calibrating overlay metrology
CN114171500A (en) * 2021-12-07 2022-03-11 成都海威华芯科技有限公司 Layout positioning mark drawing method, chip and wafer prepared based on layout positioning mark drawing method
CN114171500B (en) * 2021-12-07 2024-04-09 成都海威华芯科技有限公司 Layout positioning mark drawing method, chip prepared based on layout positioning mark drawing method and wafer

Also Published As

Publication number Publication date
US7804994B2 (en) 2010-09-28
US20030223630A1 (en) 2003-12-04
AU2003213059A1 (en) 2003-09-09
JP2005518107A (en) 2005-06-16

Similar Documents

Publication Publication Date Title
US7804994B2 (en) Overlay metrology and control method
JP6872593B2 (en) Measurement methods, computer products and systems
KR102182415B1 (en) Method and apparatus for determining patterning process parameters
JP7191954B2 (en) Method and apparatus for determining patterning process parameters
US6699627B2 (en) Reference wafer and process for manufacturing same
US7381503B2 (en) Reference wafer calibration reticle
KR101991762B1 (en) Method of measuring a property of a target structure, inspection apparatus, lithographic system and device manufacturing method
US7571420B2 (en) Dynamic sampling with efficient model for overlay
US7368208B1 (en) Measuring phase errors on phase shift masks
US10571812B2 (en) Method of calibrating focus measurements, measurement method and metrology apparatus, lithographic system and device manufacturing method
US7197722B2 (en) Optimization of sample plan for overlay
CN114556223A (en) Metrology mark structure and method for determining metrology mark structure
KR102604928B1 (en) Method and apparatus for inspection of structures and associated devices
JP3999775B2 (en) Method and apparatus for self-referencing dynamic step and intra-scan field lens distortion
US7171319B2 (en) Method and apparatus to separate field and grid parameters on first level wafers
Leroux et al. Focus characterization using end of line metrology
US20060164618A1 (en) Method and apparatus for measurement of exit pupil telecentricity and source boresighting
WO2023126174A1 (en) Enhanced alignment for a photolithographic apparatus
Robinson et al. A comparison of methods for in-chip overlay control at the 65-nm node
Zavecz Full sub-65 nm data-modeling for Photomask Manufacturing

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2003570292

Country of ref document: JP

122 Ep: pct application non-entry in european phase