WO2003073265A2 - METHOD AND SYSTEM FOR CREATING A CUSTOMIZED SUPPORT PACKAGE FOR AN FPGA-BASED SYSTEM-ON-CHIP (SoC) - Google Patents

METHOD AND SYSTEM FOR CREATING A CUSTOMIZED SUPPORT PACKAGE FOR AN FPGA-BASED SYSTEM-ON-CHIP (SoC) Download PDF

Info

Publication number
WO2003073265A2
WO2003073265A2 PCT/US2003/004956 US0304956W WO03073265A2 WO 2003073265 A2 WO2003073265 A2 WO 2003073265A2 US 0304956 W US0304956 W US 0304956W WO 03073265 A2 WO03073265 A2 WO 03073265A2
Authority
WO
WIPO (PCT)
Prior art keywords
soc
software
fpga
customized
support package
Prior art date
Application number
PCT/US2003/004956
Other languages
French (fr)
Other versions
WO2003073265A3 (en
Inventor
Reno L. Sanchez
John H. Linn
Original Assignee
Xilinx, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Xilinx, Inc. filed Critical Xilinx, Inc.
Publication of WO2003073265A2 publication Critical patent/WO2003073265A2/en
Publication of WO2003073265A3 publication Critical patent/WO2003073265A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/34Circuit design for reconfigurable circuits, e.g. field programmable gate arrays [FPGA] or programmable logic devices [PLD]
    • G06F30/343Logical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/34Circuit design for reconfigurable circuits, e.g. field programmable gate arrays [FPGA] or programmable logic devices [PLD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/02System on chip [SoC] design

Definitions

  • This invention relates generally to programmable logic devices, and more particularly to a method and system for creating support packages for customized FPGA-based SoCs .
  • Programmable devices are a class of general-purpose integrated circuits that can be configured for a wide variety of applications . Such programmable devices have two basic versions, mask programmable devices, which are programmed only by a manufacturer, and field programmable devices, which are programmable by the end user. In addition, programmable devices can be further categorized as programmable memory devices or programmable logic devices . Programmable memory devices include programmable read only memory (PROM) , erasable programmable read only memory (EPROM) and electronically erasable programmable read only memory (EEPROM) . Programmable logic devices include programmable logic array (PLA) devices, programmable array logic (PAL) devices, erasable programmable logic devices (EPLD) devices, and programmable gate arrays (PISA) .
  • PROM programmable read only memory
  • EPROM erasable programmable read only memory
  • EEPROM electronically erasable programmable read only memory
  • Programmable logic devices include programmable logic array (PLA
  • FPGAs field programmable gate arrays
  • ASIC application specific integrated circuits
  • An ASIC is a specialized integrated circuit that is designed for a particular application and can be implemented as a specialized microprocessor.
  • an FPGA is a programmable logic device (PLD) that has an extremely high density of electronic gates as compared to an ASIC. This high gate density has contributed enormous to the popularity of FPGAs.
  • FPGAs can be designed using a variety of architectures that can include user configurable input/output blocks (IOBs) , and programmable logic blocks having configurable interconnects and switching capability.
  • IOBs user configurable input/output blocks
  • An embedded processor or controller can be a microprocessor or microcontroller circuitry that has been integrated into an electronic device as opposed to being built as a standalone module or "plugin card. " Advancement of FPGA technology has led to the development of FPGA-based system-on-chips (SoC) including FPGA-based embedded processor system-on-chips .
  • SoC system-on-chips
  • a SoC is a fully functional product having its electronic circuitry contained on a single chip. While a microprocessor chip requires ancillary hardware electronic components to process instructions, a SoC would include all required ancillary electronics.
  • a SoC for a cellular telephone can include a microprocessor, encoder, decoder, digital signal processor (DSP) , RAM and ROM. It should be understood within contemplation of the present invention that an FPGA-Based SoC does not necessarily include a microprocessor or microcontroller.
  • a SoC for a cellular telephone could also include an encoder, decoder, digital signal processor (DSP) , RAM and ROM that rely on an external microprocessor.
  • DSP digital signal processor
  • FPGA-based embedded processor SoCs are a specific subset of FPGA-based SoCs that would include their own processors .
  • FPGA-based SoCs Notwithstanding advantages provided by using FPGA-based SoCs, the development of these SoCs can be very challenging. Although a vast proportion of cores are commercially available, a significantly greater proportion of cores are proprietary. Proprietary cores can be called customer specific cores. Commercially available cores can typically include standardized interfaces, which can provide interconnectivity between system components from various vendors. Customer specific cores can typically include proprietary interfaces that do not readily facilitate interconnectivity between system components from other vendors. For example, customer specific cores can be written in proprietary languages, which are completely different from standardized languages. Since customer specific cores do not readily facilitate interconnectivity with other vendor's system components, integrating customer specific cores during customization of an FPGA-based SoC can be time consuming.
  • Integration of the cores can include simulating, modeling and debugging the integrated cores in an operating environment .
  • Simulation and modeling can be a daunting task since it can take hours if not days to simulate a few milliseconds of real time operation.
  • FPGA based embedded processor SoCs are being introduced into the market, but there are no solutions which allow users to customize the system, the hardware cores, and the associated software nor is there a system enabling a user to tradeoff between a function which is implemented in hardware (FPGA fabric) or software (running on the embedded processor) . It would be desirable to have a method and system for better integrating cores during customization of FPGA-based SoCs.
  • the present invention can provide a method for customization of the software of an FPGA-based SoC.
  • parameters can be used to configure the selected system component for use with the FPGA-based SoC.
  • the parameters used to configure the selected system component can be propagated and used to configure peer system components.
  • other parameters that are used to configure the peer system component can also be propagated and used to configure the selected system component.
  • the parameters used to configure the peer system components can be propagated to subsequently selected system components that can be used to configure the FPGA-based SoC.
  • the method further comprises the step of creating a software interface to the selected system component or components and to the, eer system components.
  • a support package generator for an FPGA-based system-on-chip comprises a software interface having access to a collection of software component libraries for supporting functions of a customized FPGA-based SoC and a self contained directory specifying directory locations for items selected from the group comprising an output of the support package generator, a chip support package template file, a software device driver file.
  • the support package generator can also include software interface that serves as a software interface to hardware functions selected from the group comprising hardware initialization, interrupt handling, interrupt generation, hardware clock management, hardware timer management, mapping of local and bus memory spaces, and memory sizing.
  • FIG. 1 is a block diagram of a processor system generator in accordance with the invention.
  • FIG. 3 depicts a flow chart illustrating exemplary steps for creating a customized support package in accordance with the invention.
  • FIG. 4 depicts a flow chart illustrating a method of customizing an FPGA-based SoC.
  • FIG. 5 depicts an interface for integrating software system component cores in accordance with the inventive arrangements .
  • FIG. 6 depicts an exemplary hardware interface for integrating hardware system components in accordance with the invention.
  • the relevant bus architectures and memory options that can be utilized for the development of an FPGA-based SoC can be included in the libraries. Further, a good example of hardware/software function tradeoff can involve the protocol stack, which can be implemented in either hardware or software. A user may implement a protocol stack in software if there is sufficient processing power to meet all performance requirements or the user could implement the protocol stack in hardware given sufficient FPGA resources and a presumed need for higher performance.
  • a system model can be created to facilitate design and testing of a FPGA-based SoC.
  • the system model can include a data structure that represents the internal structure and functionality of the FPGA-based SoC.
  • the system model can include, but is not limited to, system components, interconnections between components, and attributes, which define various characteristics and functionality of the system components and interconnections .
  • the data structure can be a hierarchical structure, for example a tree structure, which can mirror the design hierarchy of the embedded system.
  • This system model can also include algorithms, which can facilitate selection and customization of system components.
  • the system model can be part of an integrated object- oriented system (OOS) that can facilitate selection and customization of the system components.
  • OOS integrated object- oriented system
  • other mechanisms and algorithms external to the system model can facilitate selection and customization of the system components. Referring now to FIG.
  • the platform generator 105 can include one or more GUIs that can facilitate design of the system model.
  • a main GUI can provide various system options and informational dialogs.
  • the platform generator can include, a navigator GUI having one or more dialogs and/or objects, a topological GUI having one or more dialogs and/or objects and a selection customizer GUI having one or more dialogs and/or objects.
  • One or more dialogs and/or objects can provide system component resource counts, performance estimates, power requirements and system and application software requirements.
  • a GUI can be used to display a table or chart representing the resource allocation for the system components.
  • Such table or chart can provide an easily readable condensed view of the system resource allocation.
  • An exemplary table is illustrated below.
  • the table shows a breakdown of particular resources utilized by each device and also the total resources utilized by all devices.
  • the available resources can be computed based on the total utilized resources and the total device resources. For example, there are 122880 D-flip flops (DFFs) available.
  • DFFs D-flip flops
  • OPB arbiter utilizes 200 DFFs
  • UART 16450 utilizes 400 DFFs
  • Ethernet 10/100M device utilizes 1700 DFFs.
  • a navigator dialog and/or object can provide an interactive interface that can facilitate viewing of design specification and configuration information.
  • one or more navigator objects can provide a graphical view to facilitate the insertion of a microprocessor from a library into the system model.
  • UART universal asynchronous receiver/transmitter
  • the navigator object and/or dialog can permit customization of the UART.
  • the navigator dialog can also be configured to permit switching between multiple design and implementation tasks .
  • the topological dialog can utilize a block diagram format to provide a topological view that can visually represent the existing state of the system model.
  • the selection customizer object can permit the selection and customization of a system component.
  • a system parameter customizer 115a can facilitate customization of the memory map, interrupt bindings and priorities, and global and default system parameter definitions .
  • the hardware intellectual property (IP) parameter customizer 115b can facilitate customization of device specific parameters. For example, data bus widths, IP interfaces and device specific parameters can be customized by hardware intellectual property (IP) parameter customizer 115b.
  • the software intellectual property (IP) parameter customizer 115c can facilitate customization of software specific parameters. For example, upon selection of a system component or a peripheral, an interrupt request (IRQ) number, a memory mapped I/O address and default initialization parameters can be assigned to the peripheral by the software IP parameter customizer 115c. In a case where a UART has been selected as a peripheral, default parameters can include, but are not limited to, stop bits, parity designation on/off, and baud rate.
  • the customizer system 115 not only provides selection of the system components, but can also be configured to bind system parameters to system components. For example, the memory map for a particular peripheral can be bound to the peripheral giving the peripheral its unique memory address space. Furthermore, a GUI having one or more dialogs can be used to populate a system model data structure with customization parameters and/or attributes.
  • system analyzer 120 can have the capability to determine if system components are properly configured. For example, system analyzer 120 can identify a high-speed device that has not been configured with direct memory access (DMA) . Since such a device can invariably cause a system conflict, system analyzer can consider it as a source of potential problem. System analyzer 120 can also determine whether there are too many devices residing on a bus based on the device count.
  • DMA direct memory access
  • the system analyzer 120 can have the capability to determine whether there are too many high-speed devices on a low speed bus. In this case, the system analyzer 120 can indicate the possibility of errors and/or generate possible solutions. By tracking memory usage, the system analyzer 120 can have the capability to determine whether the code space assigned in the memory map is too large for the physical memory. System analyzer 120 can also be configured to track physical resource requirements for example, slice counts for IP blocks, and width and height of specifications of IP blocks. A GUI can provide a visual display of a resulting or representative floor plan to aid with tracking and management of physical resources.
  • Code generator 125 can include one or more GUIs having objects and/or dialogs that can facilitate generation of the code necessary for implementing the design of the FPGA- based embedded processor SoC or FPGA-based SoC.
  • the code necessary for implementing the design of the FPGA-based SoC can be in a format such as the well known hardware description language (HDL) .
  • HDL is a language used to describe the functions of an electronic circuit for documentation, simulation and/or logic synthesis.
  • Verilog and VHSIC Hardware Description Language (VHDL) are standardized HDLs which are well known by those skilled in the art. Verilog and VHDL can be used to design electronic systems at the component, board and system level. They can facilitate the development of models at a very high level of abstraction.
  • code generator 125 can tailor "header files, " which can be used to implement the software IP of the FPGA-based SoC.
  • code generator 125 can produce a source code directory structure that can facilitate implementation of the software IP of the FPGA-based SoC.
  • the code generator 125 can also generate the necessary "make files, " which can be used to define the rules necessary for compiling and building the code used to implement the software IP of the FPGA-based SoC.
  • the code generator 125 can be configured to generate information that can be used for debugging.

Abstract

A method for customization of the software of an FPGA-based SoC includes the steps of selecting (380) a system component used for customizing the FPGA-based SoC, configuring (382) the selected system component with parameters for use with the FPGA-based SoC and propagating (384) the parameters used to configure the selected system component to peer system components. The method further includes the step of configuring (388) the peer system components using the propagated parameters during customization of the FPGA-based SoC and creating (401) a software interface to the selected system components and to the peer system components.

Description

METHOD AND SYSTEM FOR CREATING A CUSTOMIZED SUPPORT PACKAGE FOR AW FPGA-BASED SYSTEM-ON-CHIP (SoC)
FIELD OF THE INVENTION This invention relates generally to programmable logic devices, and more particularly to a method and system for creating support packages for customized FPGA-based SoCs .
BACKGROUND OF THE INVENTION Programmable devices are a class of general-purpose integrated circuits that can be configured for a wide variety of applications . Such programmable devices have two basic versions, mask programmable devices, which are programmed only by a manufacturer, and field programmable devices, which are programmable by the end user. In addition, programmable devices can be further categorized as programmable memory devices or programmable logic devices . Programmable memory devices include programmable read only memory (PROM) , erasable programmable read only memory (EPROM) and electronically erasable programmable read only memory (EEPROM) . Programmable logic devices include programmable logic array (PLA) devices, programmable array logic (PAL) devices, erasable programmable logic devices (EPLD) devices, and programmable gate arrays (PISA) .
As chip capacity continues to increase significantly, the use of field programmable gate arrays (FPGAs) is quickly replacing the use of application specific integrated circuits (ASICs) . An ASIC is a specialized integrated circuit that is designed for a particular application and can be implemented as a specialized microprocessor. Notably, an FPGA is a programmable logic device (PLD) that has an extremely high density of electronic gates as compared to an ASIC. This high gate density has contributed immensely to the popularity of FPGAs. Notably, FPGAs can be designed using a variety of architectures that can include user configurable input/output blocks (IOBs) , and programmable logic blocks having configurable interconnects and switching capability. The advancement of computer chip technology has also resulted in the development of embedded processors and controllers. An embedded processor or controller can be a microprocessor or microcontroller circuitry that has been integrated into an electronic device as opposed to being built as a standalone module or "plugin card. " Advancement of FPGA technology has led to the development of FPGA-based system-on-chips (SoC) including FPGA-based embedded processor system-on-chips . A SoC is a fully functional product having its electronic circuitry contained on a single chip. While a microprocessor chip requires ancillary hardware electronic components to process instructions, a SoC would include all required ancillary electronics. For example, a SoC for a cellular telephone can include a microprocessor, encoder, decoder, digital signal processor (DSP) , RAM and ROM. It should be understood within contemplation of the present invention that an FPGA-Based SoC does not necessarily include a microprocessor or microcontroller. For example, a SoC for a cellular telephone could also include an encoder, decoder, digital signal processor (DSP) , RAM and ROM that rely on an external microprocessor. It should also be understood herein that "FPGA-based embedded processor SoCs" are a specific subset of FPGA-based SoCs that would include their own processors .
In order for device manufacturers to develop FPGA-based SoCs or FPGA-based embedded processor SoCs, it is necessary for them to acquire intellectual property rights for system components and/or related technologies that are utilized to create the FPGA-based SoCs. These system components and/or technologies are called cores or Intellectual Property (IP) cores. An electronic file containing system component information can typically be used to represent the core. A device manufacturer will generally acquire several cores that are integrated to fabricate the SoC .
Notwithstanding advantages provided by using FPGA-based SoCs, the development of these SoCs can be very challenging. Although a vast proportion of cores are commercially available, a significantly greater proportion of cores are proprietary. Proprietary cores can be called customer specific cores. Commercially available cores can typically include standardized interfaces, which can provide interconnectivity between system components from various vendors. Customer specific cores can typically include proprietary interfaces that do not readily facilitate interconnectivity between system components from other vendors. For example, customer specific cores can be written in proprietary languages, which are completely different from standardized languages. Since customer specific cores do not readily facilitate interconnectivity with other vendor's system components, integrating customer specific cores during customization of an FPGA-based SoC can be time consuming. This resulted in increased development cost and greater time-to-market. Integration of the cores can include simulating, modeling and debugging the integrated cores in an operating environment . Simulation and modeling can be a daunting task since it can take hours if not days to simulate a few milliseconds of real time operation. FPGA based embedded processor SoCs are being introduced into the market, but there are no solutions which allow users to customize the system, the hardware cores, and the associated software nor is there a system enabling a user to tradeoff between a function which is implemented in hardware (FPGA fabric) or software (running on the embedded processor) . It would be desirable to have a method and system for better integrating cores during customization of FPGA-based SoCs. After a system is customized with cores for an FPGA-based SoC, a software interface to a circuit board is needed. Traditionally, a Board Support Package (BSP) provided this software interface to a fixed entity (the circuit board) and included a collection of libraries which isolated all hardware specific functionality from the software. In the case of an FPGA-based SoC, a more dynamic solution is needed since the FPGA-based SoC is a much more dynamic environment than the traditional circuit board. Thus, a need exists for a software framework that supports the dynamic nature of customized FPGA-based SoCs . SUMMARY OF THE INVENTION
In a first aspect, the present invention can provide a method for customization of the software of an FPGA-based SoC. Subsequent to selecting a system component used for customizing the FPGA-based SoC, parameters can be used to configure the selected system component for use with the FPGA-based SoC. The parameters used to configure the selected system component can be propagated and used to configure peer system components. Notably, other parameters that are used to configure the peer system component can also be propagated and used to configure the selected system component. The parameters used to configure the peer system components can be propagated to subsequently selected system components that can be used to configure the FPGA-based SoC. The method further comprises the step of creating a software interface to the selected system component or components and to the, eer system components. Selection of the system components can also include the provision of an option for selecting a hardware implementation or a software implementation for customizing the FPGA-based SoC. Additionally, the step of selecting the system component can include selecting a system component from the group consisting of a hardware core and a software core. In another aspect of the present invention, a method of generating a chip support package for a customized FPGA- based SoC can comprise the step of monitoring during initialization of the customized FPGA-based SoC for at least one system component and associated parameters among a plurality of system components used for customizing the customized FPGA-based SoC and the step of creating a software interface based on the system components and associated parameters monitored. In yet another aspect of the present invention, a support package generator for an FPGA-based system-on-chip (SoC) comprises a software interface having access to a collection of software component libraries for supporting functions of a customized FPGA-based SoC and a self contained directory specifying directory locations for items selected from the group comprising an output of the support package generator, a chip support package template file, a software device driver file.
The support package generator can also include software interface that serves as a software interface to hardware functions selected from the group comprising hardware initialization, interrupt handling, interrupt generation, hardware clock management, hardware timer management, mapping of local and bus memory spaces, and memory sizing.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a block diagram of a processor system generator in accordance with the invention.
FIG. 2 depicts an exemplary topological view of a system ■model for generating a customized support package in accordance with the inventive arrangements.
FIG. 3 depicts a flow chart illustrating exemplary steps for creating a customized support package in accordance with the invention.
FIG. 4 depicts a flow chart illustrating a method of customizing an FPGA-based SoC.
FIG. 5 depicts an interface for integrating software system component cores in accordance with the inventive arrangements .
FIG. 6 depicts an exemplary hardware interface for integrating hardware system components in accordance with the invention.
DETAILED DESCRIPTION OF THE DRAWINGS
Referring to FIG. 1, there is shown a block diagram illustrating an exemplary system for developing and verifying a FPGA-based SoC in accordance with the invention. For illustrative purposes, and without limiting the scope of the invention, an embedded system consisting of a microprocessor, buses, memory architecture, peripherals, and software components is presented, although a system using an external microprocessor is certainly contemplated within the scope of the invention. Exemplary software components for the embedded system can include, but is not limited to, device drivers and system software, such as a real time operating system (RTOS) and protocol stacks . An exemplary development environment for this embedded system can include, but is not limited to, one or more libraries for microprocessors, peripherals, system software, and device drivers. The relevant bus architectures and memory options that can be utilized for the development of an FPGA-based SoC can be included in the libraries. Further, a good example of hardware/software function tradeoff can involve the protocol stack, which can be implemented in either hardware or software. A user may implement a protocol stack in software if there is sufficient processing power to meet all performance requirements or the user could implement the protocol stack in hardware given sufficient FPGA resources and a presumed need for higher performance. In general, a system model can be created to facilitate design and testing of a FPGA-based SoC. The system model can include a data structure that represents the internal structure and functionality of the FPGA-based SoC. The system model can include, but is not limited to, system components, interconnections between components, and attributes, which define various characteristics and functionality of the system components and interconnections . The data structure can be a hierarchical structure, for example a tree structure, which can mirror the design hierarchy of the embedded system. This system model can also include algorithms, which can facilitate selection and customization of system components. Notably, the system model can be part of an integrated object- oriented system (OOS) that can facilitate selection and customization of the system components. Alternatively, other mechanisms and algorithms external to the system model can facilitate selection and customization of the system components. Referring now to FIG. 1, there are shown a platform generator 105, a system selector 110, a system customizer 115, a system analyzer 120, a code generator 125 and a system implementor 130 all forming a processor system generator. The platform generator 105 can include one or more GUIs that can facilitate design of the system model. A main GUI can provide various system options and informational dialogs. The platform generator can include, a navigator GUI having one or more dialogs and/or objects, a topological GUI having one or more dialogs and/or objects and a selection customizer GUI having one or more dialogs and/or objects. One or more dialogs and/or objects can provide system component resource counts, performance estimates, power requirements and system and application software requirements. For example, a GUI can be used to display a table or chart representing the resource allocation for the system components. Advantageously, such table or chart can provide an easily readable condensed view of the system resource allocation. An exemplary table is illustrated below.
Figure imgf000009_0001
Referring to the table, a condensed view of the system resources is provided. Specifically, the table shows a breakdown of particular resources utilized by each device and also the total resources utilized by all devices. The available resources can be computed based on the total utilized resources and the total device resources. For example, there are 122880 D-flip flops (DFFs) available. OPB arbiter utilizes 200 DFFs, UART 16450 utilizes 400 DFFs, and Ethernet 10/100M device utilizes 1700 DFFs. Hence, there are 2300 DFFs utilized, which leaves 120580 available.
A navigator dialog and/or object can provide an interactive interface that can facilitate viewing of design specification and configuration information. For example, one or more navigator objects can provide a graphical view to facilitate the insertion of a microprocessor from a library into the system model. In a case where a universal asynchronous receiver/transmitter (UART) is selected as a peripheral, the navigator object and/or dialog can permit customization of the UART. The navigator dialog can also be configured to permit switching between multiple design and implementation tasks . The topological dialog can utilize a block diagram format to provide a topological view that can visually represent the existing state of the system model. The selection customizer object can permit the selection and customization of a system component. Upon selection of a system component, a GUI which can include a dialog, can facilitate customization of the system component. Platform generator 105 can have the capability to permit a particular state and/or stage of the system design and implementation .to be saved and recalled at a subsequent time. System selector 110 can be a GUI that can facilitate selection of the system components that can be used to design the FPGA-based SoC. For example, the system selector 110 can provide one or more dialogs that can permit the selection of microprocessors, microcontrollers, peripheral devices, buses, system software and application software. During selection of system components, each of the selected components can be independently treated. The system customizer 115 can include one or more GUIs having objects and/or dialogs that can facilitate customization or configuration of system components and software. Referring to FIG. 1, there are shown a system parameter customizer 115a, a hardware intellectual property (IP) parameter customizer 115b, and a software IP parameter customizer 115c. The system parameter customizer 115a can facilitate customization of the memory map, interrupt bindings and priorities, and global and default system parameter definitions . The hardware intellectual property (IP) parameter customizer 115b can facilitate customization of device specific parameters. For example, data bus widths, IP interfaces and device specific parameters can be customized by hardware intellectual property (IP) parameter customizer 115b.
The software intellectual property (IP) parameter customizer 115c can facilitate customization of software specific parameters. For example, upon selection of a system component or a peripheral, an interrupt request (IRQ) number, a memory mapped I/O address and default initialization parameters can be assigned to the peripheral by the software IP parameter customizer 115c. In a case where a UART has been selected as a peripheral, default parameters can include, but are not limited to, stop bits, parity designation on/off, and baud rate. The customizer system 115 not only provides selection of the system components, but can also be configured to bind system parameters to system components. For example, the memory map for a particular peripheral can be bound to the peripheral giving the peripheral its unique memory address space. Furthermore, a GUI having one or more dialogs can be used to populate a system model data structure with customization parameters and/or attributes.
The system analyzer 120 can include one or more GUIs having objects and/or dialogs that can provide immediate feedback regarding architectural choices made during customization. The system analyzer 120 can include software that can have the capability to validate and analyze the system model while it is being customized. If problems including, incompatibilities, conflicts and/or system violations occur, the system analyzer 120 can issue immediate warnings and/or provide possible solutions. The system analyzer 120 can perform tasks such as system checks, parameter consistency checks, data type and value propagation checks, interconnection inference, and resource and performance analysis . Interconnection reference pertains to implications that can result from making certain connections. The system analyzer 120 can also assign device identifications (IDs) to system components and computing configuration read-only-memory (ROM) data. Exemplary system and parameter consistency checks can include, matching data bus widths of peripherals and system components, determining interrupt conflicts, determining memory map conflicts, determining memory size and usage, determining device counts, determining availability of FPGA resources and determining maximum operating frequency. The system analyzer 120 can be configured to propagate default values, global values and/or previously defined values through the system model. For example, if a bus is configured with a default data width of 16 bits, then each peripheral that "sits on" or utilizes that bus can automatically be configured with a data width of 16 bits. It should be recognized by one skilled in the art that although a peripheral device may be automatically configured with the default bus width value, this value can be overwritten. For example, depending on the application, availability of certain devices can dictate that two (2) 8- bit devices be utilized instead of a single 16-bit device. Advantageously, the propagation of values can prevent multiple entry of similar data which typically increases development time . During performance analysis, system analyzer 120 can have the capability to determine if system components are properly configured. For example, system analyzer 120 can identify a high-speed device that has not been configured with direct memory access (DMA) . Since such a device can invariably cause a system conflict, system analyzer can consider it as a source of potential problem. System analyzer 120 can also determine whether there are too many devices residing on a bus based on the device count. For example, the system analyzer 120 can have the capability to determine whether there are too many high-speed devices on a low speed bus. In this case, the system analyzer 120 can indicate the possibility of errors and/or generate possible solutions. By tracking memory usage, the system analyzer 120 can have the capability to determine whether the code space assigned in the memory map is too large for the physical memory. System analyzer 120 can also be configured to track physical resource requirements for example, slice counts for IP blocks, and width and height of specifications of IP blocks. A GUI can provide a visual display of a resulting or representative floor plan to aid with tracking and management of physical resources. Code generator 125 can include one or more GUIs having objects and/or dialogs that can facilitate generation of the code necessary for implementing the design of the FPGA- based embedded processor SoC or FPGA-based SoC. The code necessary for implementing the design of the FPGA-based SoC can be in a format such as the well known hardware description language (HDL) . HDL is a language used to describe the functions of an electronic circuit for documentation, simulation and/or logic synthesis. Verilog and VHSIC Hardware Description Language (VHDL) are standardized HDLs which are well known by those skilled in the art. Verilog and VHDL can be used to design electronic systems at the component, board and system level. They can facilitate the development of models at a very high level of abstraction. Other formats now known or to be discovered can also be used to represent the system model. Depending on information generated by, for example, the software IP parameter customizer 115c, the code generator 125 can tailor "header files, " which can be used to implement the software IP of the FPGA-based SoC. Moreover, depending on the selected software IP, processors, peripherals, operating system and device drivers, code generator 125 can produce a source code directory structure that can facilitate implementation of the software IP of the FPGA-based SoC. The code generator 125 can also generate the necessary "make files, " which can be used to define the rules necessary for compiling and building the code used to implement the software IP of the FPGA-based SoC. The code generator 125 can be configured to generate information that can be used for debugging. The generated information can be in an ASCII format or other suitable format and can include information such as the memory map, the configuration ROM table and the peripheral ID map. The system implementor 130 can include one or more GUIs that can have objects and/or dialogs that can facilitate implementation of the FPGA-based SoC design. Implementation of the design can include, but is not limited to, HDL simulation and synthesis, mapping of information generated by the code generator 125, placement, routing and bitstream generation. An integrated tool or separate tools can facilitate the implementation of the FPGA-based SoC design. FIG. 2 depicts a functional flow chart analogous to the block diagram and hardware description of FIG. 1. The BSP/CSP generator 225 preferably serves as a tool for automating the creation of a BSP and/or CSP based on a customized FPGA-based SoC such as Xilinx's FPGA-based embedded processor SoC. The BSP would contain all the necessary support software for a customized system, including boot code, device drivers, and RTOS initialization. The generator 225 preferably takes as input a system description 215 that is preferably created using a platform generator 205 similar to the platform generator 105 previously described with respect to FIG. 1. Using the system description 215 along with BSP (or CSP) template files 235, the generator 225 produces a directory structure containing a customized BSP and/or CSP 240. Every operating system supported by the generator 225 can have a corresponding set of template files. If necessary, the user (such as a developer) can further tailor the
BSP/CSP 240 to meet specific needs such as off-chip device support as well as add application-level software. Thus, the directory generated will generally contain canned BSP files for the operating system and specific processor (in the case of a FPGA-based embedded processor SoC) as well as customized BSP files that are BSP template files modified by the generator 225 to reflect the actual system just created by the platform generator 205. These templates can include makefiles used to build the BSP. Additionally, the directory will contain software device driver files for peripherals included in the system description. With respect to the directory (and corresponding directory tree) produced by the generator 225, it is preferable that BSP/CSP directory be self-contained to enable easy portability to any other user directory based on the needs of their operating system development tools. Besides names for the BSP and associated CSPs, a directory tree (as explained above) would further contain device driver software and associated makefiles. Preferably, only those device drivers actually used in the system is copied (from a repository created by the Platform Generator 205 for example) to the directory tree. Rather than create a BSP that points (e.g. in makefiles) to the driver repository, the necessary driver files are copied to the BSP directory to create a self-contained BSP. An XML tag format will allow for more than one CSP to be included in a single BSP such as in the case where two or more FPGAs exist on a single board or where two or more processors exist within a single FPGA. Referring to FIG. 3, a flow chart illustrating a method of creating a support package for a customized FPGA-based SoC is shown. The method preferably comprises the step of monitoring for at least one system component and associated parameters among a plurality of system components used for customizing the customized FPGA-based SoC during initialization of the customized FPGA-based SoC and the step of creating a software interface based on the system components and associated parameters monitored. The step of monitoring can involve analysis of system descriptors as previously described. The step of creating can involve the automatic generation of a software interface to hardware functions selected from the group comprising hardware initialization, interrupt handling, interrupt generation, hardware clock management, hardware timer management, mapping of local and bus memory spaces, and memory sizing. FIG. 4 depicts a flow chart illustrating exemplary steps for creating support packages in accordance with the invention. Referring to FIG. 4, in step 380, system component #1 can be selected. System component #1 and any subsequently selected system component can include a hardware core or a software core. In step 382, system component #1 can be configured with parameters. In step 384, the system parameters can be propagated to make them available for subsequently selected system components that will utilize common parameters. In step 384, system component #2 can be selected. In step 388, system component #2 can be configured with parameters including previously propagated common parameters. In step 400, any new parameters that were used to configure system component #2 can be propagated to make them available for previously and subsequently selected system components that utilize similar parameters. At step 401, a software interface to the selected components and any peer components are created. It should be recognized by those skilled in the art that the configuration and propagation of parameters can be static or dynamic. Notably, as system components are configured with new parameters, these new parameters are propagated and made available for configuring other system components. Importantly, these other system components can include previously configured hardware and software system components, as well as subsequently selected hardware and software system components. Advantageously, the propagation of system parameters can save on development time, since it can obviate any need to re-enter similar parameters which can be used to configure other selected system components.
FIG. 5 depicts an interface 480, for integrating software system component cores in accordance with the inventive arrangements. Referring to FIG. 5, there are shown an operating system layer 482, and operating system adaptation layer 484 and a system component layer 486. The operating system component layer 482 can facilitate management of resources for the software system components that are used to customize the FPGA-based SoC. The operating system layer 482 can host an operating system such as a RTOS. The operating system adaptation layer 484 can facilitate communication between disparate system component drivers, for example 486a, 486b 486c, and the operating system layer 482. The system component drivers 486a, 486b and 486c can be customer specific proprietary cores, each having a different communication interface. Since each of the component drivers 486a, 486b and 486c can have different proprietary interfaces, communication messages can be translated or converted to and from the proprietary formats to facilitate communication with the operating system layer 480. The operating system adaptation layer 484 can include a translator that can facilitate conversion to and from the proprietary formats, so that information can be communicated between the operating system layer 482 and the system component layer 486.
The system component layer 486 can include one or more system component drivers. Each of the system component drivers can be configured to handle the processing functions for a system component. For example, system component #1 driver can be configured to handle processing functions for system component #1. For illustrative purposes, system component #1 can represent serial device 215 . In this case, system component #1 driver 486a can be used to process data in an associated data buffer for serial device 215j . System component #1 driver 486 can include an interrupt handling routine that can be used to retrieve data pending in the associated data buffer for serial device 215j .
FIG. 6 depicts an exemplary hardware interface for integrating hardware system components in accordance with the invention. Referring to FIG. 6, there is shown an exemplary interface 500 that can facilitate integration of variously configured peripheral system components that can be utilized for configuring the FPGA-based SoC. The FPGA- based SoC can be configured to utilize dedicated transistors in the Silicon of the FPGA for implementing a peripheral interface. Alternatively, the FPGA-based SoC can be configured to utilize dedicated transistors in the logic fabric of a FPGA for implementing a peripheral interface. Importantly, the choice of peripheral interfaces used for configuring the FPGA-based SoC can affect resource utilization of the FPGA-based SoC. For example, the resource utilization for a master-slave peripheral interface implementation can be markedly different from a slave only peripheral interface implementation. Advantageously, the invention can provide immediate feedback on system component and peripheral selection and implementation during customization of the FPGA-based SoC. Importantly, customization of the FPGA- based SoC can occur under resource constraints without the need to spend expensive development time and effort. Exemplary interface 500 can include, but is not limited to, a multiplexer (MUX) 502, slave connection circuitry 506, master connection circuitry 508, direct memory access DMA) controller 510, interrupt controller 504, address decoder 514, write buffer 516, and read buffer 518. The MUX 502 can facilitate selection of the slave connection circuitry 506 or the master connection circuitry, which can be used to connect a proprietary or customer specific or other hardware system component core 512 to processor bus 520. The interrupt controller 504 latches individual interrupt signals and provides an indication of an interrupt condition to a processor (not shown) . DMA controller 510 can facilitate direct memory access to a storage device such as a random access memory (RAM) . I/O data transferred to and from the system component core can be buffered in the write buffer 516 and the read buffer 518, which can both be selected by the MUX 502.
In another aspect of the invention, a chip support package can be automatically created for the FPGA-based SoC. Typically, board support packages (BSP) can facilitate hardware and software customization. A BSP can include a circuit board and associated system and/or application software. The system and application software can include a collection of libraries, which typically isolate hardware functionality of the circuit board from its software functionality. For example, the BSP libraries can provide software functions that can be used for hardware initialization, interrupt handling, clock and timer management, and data structures for memory mapping and sizing. Nevertheless, a BSP usually correlates to a static design of a specific circuit board with specific components. A new circuit board with different components would then necessarily require a different BSP. Advantageously, the FPGA-based SoC provides a more flexible approach than the BSP, by locating system components on a chip, in contrast to being on a separate circuit board. Rather than hard-coding the initialization of system components that reside on the circuit board of the BSP, the FPGA-based SoC can permit initialization of only those system components that are utilized for customizing the FPGA-based SoC. This can drastically reduce initialization time and save on often precious memory. The code generator 125 and/or system implementor 130, can include a chip support package generator for generating a chip support package (CSP) or a board support package generator for generating a board support package (BSP) once the system components used to customize the FPGA-based SoC have been selected and configured. The code generator (125) and/or system implementor (130) can serve as a tool to automate the creation of a BSP based on a specific FPGA-based SoC and a specific operating system to be integrated with the hardware or software cores previously selected.
Advantageously, the ability to get real-time feedback and resource allocation can provide optimal resource allocation while configuring the system components used to customize the FPGA-based SoC. Furthermore, the ability to automatically create a software interface (BSP/CSP) once the FPGA-based SoC is customized can significantly reduce up-front development costs and non-recurring engineering costs and ultimately reduces the time to market. A method for customizing the software of an FPGA-based SoC according to the present invention can be realized in a centralized fashion in one computer system, or in a distributed fashion where different elements are spread across several interconnected computer systems . Any kind of computer system, or other apparatus adapted for carrying out the methods described herein, is suited. A typical combination of hardware and software could be a general purpose computer system with a computer program that, when being loaded and executed, controls the computer system such that it carries out the methods described herein. The present invention can also be embedded in a computer program product, which comprises all the features enabling the implementation of the methods described herein, and which, when loaded in a computer system, is able to carry out these methods . Computer program or application in the present context means any expression, in any language, code or notation, of a set of instructions intended to cause a system having an information processing capability to perform a particular function either directly or after either or both of the following a) conversion to another language, code or notation; b) reproduction in a different material form.
Additionally, the description above is intended by way of example only and is not intended to limit the present invention in any way, except as set forth in the following claims .

Claims

CLAIMSWhat is claimed is :
1. A method for customization of the software of a system- on-chip (SoC) , the method comprising: selecting a system component used for customizing the SoC; configuring said selected system component with parameters for use with the SoC; propagating said parameters used to configure said selected system component to peer system components; configuring said peer system components using said propagated parameters during customization of the SoC; and creating a software interface to said selected system component and to said peer system components .
2. The method according to claim 1, further comprising configuring the selected system component with parameters used to configure said peer system component.
3. The method of claim 1, wherein the step of creating a software interface comprises the step of creating a board support package which contains a chip support package providing a software interface to hardware functions selected from the group comprising hardware initialization, interrupt handling, interrupt generation, hardware clock management, hardware timer management, mapping of local and bus memory spaces, and memory sizing.
4. The method of claim 1, wherein the SoC is an FPGA-based SoC.
5. The method of claim 1 wherein the software of the SoC is customized as a portion of customizing software of a system including the SoC, and wherein the software interface to said selected system component and to said peer system components is created as a portion of creating a software interface to the system.
6. A method of generating a support package for a customized system-on-chip (SoC), comprising the steps of: monitoring for at least one system component and associated parameters among a plurality of system components used for customizing the customized SoC during initialization of the customized SoC; and creating a software interface based on the system components and associated parameters monitored.
7. The method of claim 6, wherein the customized SoC is a customized FPGA-based SoC.
8. A board support package generator and chip support package generator for a customized system-on-chip (SoC) , comprising: a software interface having access to a collection of software component libraries for supporting functions of a customized SoC; and a self contained directory specifying directory locations for items selected from the group comprising an output of the chip support package generator, a chip support package template file, and a software device driver file.
9. The board support package generator and chip support package generator of claim 8, wherein the items are selected on the basis of a specified operating system, a specified processor, and at least one among a set of preselected hardware and software cores .
10. The board support package generator and chip support package generator of claim 8, wherein the customized SoC is a customized FPGA-based SoC.
11. The board support package generator and chip support package generator of claim 8 wherein the customized SoC is a portion of a system.
PCT/US2003/004956 2002-02-22 2003-02-21 METHOD AND SYSTEM FOR CREATING A CUSTOMIZED SUPPORT PACKAGE FOR AN FPGA-BASED SYSTEM-ON-CHIP (SoC) WO2003073265A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/082,440 2002-02-22
US10/082,440 US6754882B1 (en) 2002-02-22 2002-02-22 Method and system for creating a customized support package for an FPGA-based system-on-chip (SoC)

Publications (2)

Publication Number Publication Date
WO2003073265A2 true WO2003073265A2 (en) 2003-09-04
WO2003073265A3 WO2003073265A3 (en) 2004-08-26

Family

ID=27765276

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/004956 WO2003073265A2 (en) 2002-02-22 2003-02-21 METHOD AND SYSTEM FOR CREATING A CUSTOMIZED SUPPORT PACKAGE FOR AN FPGA-BASED SYSTEM-ON-CHIP (SoC)

Country Status (2)

Country Link
US (3) US6754882B1 (en)
WO (1) WO2003073265A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102637157A (en) * 2011-02-15 2012-08-15 郑磊 DTSOC (digital template system on chip)
CN115033230A (en) * 2022-06-27 2022-09-09 中国电力科学研究院有限公司 Method, device, equipment and medium for generating driver of embedded hardware platform

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6724220B1 (en) 2000-10-26 2004-04-20 Cyress Semiconductor Corporation Programmable microcontroller architecture (mixed analog/digital)
US8176296B2 (en) 2000-10-26 2012-05-08 Cypress Semiconductor Corporation Programmable microcontroller architecture
NZ508052A (en) * 2000-11-09 2003-06-30 Derek Ward Programmable controller
US6941538B2 (en) * 2002-02-22 2005-09-06 Xilinx, Inc. Method and system for integrating cores in FPGA-based system-on-chip (SoC)
US6754882B1 (en) * 2002-02-22 2004-06-22 Xilinx, Inc. Method and system for creating a customized support package for an FPGA-based system-on-chip (SoC)
US7073158B2 (en) * 2002-05-17 2006-07-04 Pixel Velocity, Inc. Automated system for designing and developing field programmable gate arrays
EP1530766A2 (en) * 2002-08-21 2005-05-18 Windmill Microsystems Holding B.V. Object-oriented design method for the time-effective and cost-effective development of production-grade embedded systems based on a standardized system architecture
US8046206B1 (en) * 2002-09-27 2011-10-25 Cypress Semiconductor Corporation Method and system using subgraph isomorphism to configure hardware resources
US7007264B1 (en) * 2003-05-02 2006-02-28 Xilinx, Inc. System and method for dynamic reconfigurable computing using automated translation
US7210116B2 (en) * 2003-06-27 2007-04-24 Robert Zeidman Method and apparatus for synthesizing a hardware system from a software description
US7676194B2 (en) 2003-08-22 2010-03-09 Rappaport Theodore S Broadband repeater with security for ultrawideband technologies
TWI249127B (en) * 2003-08-22 2006-02-11 Hon Hai Prec Ind Co Ltd System and method of BSP initializing hardware
US7885320B1 (en) 2003-09-11 2011-02-08 Xilinx, Inc. MGT/FPGA clock management system
ATE412932T1 (en) * 2004-09-03 2008-11-15 Derek Ward IMPROVEMENTS TO NUMERICAL CONTROLS AND RELATED ELECTRONIC DEVICES
US7225285B1 (en) * 2004-09-07 2007-05-29 Altera Corporation Assigning interrupts in multi-master systems
US7788625B1 (en) * 2005-04-14 2010-08-31 Xilinx, Inc. Method and apparatus for precharacterizing systems for use in system level design of integrated circuits
US7370310B1 (en) * 2005-08-08 2008-05-06 Xilinx, Inc. Static address mapping
US8387019B1 (en) * 2006-02-16 2013-02-26 Cypress Semiconductor Corporation Graphical user assignable register map
US20080036864A1 (en) * 2006-08-09 2008-02-14 Mccubbrey David System and method for capturing and transmitting image data streams
US7702893B1 (en) 2006-09-22 2010-04-20 Altera Corporation Integrated circuits with configurable initialization data memory addresses
US20080151049A1 (en) * 2006-12-14 2008-06-26 Mccubbrey David L Gaming surveillance system and method of extracting metadata from multiple synchronized cameras
GB2459602B (en) * 2007-02-21 2011-09-21 Pixel Velocity Inc Scalable system for wide area surveillance
US8397206B2 (en) 2007-07-13 2013-03-12 Digi International Inc. XML board support customization
US20090086023A1 (en) * 2007-07-18 2009-04-02 Mccubbrey David L Sensor system including a configuration of the sensor as a virtual sensor device
US8032852B1 (en) 2008-06-17 2011-10-04 Xilinx, Inc. Method of automating clock signal provisioning within an integrated circuit
US8441298B1 (en) 2008-07-01 2013-05-14 Cypress Semiconductor Corporation Analog bus sharing using transmission gates
US8015530B1 (en) 2008-08-05 2011-09-06 Xilinx, Inc. Method of enabling the generation of reset signals in an integrated circuit
US8079009B1 (en) * 2008-12-08 2011-12-13 Xilinx, Inc. Managing interrupt requests from IP cores
US9448964B2 (en) 2009-05-04 2016-09-20 Cypress Semiconductor Corporation Autonomous control in a programmable system
US8135884B1 (en) 2009-05-04 2012-03-13 Cypress Semiconductor Corporation Programmable interrupt routing system
US8179161B1 (en) 2009-05-05 2012-05-15 Cypress Semiconductor Corporation Programmable input/output circuit
US8487655B1 (en) 2009-05-05 2013-07-16 Cypress Semiconductor Corporation Combined analog architecture and functionality in a mixed-signal array
US9612987B2 (en) * 2009-05-09 2017-04-04 Cypress Semiconductor Corporation Dynamically reconfigurable analog routing circuits and methods for system on a chip
US20110115909A1 (en) * 2009-11-13 2011-05-19 Sternberg Stanley R Method for tracking an object through an environment across multiple cameras
US8516433B1 (en) 2010-06-25 2013-08-20 Cadence Design Systems, Inc. Method and system for mapping memory when selecting an electronic product
US8375344B1 (en) * 2010-06-25 2013-02-12 Cadence Design Systems, Inc. Method and system for determining configurations
US8555217B1 (en) * 2011-06-20 2013-10-08 Lattice Semiconductor Corporation Integrated circuit design software with cross probing between tool graphical user interfaces (GUIs)
US9594545B2 (en) * 2013-06-05 2017-03-14 Splunk Inc. System for displaying notification dependencies between component instances
US10061626B2 (en) 2013-06-05 2018-08-28 Splunk Inc. Application framework providing a registry for mapping names to component instances
US8756614B2 (en) 2013-06-05 2014-06-17 Splunk Inc. Central registry for binding features using dynamic pointers
US9558129B2 (en) 2014-06-10 2017-01-31 Xilinx, Inc. Circuits for and methods of enabling the access to data
US9514093B2 (en) * 2014-09-26 2016-12-06 Intel Corporation Method and apparatus for stacking core and uncore dies having landing slots
US9792250B1 (en) 2015-04-21 2017-10-17 National Technology & Engineering Solutions Of Sandia, Llc System on chip module configured for event-driven architecture
US9438269B1 (en) 2015-09-02 2016-09-06 International Business Machines Corporation Accelerating codeset conversion in a computing environment
US10248585B2 (en) 2016-06-14 2019-04-02 Oracle International Corporation System and method for filtering field programmable gate array input/output
CN113722271B (en) * 2021-07-20 2023-11-21 湖南艾科诺维科技有限公司 File management method, system and medium for data acquisition and playback

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5889990A (en) * 1996-11-05 1999-03-30 Sun Microsystems, Inc. Information appliance software architecture with replaceable service module providing abstraction function between system library and platform specific OS
WO2001044934A1 (en) * 1999-12-15 2001-06-21 Sun Microsystems, Inc. Preparation of a software configuration using an xml type programming language
WO2002008888A2 (en) * 2000-07-20 2002-01-31 Celoxica Limited System, method, and article of manufacture for a reconfigurable hardware-based multimedia device

Family Cites Families (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US98587A (en) * 1870-01-04 Improvement in gymnastic apparatus
USRE34363E (en) 1984-03-12 1993-08-31 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
US4706216A (en) 1985-02-27 1987-11-10 Xilinx, Inc. Configurable logic element
US5142625A (en) 1985-06-12 1992-08-25 Minolta Camera Kabushiki Kaisha One-chip microcomputer including a programmable logic array for interrupt control
US5072418A (en) 1989-05-04 1991-12-10 Texas Instruments Incorporated Series maxium/minimum function computing devices, systems and methods
US4855669A (en) 1987-10-07 1989-08-08 Xilinx, Inc. System for scan testing of logic circuit networks
US4878174A (en) 1987-11-03 1989-10-31 Lsi Logic Corporation Flexible ASIC microcomputer permitting the modular modification of dedicated functions and macroinstructions
JPH02235156A (en) 1989-03-08 1990-09-18 Canon Inc Information processor
US5274570A (en) 1989-05-22 1993-12-28 Mazda Motor Corporation Integrated circuit having metal substrate
JPH03210649A (en) 1990-01-12 1991-09-13 Fujitsu Ltd Microcomputer and its bus cycle control method
US5550782A (en) 1991-09-03 1996-08-27 Altera Corporation Programmable logic array integrated circuits
US5347181A (en) 1992-04-29 1994-09-13 Motorola, Inc. Interface control logic for embedding a microprocessor in a gate array
WO1993025968A1 (en) 1992-06-10 1993-12-23 Furtek Frederick C A modular computer based on reconfigurable logic
US5671355A (en) 1992-06-26 1997-09-23 Predacomm, Inc. Reconfigurable network interface apparatus and method
US5339262A (en) 1992-07-10 1994-08-16 Lsi Logic Corporation Method and apparatus for interim, in-situ testing of an electronic system with an inchoate ASIC
US5311114A (en) 1992-10-27 1994-05-10 Seeq Technology, Incorporated Apparatus and method for full-duplex ethernet communications
GB9223226D0 (en) 1992-11-05 1992-12-16 Algotronix Ltd Improved configurable cellular array (cal ii)
US5361373A (en) 1992-12-11 1994-11-01 Gilson Kent L Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
GB9303084D0 (en) 1993-02-16 1993-03-31 Inmos Ltd Programmable logic circuit
JPH0736858A (en) 1993-07-21 1995-02-07 Hitachi Ltd Signal processor
WO1995004402A1 (en) 1993-08-03 1995-02-09 Xilinx, Inc. Microprocessor-based fpga
US5457410A (en) 1993-08-03 1995-10-10 Btr, Inc. Architecture and interconnect scheme for programmable logic circuits
US5740404A (en) 1993-09-27 1998-04-14 Hitachi America Limited Digital signal processor with on-chip select decoder and wait state generator
US5500943A (en) 1993-11-02 1996-03-19 Motorola, Inc. Data processor with rename buffer and FIFO buffer for in-order instruction completion
EP0734573B1 (en) 1993-12-13 2002-04-03 Lattice Semiconductor Corporation Application specific modules in a programmable logic device
US5742179A (en) 1994-01-27 1998-04-21 Dyna Logic Corporation High speed programmable logic architecture
US5574942A (en) 1994-02-28 1996-11-12 Intel Corporation Hybrid execution unit for complex microprocessor
US5543640A (en) 1994-03-15 1996-08-06 National Semiconductor Corporation Logical three dimensional interconnections between integrated circuit chips using a two dimensional multi-chip module
US5600845A (en) 1994-07-27 1997-02-04 Metalithic Systems Incorporated Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
US5574930A (en) 1994-08-12 1996-11-12 University Of Hawaii Computer system and method using functional memory
US5732250A (en) 1994-09-15 1998-03-24 Intel Corporation Multi-function microprocessor wait state mechanism using external control line
US5742180A (en) 1995-02-10 1998-04-21 Massachusetts Institute Of Technology Dynamically programmable gate array with multiple contexts
US5892961A (en) 1995-02-17 1999-04-06 Xilinx, Inc. Field programmable gate array having programming instructions in the configuration bitstream
US5752035A (en) 1995-04-05 1998-05-12 Xilinx, Inc. Method for compiling and executing programs for reprogrammable instruction set accelerator
US5737631A (en) 1995-04-05 1998-04-07 Xilinx Inc Reprogrammable instruction set accelerator
US5748979A (en) 1995-04-05 1998-05-05 Xilinx Inc Reprogrammable instruction set accelerator using a plurality of programmable execution units and an instruction page table
JP3948494B2 (en) 1995-04-28 2007-07-25 ザイリンクス,インコーポレイテッド Microprocessor with distributed registers accessible by programmable logic device
GB9508931D0 (en) 1995-05-02 1995-06-21 Xilinx Inc Programmable switch for FPGA input/output signals
EP0780017A1 (en) 1995-07-10 1997-06-25 Xilinx, Inc. System comprising field programmable gate array and intelligent memory
US6175952B1 (en) 1997-05-27 2001-01-16 Altera Corporation Technique of fabricating integrated circuits having interfaces compatible with different operating voltage conditions
US5933023A (en) 1996-09-03 1999-08-03 Xilinx, Inc. FPGA architecture having RAM blocks with programmable word length and width and dedicated address and data lines
AU1546797A (en) * 1996-10-10 1998-05-05 Semiconductores Investigacion Y Diseno, S.A. - (Sidsa) Process for the prototyping of mixed signal applications and field programmable system on a chip for applying said process
JPH10222374A (en) 1996-10-28 1998-08-21 Altera Corp Method for providing remote software technological support
US5889788A (en) 1997-02-03 1999-03-30 Motorola, Inc. Wrapper cell architecture for path delay testing of embedded core microprocessors and method of operation
US6172990B1 (en) 1997-06-19 2001-01-09 Xaqti Corporation Media access control micro-RISC stream processor and method for implementing the same
US5914616A (en) 1997-02-26 1999-06-22 Xilinx, Inc. FPGA repeatable interconnect structure with hierarchical interconnect lines
US5874834A (en) 1997-03-04 1999-02-23 Xilinx, Inc. Field programmable gate array with distributed gate-array functionality
US6011407A (en) 1997-06-13 2000-01-04 Xilinx, Inc. Field programmable gate array with dedicated computer bus interface and method for configuring both
US5970254A (en) 1997-06-27 1999-10-19 Cooke; Laurence H. Integrated processor and programmable data path chip for reconfigurable computing
US5995424A (en) 1997-07-16 1999-11-30 Tanisys Technology, Inc. Synchronous memory test system
US6311149B1 (en) * 1997-08-18 2001-10-30 National Instruments Corporation Reconfigurable test system
US6020755A (en) 1997-09-26 2000-02-01 Lucent Technologies Inc. Hybrid programmable gate arrays
US6034542A (en) * 1997-10-14 2000-03-07 Xilinx, Inc. Bus structure for modularized chip with FPGA modules
US6279045B1 (en) 1997-12-29 2001-08-21 Kawasaki Steel Corporation Multimedia interface having a multimedia processor and a field programmable gate array
US6096091A (en) 1998-02-24 2000-08-01 Advanced Micro Devices, Inc. Dynamically reconfigurable logic networks interconnected by fall-through FIFOs for flexible pipeline processing in a system-on-a-chip
US6178541B1 (en) 1998-03-30 2001-01-23 Lsi Logic Corporation PLD/ASIC hybrid integrated circuit
US6163166A (en) 1998-05-27 2000-12-19 Altera Corporation Programmable logic device with selectable schmitt-triggered and threshold-triggered buffers
US6282627B1 (en) 1998-06-29 2001-08-28 Chameleon Systems, Inc. Integrated processor and programmable data path chip for reconfigurable computing
US6480989B2 (en) 1998-06-29 2002-11-12 Lsi Logic Corporation Integrated circuit design incorporating a power mesh
US6467009B1 (en) 1998-10-14 2002-10-15 Triscend Corporation Configurable processor system unit
US6300049B2 (en) 1998-10-15 2001-10-09 Eastman Kodak Company Imaging element containing an electrically-conductive layer
US6343207B1 (en) 1998-11-03 2002-01-29 Harris Corporation Field programmable radio frequency communications equipment including a configurable if circuit, and method therefor
US6154051A (en) 1998-11-05 2000-11-28 Vantis Corporation Tileable and compact layout for super variable grain blocks within FPGA device
US6181163B1 (en) 1999-01-21 2001-01-30 Vantis Corporation FPGA integrated circuit having embedded SRAM memory blocks and interconnect channel for broadcasting address and control signals
US6356987B1 (en) 1999-03-10 2002-03-12 Atmel Corporation Microprocessing device having programmable wait states
US6301696B1 (en) 1999-03-30 2001-10-09 Actel Corporation Final design method of a programmable logic device that is based on an initial design that consists of a partial underlying physical template
US6211697B1 (en) 1999-05-25 2001-04-03 Actel Integrated circuit that includes a field-programmable gate array and a hard gate array having the same underlying structure
US6272451B1 (en) * 1999-07-16 2001-08-07 Atmel Corporation Software tool to allow field programmable system level devices
JP3512166B2 (en) 1999-11-26 2004-03-29 松下電器産業株式会社 How to set up a programmable logic device
US6618839B1 (en) * 1999-11-30 2003-09-09 Synplicity, Inc. Method and system for providing an electronic system design with enhanced debugging capabilities
US6519753B1 (en) 1999-11-30 2003-02-11 Quicklogic Corporation Programmable device with an embedded portion for receiving a standard circuit design
US6588006B1 (en) * 1999-12-16 2003-07-01 Lsi Logic Corporation Programmable ASIC
US6434735B1 (en) * 1999-12-16 2002-08-13 Lsi Logic Corporation Method for programming an FPGA and implementing an FPGA interconnect
JP2003520551A (en) * 2000-01-24 2003-07-02 レイディオスケープ リミテッド Digital radio base station
US6539522B1 (en) * 2000-01-31 2003-03-25 International Business Machines Corporation Method of developing re-usable software for efficient verification of system-on-chip integrated circuit designs
US6539508B1 (en) 2000-03-15 2003-03-25 Xilinx, Inc. Methods and circuits for testing programmable logic
US6587995B1 (en) * 2000-04-19 2003-07-01 Koninklijke Philips Electronics N.V. Enhanced programmable core model with integrated graphical debugging functionality
US6535043B2 (en) * 2000-05-26 2003-03-18 Lattice Semiconductor Corp Clock signal selection system, method of generating a clock signal and programmable clock manager including same
KR100374328B1 (en) * 2000-06-03 2003-03-03 박현숙 chip design verification and test apparatus and method
US6353331B1 (en) 2000-07-10 2002-03-05 Xilinx, Inc. Complex programmable logic device with lookup table
US6507942B1 (en) 2000-07-11 2003-01-14 Xilinx , Inc. Methods and circuits for testing a circuit fabrication process for device uniformity
US6518787B1 (en) 2000-09-21 2003-02-11 Triscend Corporation Input/output architecture for efficient configuration of programmable input/output cells
US20020072893A1 (en) * 2000-10-12 2002-06-13 Alex Wilson System, method and article of manufacture for using a microprocessor emulation in a hardware application with non time-critical functions
US6611951B1 (en) 2000-11-30 2003-08-26 Lsi Logic Corporation Method for estimating cell porosity of hardmacs
US6522167B1 (en) 2001-01-09 2003-02-18 Xilinx, Inc. User configurable on-chip memory system
US6532572B1 (en) 2001-03-14 2003-03-11 Lsi Logic Corporation Method for estimating porosity of hardmacs
US6541991B1 (en) 2001-05-04 2003-04-01 Xilinx Inc. Interface apparatus and method for testing different sized ball grid array integrated circuits
US6578174B2 (en) * 2001-06-08 2003-06-10 Cadence Design Systems, Inc. Method and system for chip design using remotely located resources
US6601227B1 (en) 2001-06-27 2003-07-29 Xilinx, Inc. Method for making large-scale ASIC using pre-engineered long distance routing structure
US7207041B2 (en) * 2001-06-28 2007-04-17 Tranzeo Wireless Technologies, Inc. Open platform architecture for shared resource access management
US6510548B1 (en) 2001-08-03 2003-01-21 Xilinx, Inc. Method for providing pre-designed modules for programmable logic devices
US6798239B2 (en) 2001-09-28 2004-09-28 Xilinx, Inc. Programmable gate array having interconnecting logic to support embedded fixed logic circuitry
US6779168B2 (en) * 2002-02-01 2004-08-17 Lsi Logic Corporation Magnetoresistive memory for a complex programmable logic device
US6754882B1 (en) * 2002-02-22 2004-06-22 Xilinx, Inc. Method and system for creating a customized support package for an FPGA-based system-on-chip (SoC)

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5889990A (en) * 1996-11-05 1999-03-30 Sun Microsystems, Inc. Information appliance software architecture with replaceable service module providing abstraction function between system library and platform specific OS
WO2001044934A1 (en) * 1999-12-15 2001-06-21 Sun Microsystems, Inc. Preparation of a software configuration using an xml type programming language
WO2002008888A2 (en) * 2000-07-20 2002-01-31 Celoxica Limited System, method, and article of manufacture for a reconfigurable hardware-based multimedia device

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
MICROSOFT CORPORATION: "Microsoft Windows CE Platform Builder 3.0: Getting Started" MICROSOFT MSDN WEBSITE, [Online] - May 2000 (2000-05) pages 1-21, XP002273531 redmond Retrieved from the Internet: URL:http://msdn.microsoft.com/library/en-u s/dnce30/html/gettingstarted30.asp> [retrieved on 2004-03-15] *
NEUGASS H ET AL: "VxWorks: an interactive development environment and real-time kernel for Gmicro" TRON PROJECT SYMPOSIUM, 1991. PROCEEDINGS., EIGHTH TOKYO, JAPAN 21-27 NOV. 1991, LOS ALAMITOS, CA, USA,IEEE COMPUT. SOC, US, 21 November 1991 (1991-11-21), pages 196-207, XP010026506 ISBN: 0-8186-2475-2 *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102637157A (en) * 2011-02-15 2012-08-15 郑磊 DTSOC (digital template system on chip)
CN115033230A (en) * 2022-06-27 2022-09-09 中国电力科学研究院有限公司 Method, device, equipment and medium for generating driver of embedded hardware platform
CN115033230B (en) * 2022-06-27 2024-02-27 中国电力科学研究院有限公司 Method, device, equipment and medium for generating drive program of embedded hardware platform

Also Published As

Publication number Publication date
US6996796B2 (en) 2006-02-07
US6754882B1 (en) 2004-06-22
US20040225992A1 (en) 2004-11-11
US7552415B2 (en) 2009-06-23
US20040210855A1 (en) 2004-10-21
WO2003073265A3 (en) 2004-08-26

Similar Documents

Publication Publication Date Title
US6754882B1 (en) Method and system for creating a customized support package for an FPGA-based system-on-chip (SoC)
US7509614B2 (en) Method and system for integrating cores in FPGA-based system-on-chip (SoC)
US7058921B1 (en) Method and system for resource allocation in FPGA-based system-on-chip (SoC)
US6760898B1 (en) Method and system for inserting probe points in FPGA-based system-on-chip (SoC)
US5452239A (en) Method of removing gated clocks from the clock nets of a netlist for timing sensitive implementation of the netlist in a hardware emulation system
US6272451B1 (en) Software tool to allow field programmable system level devices
US6470482B1 (en) Method and system for creating, deriving and validating structural description of electronic system from higher level, behavior-oriented description, including interactive schematic design and simulation
US7340693B2 (en) System for designing re-programmable digital hardware platforms
US6959428B2 (en) Designing and testing the interconnection of addressable devices of integrated circuits
US8977531B1 (en) Apparatus and methods for importing hardware design and generating circuit interfaces
WO2001075688A1 (en) Automated design of digital signal processing integrated circuit
US9880966B1 (en) Encapsulating metadata of a platform for application-specific tailoring and reuse of the platform in an integrated circuit
US7509246B1 (en) System level simulation models for hardware modules
US20040153301A1 (en) Integrated circuit development methodology
US11886789B1 (en) Block design containers for circuit design
US9608871B1 (en) Intellectual property cores with traffic scenario data
US20230289500A1 (en) Method and system for building hardware images from heterogeneous designs for eletronic systems
US20230267253A1 (en) Automated synthesis of virtual system-on-chip environments
Kissler et al. A Generic Framework for Rapid Prototyping of System-on-Chip Designs.
VÁSÁRHELYI et al. Lecture Notes and Practical Courses on System on Chip (SoC): Materials for Embedded Systems Subjects
Ladhe Rapid Prototyping and Functional Verification of Power Efficient AI Processor on FPGA
Jones et al. autoVHDL: a domain-specific modeling language for the auto-generation of VHDL core wrappers
Richmond Hardware Development for Non-Hardware Engineers
Chow SAS expander FPGA emulation
Danowitz Exploring abstract interfaces in system-on-chip integration

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): CA

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT SE SI SK TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase