WO2003090268A1 - Procede de traitement de substrat et procede de production de dispositifs a semi-conducteurs - Google Patents

Procede de traitement de substrat et procede de production de dispositifs a semi-conducteurs Download PDF

Info

Publication number
WO2003090268A1
WO2003090268A1 PCT/JP2003/005032 JP0305032W WO03090268A1 WO 2003090268 A1 WO2003090268 A1 WO 2003090268A1 JP 0305032 W JP0305032 W JP 0305032W WO 03090268 A1 WO03090268 A1 WO 03090268A1
Authority
WO
WIPO (PCT)
Prior art keywords
silicon substrate
substrate
processing method
ultraviolet light
ultraviolet
Prior art date
Application number
PCT/JP2003/005032
Other languages
English (en)
French (fr)
Inventor
Shintaro Aoyama
Masanobu Igeta
Hiroshi Shinriki
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to AU2003235305A priority Critical patent/AU2003235305A1/en
Publication of WO2003090268A1 publication Critical patent/WO2003090268A1/ja
Priority to US10/967,284 priority patent/US7129185B2/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/0231Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to electromagnetic radiation, e.g. UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Definitions

  • the present invention relates to a semiconductor device, and more particularly, to a substrate processing method for forming a substantially very thin insulating film having excellent characteristics on a substrate, and a method of manufacturing a semiconductor device using the insulating film.
  • the thickness of the gate insulating film is reduced as the gate length is reduced due to the miniaturization. Need to be reduced according to the scaling law.
  • the thickness of the gate insulating film must be set to l to 2 nm or less when using a conventional silicon thermal oxide film. In such a very thin gate insulating film, the problem that the tunnel current increases and the gate leakage current increases as a result cannot be avoided.
  • Forming a high dielectric film directly on a silicon substrate is preferable in order to reduce the silicon oxide equivalent effective thickness of the insulating film.
  • the metal element diffuses from the high-dielectric film into the silicon substrate, and the scattering of carriers in the channel region occurs. Problems arise.
  • an extremely thin base having a thickness of 1 nm or less, preferably 0.8 nm or less is provided between the high-dielectric gate oxide film and the silicon substrate. It is preferable to interpose an oxide film. Such a very thin base oxide film must uniformly cover the surface of the silicon substrate and is required not to form defects such as interface states.
  • a thin gut oxide film is generally formed by rapid thermal oxidation (RTO) treatment of a silicon substrate.
  • RTO rapid thermal oxidation
  • a thermal oxide film is formed to a desired thickness of 1 nm or less, it is necessary to lower the processing temperature during film formation.
  • a thermal oxide film formed at such a low temperature tends to contain defects such as interface states, and is not suitable as a base oxide film of a high-dielectric gate oxide film.
  • UV-O UV-excited oxygen radical
  • FIG. 22 shows a schematic configuration of a conventional UV-O 2 radical substrate processing apparatus 10.
  • the substrate processing apparatus 100 has a processing container 101 that holds the processing substrate 102 under a reduced pressure environment, and the substrate to be processed 10 0 2 is held on a holding table 101A having a heater 101a. Further, a shield head 101B is provided in the processing container 101 so as to face the substrate 22 on the holding table 101A, and the shield head 1B is provided. 0 1 oxygen gas to B, 0 2, N 2 0 , NO or oxidizing gas made from these mixtures are supplied.
  • the glass head 101B is made of a material that is transparent to ultraviolet light such as quartz, and the processing vessel 101 is provided with a window 101C for transmitting ultraviolet light such as quartz. It is formed so as to expose the substrate to be processed 102 on the holding table 101A. Further, an ultraviolet light source 103 movable along the surface of the window 101C is formed outside the window 101C.
  • a silicon substrate is introduced into the processing vessel 101 in Fig. 21 as the substrate to be processed 102, and the inside of the processing chamber 101 is exhausted.
  • an oxidizing gas such as oxygen is introduced.
  • the ultraviolet light source 103 is driven to form active radicals O * in the oxidizing gas.
  • Such UV-activated radicals oxidize the exposed surface of the silicon substrate 102, and as a result, a very thin oxide film of about 0.4 to 0.8 nm is formed on the surface of the silicon substrate 102. It is formed.
  • the oxide film can be formed to a uniform thickness by moving the ultraviolet light source 103 along the optical window 101 C. .
  • the base oxide film under the high dielectric gate insulating film is very thin needed, using UV-o 2 radical substrate processing apparatus, 0.
  • a base oxide film with a thickness of about 8 nm has been realized.
  • a metal oxide film with a small interatomic valency so-called “low rigidity”
  • high rigidity the interface between the silicon substrate and the metal oxide film becomes mechanically unstable and may cause defects.
  • forming the oxynitride film as the base oxide film of the high dielectric gate insulating film composes the silicon substrate with the metal element or oxygen in the high dielectric gate insulating film.
  • a more specific object of the present invention is to provide a substrate processing method capable of directly forming a very thin oxynitride film on a silicon substrate stably with good reproducibility while eliminating the influence of organic contamination. It is in.
  • the substrate processing method of the present invention is characterized in that it has a step of removing carbon from the surface of a silicon substrate by irradiating the surface of the silicon substrate with ultraviolet light in an atmosphere of an essentially ultraviolet inert gas.
  • the carbon removal step is performed while the silicon substrate to be irradiated with ultraviolet rays is heated from normal temperature.
  • the method is characterized in that ultraviolet irradiation for the carbon removing step is performed under a reduced pressure atmosphere.
  • the ultraviolet inert gas is nitrogen gas.
  • the silicon substrate irradiated with ultraviolet rays is heated from room temperature, and the maximum temperature is 450 ° C.
  • the ultraviolet light irradiating the surface of the silicon substrate has a wavelength of 150 to 270 nm.
  • the light source of the ultraviolet light for irradiating the surface of the silicon substrate may be a derivative barrier discharge tube, a mercury lamp or a deuterium lamp.
  • Another substrate processing method according to the present invention is the substrate processing method, wherein carbon is removed from the surface of the silicon substrate in the absence of oxygen; Forming an oxynitride film on the plate surface.
  • an example of the step of forming an oxynitride film on the surface of the silicon substrate may include a step of irradiating an ultraviolet ray in the presence of nitrogen and oxygen.
  • Still another substrate processing method includes a step of removing carbon from the surface of a silicon substrate by irradiating the surface of the silicon substrate with ultraviolet light in an atmosphere of an essentially ultraviolet inert gas; A step of forming an oxynitride film by irradiating ultraviolet rays in an atmosphere of an essentially ultraviolet inert gas.
  • the step of forming the oxynitride film is a step of irradiating an ultraviolet ray in the presence of nitrogen and oxygen.
  • Still another substrate processing method includes a step of removing carbon from the surface of a silicon substrate by irradiating the surface of the silicon substrate with ultraviolet light in an atmosphere of an essentially ultraviolet inert gas; And a step of exciting the NO gas with ultraviolet light to form an oxynitride film on the surface of the silicon substrate.
  • the ultraviolet light has a wavelength of 145 to 192 nm.
  • it is preferable that the ultraviolet light has a wavelength of about 170 nm.
  • the ultraviolet light ultraviolet light formed by a dielectric barrier discharge tube in which xenon is sealed.
  • the carbon removal step is preferably performed at a substrate temperature not exceeding 450 ° C.
  • the oxynitride film forming step is preferably performed at a substrate temperature in the range of 450 to 550 ° C.
  • the oxynitride film forming step is preferably performed in a time of 200 seconds or less.
  • Oxynitride film forming step 1. 3 3 ⁇ 1. 3 3 3 X 1 0 _ 3 what to be executed in the process pressure in the range of P a is preferable.
  • the natural oxide film on the surface of the silicon substrate is removed before the oxynitride film forming step.
  • a method of manufacturing a semiconductor device includes the steps of: irradiating an ultraviolet ray to a silicon substrate surface in an essentially ultraviolet inert gas atmosphere to remove carbon from the surface; Supplying the NO gas, exciting the NO gas with ultraviolet light to form an oxynitride film on the surface of the silicon substrate, and forming a high dielectric film on the oxynitride film. And a step of forming a good electrode on the high dielectric film.
  • the ultraviolet light preferably has a wavelength of 145 to 192 nm.
  • the ultraviolet light has a wavelength of 1.72 nm.
  • the ultraviolet light has a wavelength of 170 nm.
  • ADVANTAGE OF THE INVENTION it becomes possible to eliminate the influence of the organic contamination on the silicon surface, and to perform the oxynitridation process. At this time, it is possible to eliminate the film thickness instability, nitrogen concentration instability, and nitrogen depth profile instability caused by organic contamination, and to form an oxynitride film stably and with good reproducibility. Will be possible.
  • the present invention is a technique that can be further applied to the conventional oxide film formation.
  • FIG. 1 is a vertical sectional view showing a configuration of a substrate processing apparatus used in the present invention. You.
  • FIG. 2 is an atomic force microscope (AFM) image of a silicon substrate subjected to the substrate processing method according to the present embodiment.
  • AFM atomic force microscope
  • FIG. 3 is a plan view of a cluster type processing apparatus including the substrate processing apparatus according to the present embodiment.
  • FIG. 4 shows the results obtained by performing XPS analysis on a silicon substrate sample subjected to the substrate processing method according to the present embodiment, and obtaining a photoelectron spectrum.
  • Figure 5 is a graph showing the effect of various treatments on carbon removal from the silicon substrate surface.
  • FIG. 6 is a graph showing the relationship between the film thickness and the oxidation time when the substrate processing method according to the present embodiment is performed.
  • FIG. 7 is a diagram for explaining the film thickness determination by the XPS method used in the present invention.
  • FIG. 8 is another diagram for explaining the film thickness determination by the XPS method used in the present invention.
  • FIG. 9 is a diagram showing a stationary phenomenon that appears when the silicon substrate surface is oxidized by the substrate processing apparatus shown in FIG.
  • FIGS. 10A and 10B are diagrams schematically showing the states of (A) and (B) of the oxidation treatment of the silicon substrate surface performed by the substrate processing apparatus of FIG.
  • FIG. 11 shows (A) and (B) forces.
  • the film thickness distribution when the oxide film formed on the silicon substrate surface is subjected to UV-NO nitridation treatment is shown.
  • FIGS. 12A and 12B show the case where (A) and (B) show a film obtained by forming an oxynitride film directly on the surface of a silicon substrate by UV-NO nitridation in the first embodiment of the present invention. It is a figure showing thickness distribution.
  • FIG. 13 shows the (A) and (B) forces S according to the first embodiment of the present invention.
  • FIG. 3 is a diagram showing the kinetics of forming an oxynitride film on a silicon substrate surface by UV-NO nitridation.
  • FIGS. 14A and 14B show the kinetics of formation of an oxynitride film on the silicon substrate surface by UV-NO nitridation according to the first embodiment of the present invention.
  • FIGS. 15A and 15B show the kinetics of the formation of an oxynitride film on the surface of a silicon substrate by UV-NO nitridation according to the first embodiment of the present invention.
  • FIG. 16 is a diagram showing potential curves in various excited states of the NO molecule.
  • FIG. 17 is a diagram illustrating an example of an ultraviolet light source.
  • FIGS. 18A to 18C are diagrams illustrating the steps of manufacturing a semiconductor device according to the second embodiment of the present invention.
  • FIGS. 19 (D) and (E) are diagrams illustrating the steps of manufacturing a semiconductor device according to the second embodiment of the present invention.
  • FIGS. 20A and 20B show film thickness distributions when an oxynitride film is directly formed on the surface of a silicon substrate by UV-NO nitridation in the second embodiment of the present invention.
  • FIG. 21 shows the results of measuring the nitrogen concentration taken into the film by the NO gas treatment according to the first embodiment at XPS detection angles of 90 ° and 30 °, and measuring the detection angle of 90 ° by XPS. It is the graph which took the ratio (30 ° / 90 °) of the measurement of the detection angle of 30 °.
  • FIG. 22 is a vertical sectional view showing the configuration of a conventional UV-O 2 oxidation treatment apparatus.
  • FIG. 3 is a plan view of a cluster type processing apparatus 60 including the substrate processing apparatus 20 according to the present embodiment.
  • the substrate processing apparatus 20 includes a processing container 21 having a holding table 21 A for holding a substrate 22 to be processed, and the processing table 21 includes the holding table 21.
  • a shower head 21 B made of a material, such as quartz, that transmits ultraviolet light is disposed opposite to the substrate 22 on A.
  • the processing vessel 21 is exhausted through an exhaust port 21C, while an oxygen gas or a NO gas is supplied to the shear head 21B from an external gas source.
  • the processing vessel 21 is further exposed to ultraviolet light such as quartz so that the shield head 21 B and the substrate 22 to be processed thereunder are exposed above the shield head 21 B.
  • An optical window 21D made of a material that transmits light is provided.
  • a heater 21a for heating the substrate to be processed 22 is provided in the holding table 21A.
  • an ultraviolet light exposure device 24 is disposed via a coupling portion 23 provided corresponding to the optical window 21 D.
  • the ultraviolet light exposure apparatus 24 includes a quartz optical window 24A corresponding to the optical window 21D, and the substrate to be processed which emits ultraviolet light through the quartz optical window 24A and the optical window 21D.
  • the robot 24C is movably held in a direction parallel to the optical window 24A.
  • the ultraviolet light source 24B is a linear light source provided so as to extend substantially perpendicular to the moving direction.
  • a linear light source in the present invention, for example, an excimer lamp having a wavelength of 170 nm is used.
  • the ultraviolet light generated by the ultraviolet light source 24B is absorbed by oxygen in the air before being introduced into the processing vessel 21 through the optical window 21D.
  • an inert gas such as N 2 is supplied to the coupling portion 23 from an external gas source (not shown) through a line 23 A, and the inert gas is The light flows into the space 24D in the ultraviolet light exposure device 24 through a gap formed in the mounting portion of the optical window 24A of the light exposure device 24.
  • shielding plates 24F are provided on both side surfaces of the ultraviolet light source 24B in order to prevent oxygen in the atmosphere from being entrained and flowing in just below the ultraviolet light source 24B. Further, under the shielding plate 24F, the height formed between the optical window 24A facing the ultraviolet light source 24B and the shielding plate 24F is at most about 1 mm. in a narrow region, an inert gas such as N 2 is supplied via line 2 4 b.
  • This region is also supplied with the inert gas from line 23A, so that oxygen absorbing ultraviolet light is effectively eliminated in this region.
  • the inert gas that has passed through the area below the shielding plate 24F flows into the space 24D, and is further discharged to the outside through an exhaust port 24E formed in the ultraviolet light exposure device 24. Is done.
  • the movement and running of the ultraviolet light source 24 B can be controlled by the robot 24 C in the ultraviolet light exposure apparatus 24.
  • Oxynitride on the surface of 2 by UV-activated oxynitridation By controlling the amount of exposure to ultraviolet light when forming the film, the distribution of the film thickness can be controlled.
  • the robot 24C is controlled by a control device 25 such as a computer.
  • the control device 25 also controls the driving of the ultraviolet light source 24B.
  • a silicon substrate is subjected to carbon removal processing.
  • the substrate processing apparatus 20 shown in FIG. 1 is used, and the carbon removal processing is performed in the substrate processing apparatus 20 in the absence of oxygen.
  • an oxygen-free environment is formed in the processing vessel 21 and then the carbon on the silicon substrate is removed. Is removed. That is, a silicon substrate as a substrate to be processed is placed on a holding table 21A in a processing container 21 of the substrate processing apparatus 20, and the silicon substrate is sealed after the processing container 21 is sealed. Heat and fill processing vessel 21 with reduced pressure nitrogen gas. In this state, the ultraviolet lamp is turned on and driven to remove carbon on the surface of the silicon substrate.
  • a silicon substrate 22 to be processed is placed on a substrate holder 21A provided with a heater 2la at a normal temperature as shown in FIG.
  • the air is exhausted from the exhaust port 21C, and the atmosphere is heated to the nitrogen gas atmosphere by the heater 21a while irradiating ultraviolet rays with the ultraviolet light source 24.
  • the temperature of the silicon substrate introduced into the processing chamber at room temperature gradually increases toward the heater heating temperature.
  • the carbon compound on the silicon substrate is easily reduced in molecular weight by the energy of ultraviolet irradiation, is scattered and vaporized by elevating the temperature of the substrate, is evacuated, and is easily removed. It is preferable that the actual temperature of the substrate when irradiated with ultraviolet rays in an N 2 atmosphere be 450 ° C. or less.
  • a temperature of 450 ° C. or more is suitable. Higher temperatures are better for better film quality. Therefore, a processing temperature of 700 to 75 ° C. is preferable in consideration of device requirements.
  • a substantially thin oxynitride film with good film quality can be formed densely and stably in a few seconds.
  • the temperature of the carbon removing step and the temperature of the film forming step can be separately and precisely controlled using an infrared lamp or the like as a heating source of the silicon substrate, and the process can be advanced.
  • the silicon substrate Before placing the silicon substrate inserted into the processing chamber at room temperature on the heated substrate holder, immediately away from the substrate holder at the insertion position of the substrate, under a reduced pressure nitrogen atmosphere, preferably at several tens of OmTorr ultraviolet rays Drive the irradiation device. Since the temperature rise of the substrate due to the radiation from the substrate holder and the heat transfer by the nitrogen gas is relatively slow, the carbon compound on the substrate surface is degraded to low molecular weight by ultraviolet rays before reaching 450 ° C, and under a reduced pressure atmosphere. It is easily scattered and evaporated. Thereafter, the silicon substrate is placed on the heated substrate holder, and a desired oxynitride film forming step is performed. It is important to keep the nitrogen pressure low to keep the heat transfer by the gas low and to help the diffusion of carbon compounds.
  • the carbon removal effect is shown by the roughness of the silicon surface by high-temperature Ar anneal following the carbon removal process.
  • Figures 2 (A)-(C) show natural oxidation by HF treatment (DHF cleaning treatment).
  • the silicon substrate 22 from which the film has been removed is introduced into the substrate processing apparatus 20 of FIG. 1 as a substrate to be processed, and a nitrogen gas is supplied to the shower head 21 B, and the ultraviolet light source 24 B perform UV-N 2 processing by driving the or oxygen gas is supplied to the head 2 1 B to the shower performs UV-O 2 processing by driving the ultraviolet source 2 4 B, and et al Fig. 2 is an atomic force microscope (AFM) image showing the substrate surface when heat treatment was performed for 90 seconds at 1175 ° C and 106 Pa in an Ar atmosphere.
  • AFM atomic force microscope
  • FIG. 2 (A) is a comparative example, and shows a case where a silicon substrate 22 is subjected to a DHF cleaning process and then a flattening process is performed without being processed by the substrate processing apparatus 20.
  • FIG. 2 (B) shows that the silicon substrate is placed in the substrate processing apparatus 20 under a pressure of about 2.66 Pa ( 2 ⁇ 10 to 12 Torr).
  • the results obtained by introducing oxygen gas at a flow rate of 150 SCCM from the hard head 21B at a substrate temperature of C at a flow rate of 150 SCCM and driving the ultraviolet light source 24B for 5 minutes are shown.
  • FIG. 2 (C) shows the result of performing the same process as in FIG. 2 (B) by introducing nitrogen gas instead of oxygen gas from the shower head 21B.
  • the heat treatment was performed by a rapid heat treatment (RTP) including an infrared lamp heating device via a vacuum transfer path 61 through a substrate processing apparatus 20 as shown in FIG. This is performed in the substrate processing apparatus 20 having a cluster configuration connected to the chamber 62.
  • RTP rapid heat treatment
  • the substrate processing apparatus 20 further includes a substrate loading / unloading module 63 and a cooling module 64 coupled to the vacuum transfer path 61.
  • FIGS. 2A and 2C a large number of island-shaped defects are formed on the substrate surface, whereas in FIG. 2C, It can be seen that no such defect exists.
  • the surface of the silicon substrate 22 is slightly tilted in the [110] direction. Along with this slight tilt, it can be seen that the two domains that define the 2 ⁇ 1 atomic terrace and the 1 ⁇ 2 atomic terrace are alternately arranged, and a single atomic step is formed by a single atomic layer step.
  • the silicon atoms on the surface of the reconstructed silicon (100) form dimer rows at the 2 XI atomic terrace and the IX 2 atomic terrace. Since the directions of the silicon atom dimers are orthogonal between adjacent terraces, the line of the step becomes straight or crunchy depending on whether the energy at the step end is small or large.
  • the surface roughness was measured for the samples in Figs. 2 (A) and (B).
  • the average surface roughness Rms was 2.09 nm and 1.27 nm, respectively, and the maximum irregularity amplitude PV was 16.1 l. nm and 11.7 nm.
  • the average surface roughness Rms was slightly reduced to 0.113 nm, and the maximum unevenness amplitude PV was also reduced to 1.33 nm.
  • Figure 4 Referring to (A), but a large peak of photoelectrons corresponding to C s orbital is due to hydrocarbon in the atmosphere adsorbed on the surface of the substrate during transport to the analysis device, partly in the peak overlapping, sea urchin i indicated by the arrow in the figure, Kemikarureshifu bets C ls peak caused by the presence of S i C bond is Mihaka. A similar chemical shift occurs in the spectrum of Fig. 4 (B), but the spectrum is sharp in Fig. 4 (C), which corresponds to the sample of Fig. 2 (C). It can be seen that no C bond has been formed.
  • Figs. 2 (A) to 2 (C) above show that the surface roughness of the substrate surface rapidly increases when SiC defects are present on the silicon substrate surface. This is because the SiC defects pinned the movement of the silicon atoms on the silicon substrate surface, thereby hindering the movement of the silicon atoms along the surface. By removing the iC defects, the silicon atoms move freely under the temperature and pressure conditions used in normal semiconductor processes, indicating that atomic layer steps are formed.
  • Figure 5 shows the results obtained by using GCM ass spectacles to remove carbon from the silicon substrate surface by various treatments. See Figure 5 However, when carbon removal treatment is not performed, about 1200 ng of organic matter adheres to the surface of the 8-inch silicon substrate, but it is removed to some extent by treatment with ozone, oxygen, or nitrogen. You can see that you can do it. Of these treatments, the treatment with nitrogen is the most effective, and it can be seen that the treatment for 15 seconds can reduce the amount of residual organic matter to about 350 ng, and the treatment for 30 seconds to about 200 ng. . Table 1 below shows the energies of various carbon bonds.
  • a silicon oxide film is formed on the surface of the silicon substrate 22 using the UV radical substrate processing apparatus 20 of FIG. 1, and the substrate temperature is set to 450 ° C.
  • the following shows the relationship between the film thickness and the oxidation time when oxygen gas is supplied to the head 21 B and the ultraviolet light irradiation intensity and the oxygen gas flow rate or the oxygen partial pressure are varied in various ways.
  • the natural oxide film on the surface of the silicon substrate 22 was removed prior to the radical oxidation, and in some cases, the carbon compound remaining on the substrate surface was removed by a decomposition reaction using ultraviolet light.
  • the substrate surface is flattened by performing a high-temperature heat treatment at about 950 ° C. in an Ar atmosphere.
  • the ultraviolet light source 24 B an excimer lamp having a wavelength of 172 nm was used.
  • the UV irradiation intensity was set to 5% of the reference intensity (50 mWZcm 2 ) at the window surface of UV light source 24 B, and the process pressure was set to 665 mP.
  • a 5 mTorr
  • the relationship between the oxidation time and the oxide film thickness when the oxygen gas flow rate was set to 30 SCCM.
  • the data in series 2 set the ultraviolet light intensity to zero and set the process pressure to 13 3 P a (1 Torr), shows the relationship between the oxidation time and the oxide film thickness when the oxygen gas flow rate is set to 3 SLM.
  • the data in series 3 set the ultraviolet light intensity to zero, The relationship between the oxidation time and the oxide film thickness when the process pressure is set to 2.66 Pa (20 mTorr) and the oxygen gas flow rate is set to 150 SCCM is shown.
  • the intensity is set to 100%, that is, the reference intensity
  • the process pressure is set to 2.66 Pa (20 mTorr)
  • the oxygen gas flow rate is set to 150 SCCM.
  • oxide film thickness The data for series 5 was set at 20% of the reference intensity of ultraviolet light, the process pressure was 2.66 Pa (20 mTorr), and the oxygen gas flow rate was 150 SCCM. The relationship between the oxidized temperature and the oxide film pressure is shown.
  • the data in series 6 shows that the ultraviolet light irradiation intensity is set to 20% of the reference irradiation intensity, and the process pressure is set to about 67 P a 5 Torr), and shows the relationship between the oxidation time and the oxide film thickness when the oxygen gas flow rate is set to 0.5 SLM.
  • the relationship between the oxidation time and the oxide film thickness when the process pressure was set at 2.66 Pa (20 mTorr) and the oxygen gas flow rate was set at 150 SCC ⁇ was set to 5%.
  • the thickness of the oxide film is determined by the XPS method. However, there is no unified method for obtaining the thickness of the oxide film extremely thin below 1 nm.
  • I x + is the integrated intensity of the spectrum peak corresponding to the oxide film (I 1 + + I 2 + + I 3 + + I 4 + ). This corresponds to the peak seen in the energy region of 104 eV.
  • I ° + corresponds to the integrated intensity of the spectrum peak due to the silicon substrate, which corresponds to the energy region near 100 eV.
  • the oxide film to be formed has a uniform thickness because such a dwell time continues to some extent. That is, according to the present invention, an oxide film having a thickness of about 0.4 nm can be formed on a silicon substrate to a uniform thickness.
  • FIGS. 10A and 10B schematically show a process of forming a thin oxide film on such a silicon substrate. It should be noted that in these figures, the structure on the silicon (100) substrate is greatly simplified.
  • one oxygen layer is formed on the silicon substrate surface by bonding two oxygen atoms per silicon atom.
  • the silicon atoms on the substrate surface are coordinated by two silicon atoms inside the substrate and two oxygen atoms on the substrate surface, forming a suboxide.
  • the silicon atom at the top of the silicon substrate is coordinated by four oxygen atoms, and a stable Si 4 + state is obtained. For this reason, it is considered that oxidation rapidly proceeds in the state of FIG. 10 (A), and the oxidation stops in the state of FIG. 10 (B).
  • the thickness of the oxide film in the state of FIG. 10 (B) is about 0.4 nm, which is in good agreement with the oxide film thickness in the stationary state observed in FIG.
  • the lower peak seen in the energy range of 101 to 104 eV when the oxide film thickness is 0.1 nm or 0.2 nm is shown in FIG.
  • the peak that appears in this energy region when the oxide film thickness exceeds 0.3 nm corresponds to the suboxide of 0 (A) and is due to Si 4 +. It is considered to represent
  • Fig. 11 (A) shows that the oxide film thus formed on the silicon substrate to a thickness of 0.4 nm is continuously applied to the shower head in the substrate processing apparatus 20 of Fig. 1.
  • the figure shows the film thickness distribution obtained by ellipsometry when oxynitriding by supplying NO gas to 21 B.
  • Table 2 shows the results obtained by setting the detection angle to 90 ° using the XPS method described above, with the actual film thickness at the center and the periphery of the substrate shown in Fig. 11 (A). .
  • NO gas is supplied to the above-mentioned shear head 21 B at a flow rate of 200 SCCM, and the internal pressure of the processing vessel 21 is set to 3.99 Pa (0.03 Torr). ), While driving the ultraviolet light source 24 B at the reference intensity for 3 minutes.
  • the substrate temperature is set at 450 ° C.
  • Figure 11 (B) shows the film thickness distribution after oxynitriding obtained by ellipsometry when an oxide film was formed to a thickness of 0.7 ⁇ m on the silicon substrate surface under the same conditions.
  • Table 2 shows the actual film thickness obtained by setting the detection angle to 90 ° by the XPS method for the center portion and the peripheral portion of the substrate.
  • Fig. 12 (A) shows the case where the Si substrate from which the natural oxide film was removed was directly subjected to UV radical-NO treatment in the substrate processing apparatus 20 of Fig. 1.
  • the film thickness distribution obtained by ellipsometry for the film formed on the surface of the silicon substrate 22 was shown in Table 4.Table 4 shows the film obtained in this way at the center and the periphery of the substrate. The results of the film thickness obtained by setting the detection angle to 90 ° by the XPS method are shown.
  • NO gas was supplied to the shear head 21 B at a flow rate of 200 SCCM, and the internal pressure of the processing vessel 21 was increased. Is maintained at 3.99 Pa (0.05 Torr) as in the previous case, and the ultraviolet light source 24B is driven at the reference intensity for 3 minutes.
  • the substrate temperature is set at 450 ° C.
  • a film with almost uniform thickness is formed on the surface of the silicon substrate. It can be seen that the edge is also about 0.5 nm.
  • FIG. 12 (B) shows that the oxynitriding treatment was performed by setting the NO gas flow rate to 1 SLM and applying a UV light source 24 B to the reference intensity under a pressure of 665 Pa (5 Torr).
  • Fig. 3 shows the film thickness distribution by ellipsometry when driving for 1 minute at.
  • Table 5 shows the results of the film thickness measurement by the XPS method performed at a detection angle of 90 ° at the central portion and the peripheral portion of the substrate with respect to the film thus obtained. [Table 5]
  • Fig. 12 (B) it can be seen that the film thickness distribution of the film formed on the substrate surface is almost uniform in this case as well. It can be seen that the thickness is about 0.5 nm both at the periphery and at the periphery.
  • Table 6 below shows the results of elemental analysis performed by the XPS method on the film obtained by the experiment in FIG. 12 (A).
  • the detection angle was set to 90 ° the oxygen atom concentration was 67.23%, the nitrogen atom concentration was 11.18%, and the silicon atom concentration was 21% at the center of the substrate. It was confirmed that it was 59%. Also at the substrate periphery, it was confirmed that the oxygen atom concentration was 6.6.88%, the nitrogen atom concentration was 9.13%, and the silicon atom concentration was 24.23%.
  • the film thus formed is an oxynitride film containing nitrogen. It was confirmed that there was.
  • Table 7 shows the results of elemental analysis by the XPS method on the film obtained by the experiment of FIG. 12 (B). [Table 7]
  • FIGS. 13 (A;) and (B) show that in the substrate processing apparatus 20 of FIG. 1, NO gas is supplied to the shower head 21 B at a flow rate of 200 SCCM, and the processing pressure is reduced. 3.
  • the thickness of the nitride film and the nitrogen concentration in the film are shown, respectively.
  • FIG. 13 (A) the thickness of the oxynitride film increases with time, but when it reaches a thickness of about 0.5 nm, it is first described with reference to FIGS. 6 and 9. It can be seen that the same stopping phenomenon of film growth as in the above-mentioned case occurs.
  • FIG. 13 (A) also shows a case where the ultraviolet light source 24B was not driven during such a nitriding treatment.
  • Fig. 13 (B) shows that the oxynitride film with a high nitrogen concentration is formed immediately after the start of the nitriding treatment, but the nitrogen concentration in the film decreases with time, and the film growth mechanism increases with time. It can be seen that the reaction gradually shifted to the main oxidation reaction. Approximately 200 seconds after the start of processing, the unevenness of the nitrogen concentration in the film thickness direction is eliminated are doing.
  • FIGS. 14 (A;) and (B) are diagrams corresponding to FIGS. 13 (A;) and (B), respectively, wherein the oxynitriding process is performed using the drive power of the ultraviolet light source 24B as the reference.
  • the results are shown for the case where the intensity is set to 20% of the intensity, and the same results as in Figs. 13 (A) and (B) are obtained. That is, the film growth halting phenomenon occurs when the thickness of the oxynitride film reaches about 0.5 nm, and an oxynitride film having a high nitrogen concentration is formed at the beginning of the film growth, and the nitrogen atom Is concentrated near the interface between the oxynitride film and the silicon substrate.
  • Figures 15 (A) and (B) show the film thickness and processing time when the same oxynitriding treatment of the silicon substrate surface was performed with the substrate temperature set to 550 ° C. , And the relationship between the distribution of nitrogen concentration in the film and the processing time.
  • Fig. 15 (B) the concentration of nitrogen atoms incorporated in the film is shown when the detection angle in XPS analysis is set to 90 ° or 30 °. 13 (B) or FIG. 14 (B), which means that the formed oxynitride film has a composition closer to that of an oxide film. This is probably due to the fact that the substrate temperature during the oxynitriding process was set at 550 ° C., so that the oxidizing action by the oxygen remaining in the processing vessel 21 was promoted.
  • the formed oxynitride film has a composition closer to the oxide film, so that the film growth stops, and the oxide film thickness stopping phenomenon described in FIGS. 6 and 9 occurs. It is considered that this occurs at a film thickness of about 0.46 nm, which is closer to 0.4 nm.
  • the thickness of the oxynitride film is obtained by using the above-described equation (1) and the parameters associated therewith.
  • this is an equation derived for the oxide film.
  • the oxide film formed by the present invention is considered to have a thickness controlled to about two atomic layers.
  • Figure 16 shows the potential curves for various excited states of the NO molecule.
  • S. Chang / R. M. Hobson / Sumi Takakawa / Zu Kaneda “Atomic and molecular processes of ionized gas,” Tokyo Denki University Press [1982].
  • atomic oxygen and atomic nitrogen can be generated by reducing NO molecules at a light wavelength of 145 nm or less.
  • the light wavelength is shorter than the above-mentioned 1450 nm, radical oxygen (O ⁇ D ) starts to be excited, and it is considered that the oxidation reaction is mainly performed during the substrate processing.
  • the ultraviolet light source 24B is required to be 192-145 nm. It is preferable to use a light source that can generate ultraviolet light of a range of wavelengths.
  • a light source 24 B can be turned on and off at any time.
  • excimer lamps with wavelengths of 300 nm, 222 nm, 170 nm, 146 nm, and 126 nm as ultraviolet light sources with sharp spectra are commercially available. Available. Of these lamps, the lamps that satisfy the above conditions are limited to those with a wavelength of 1 72 nm and 1 46 nm.
  • the excimer lamp with a wavelength of 146 nm has a half-width of about 13 nm, so that a part of the spectrum is less than 145 nm, and the lamp state and individual differences This does not necessarily mean that oxygen radicals will not be excited. For this reason, when a commercially available excimer lamp is used as the ultraviolet light source 24B in the substrate processing apparatus 20 of FIG. 1, it is preferable to use a wavelength of 172 nm.
  • FIG. 17 shows a schematic diagram of such an excimer lamp (dielectric barrier discharge tube) 41 for generating ultraviolet light of 172 nm (Japanese Patent Application Laid-Open No. 7-196303 or See Japanese Patent Application Laid-Open No. 8-858861).
  • the excimer lamp 41 has a double cylindrical container including an inner tube 42 and an outer tube 43, and is provided between the inner quartz tube 42 and the outer quartz tube 43.
  • the space 47 is filled with Xe gas at a pressure of 33.25 kPa (250 Torr). Further, an aluminum thin-film electrode 45 is formed on the inner side surface of the inner quartz tube 42, and a mesh electrode 44 is formed outside the outer quartz tube 43.
  • a getter chamber 48 is formed at an axial end of the space 47, and a getter 46 is provided in the getter chamber 48.
  • the excimer lamp 41 can control lighting and extinguishing by itself by applying an AC voltage between the electrode 44 and the electrode 45 by a power source 50.
  • Such excimer lamps include, for example, a model UER20-172 sold by Shio Denki Co., Ltd., and a certain laser model HES17 sold by Hoyashott Co., Ltd. 0 3 S's can be used.
  • the ultraviolet light source is not limited to the above-described excimer lamp, and it is also possible to use a low-pressure mercury lamp or an excimer laser in some cases.
  • FIG. 20 (A) and (B) show the ratio between the measurement and the measurement at the detection angle of 30 ° (30 ° / 90 °).
  • the silicon substrate held in the cassette chamber (63 in Fig. 3) that was evacuated passed through the transfer chamber (61) and the reaction chamber (20 ), And oxynitriding with UV-NO is performed.
  • the cassette chamber which is evacuated is equipped with a mechanism for raising and lowering the cassette, the organic components emitted from these mechanical systems are likely to cause silicon substrate contamination due to long-term retention.
  • the nitrogen concentration tends to increase when a wafer formed immediately after the silicon substrate is loaded is compared with a wafer formed by holding the cassette room for 3 to 24 hours.
  • the ratio (30 ° Z 90 °) showed a decreasing tendency.
  • Such a change in the film formation characteristics due to the holding in the cassette chamber greatly affects the device manufacturing process.
  • nitrogen tends to be present inside the film, and segregation and segregation occur at the interface.
  • UV after also performing preprocessing as UV-N 2 is also the plot of Figure 2 0 wafers were forcibly contaminated by holding for 24 hours - than those subjected to processing oxynitride NO, the concentration , Ratio (30 ° no 90 °) It can be seen that both were recovered to values close to the values plotted on the silicon substrate without holding time. This is presumed to be because the process was performed with the adsorbed organic molecules removed.
  • FIGS. 18 (A) to 19 (E) show a manufacturing process of a semiconductor device according to a third embodiment of the present invention.
  • a silicon substrate 31 with diffusion regions 31a and 31b formed by ion-implanting impurity elements is used to make holes in insulating layers 35 and 36.
  • the exposed surface 31 C of the silicon substrate 31 from which the native oxide film has been removed, which is exposed by the substrate 37, has a wavelength of 17 2 under the conditions described above in the substrate processing apparatus 20 of FIG.
  • FIG. 18 (B) the surface of the silicon substrate 31 is subjected to the film-deposition phenomena as described above, so that the film thickness is reduced. Is formed to a thickness of about 0.5 nm.
  • a metal electrode layer 34 is deposited on the high dielectric film 33 thus formed in the step of FIG. 19 (D), and this is etched in the step of FIG. 19 (E).
  • a metal gate electrode 34 G is formed by the treatment.
  • the UV-NO oxynitriding step of FIG. 18 (A) is preferably performed at a temperature not exceeding 550 ° C., and the processing pressure at that time is 1.33 to: L.3. preferably set to 3 X 1 0- 3 P a.
  • nitride film can be formed, and the stable film formation shown in the formation of an oxynitride film can be similarly performed in the form of an oxide film.

Description

明 細 書 基板処理方法および半導体装置の製造方法 技術分野
本発明は半導体装置に係り、 さらに詳細には、 基板上に実質的に非常 に薄く、 特性のすぐれた絶縁膜を形成するための基板処理方法、 かかる 絶縁膜を使った半導体装置の製造方法に関する。 背景技術
今日の超高速半導体装置では、 微細化プロセスの進歩とともに、 0. 1 μ m以下のグー ト長の形成が可能になりつつある。 一般に微細化と と もに半導体装置の動作速度は向上するが、 このよ うに非常に微細化され た半導体装置では、 ゲー ト絶縁膜の膜厚を、 微細化によるゲー ト長の短 縮に伴って、 スケーリング則に従って減少させる必要がある。 しかしゲ ート長が 0. 以下になると、 ゲー ト絶縁膜の厚さも従来のシリ コ ン熱酸化膜を使った場合、 l〜 2 nm、 あるいはそれ以下に設定する必 要があるが、 このように非常に薄いゲー ト絶縁膜ではトンネル電流が増 大し、 その結果ゲー ト リーク電流が増大する問題を回避することができ ない。
このよ うな事情から、 従来のものよ り比誘電率がシリ コン熱酸化膜の ものより もはるかに高く、 このため実際の膜厚が大きくてもシリ コン熱 酸化膜に換算した場合の膜厚が小さい T a 205や A l 203、 Z r 02、 H f O2、 さらには Z r S i O4あるいは H f S i 04のよ うな高誘電体 材料をゲート絶縁膜に対して適用することが提案されている。
ところで、かかる高誘電体膜をグー ト絶縁膜に使った半導体装置では、 高誘電体膜を直接にシリ コ ン基板上に形成した方が、 絶縁膜のシリ コ ン 熱酸化膜換算実効膜厚を減少させるためには好ま しい。 しかし、 このよ うに高誘電体膜をシリ コン基板上に直接に形成した場合には、 高誘電体 膜から金属元素がシリ コン基板中に拡散してしまい、 チャネル領域にお いてキャリアの散乱の問題が生じる。
一方、 チャネル領域中のキヤリアモビリティ一を向上させる観点から は、 高誘電体ゲート酸化膜とシリ コン基板との間に、 1 nm以下、 好ま しくは 0. 8 n m以下の厚さのきわめて薄いベース酸化膜を介在させる のが好ましい。 かかる非常に薄いベース酸化膜は、 シリ コン基板表面を 一様に覆う必要があり、 また界面準位等の欠陥を形成しないことが要求 される。
そのため従来より、薄いグー ト酸化膜はシリ コン基板の急速熱酸化(R T O) 処理によ り形成されるのが一般的である。 ここで、 熱酸化膜を所 望の 1 nm以下の厚さに形成しよう とすると、 膜形成時の処理温度を低 下させる必要がある。 しかし、 このように低温で形成された熱酸化膜は 界面準位等の欠陥を含みやすく、 高誘電体ゲート酸化膜のベース酸化膜 と しては不適当である。
このような事情から、 本発明の発明者は、 ベース酸化膜の形成に、 低 いラジカル密度のもとで高品質の酸化膜が低い成膜速度で形成できる紫 外光励起酸素ラジカル (UV— O2ラジカル) 基板処理装置を使うこと を先に提案した (特開 2 0 0 2— 1 0 0 6 2 7号参照) 。 発明の開示
図 2 2は、 従来の UV— O2ラジカル基板処理装置 1 0の概略的な構 成を示す。 図 2 2を参照するに、 基板処理装置 1 0 0は彼処理基板 1 0 2を減圧環境下で保持する処理容器 1 0 1を有し、 前記被処理基板 1 0 2はヒーター 1 0 1 a を有する保持台 1 0 1 A上に保持される。 さらに 前記処理容器 1 0 1中には前記保持台 1 0 1 A上の被処理基板 2 2に対 向するようにシャヮ一へッ ド 1 0 1 Bが設けられ、 前記シャヮ一へッ ド 1 0 1 Bには酸素ガス、 0 2、 N 2 0、 N Oあるいはこれらの混合物より なる酸化ガスが供給される。
前記シャヮ一ヘッ ド 1 0 1 Bは石英など紫外光に対して透明な材料よ り形成され、 さらに前記処理容器 1 0 1 には石英などの紫外光を透過さ せる窓 1 0 1 Cが前記保持台 1 0 1 A上の被処理基板 1 0 2を露出する よ うに形成される。 また前記窓 1 0 1 Cの外側には前記窓 1 0 1 Cの面 に沿って移動可能な紫外光源 1 0 3が形成される。
図 2 1の処理容器 1 0 1 中にシリ コン基板を前祝被処理基板 1 0 2 と して導入し、 処理容砦 1 0 1の内部を排気 ■ 減圧した後酸素などの酸化 ガスを導入し、 前記紫外光源 1 0 3を駆動して前記酸化ガス中に活性な ラジカル O *を形成する。 かかる紫外線活性化ラジカルは露出されたシ リ コン基板 1 0 2の表面を酸化し、 その結果前記シリ コン基板 1 0 2の 表面に 0 . 4〜0 . 8 n m程度の非常に薄い酸化膜が形成される。
図 2 2の基板処理装置 1 0 0では、 前記紫外光源 1 0 3を前記光学窓 1 0 1 Cに沿って移動させることにより、 前記酸化膜を一様な厚さに形 成することができる。
このようにして形成された酸化膜は U V— 0 2酸化処理で形成されて いるため、 Zhang他 ( Zhang, J-Y, et al. ; Appl. Phys. Lett. 71 (20), 17 November 1997, pp. 2964-2966) が報告しているよ うに界面準位等の欠 陥が少なく、 高誘電電体ゲ一ト絶縁膜の下のベース酸化膜と して好適で ある。
先にも説明したように、 高誘電体ゲー ト絶縁膜の下のベース酸化膜は 非常に薄い必要があり、 U V— o 2ラジカル基板処理装置を使って、 0 . 8 n m程度の厚さのベース酸化膜が実現されている。
一方、 従来よ り、 原子間結合価数が大きく、 いわば 「剛性の高い」 シ リ コン単結晶基板表面に直接に、 原子間結合価数の小さい、 いわば 「剛 性の低い」 金属酸化膜を形成すると、 シリ コン基板と金属酸化膜の界面 が力学的に不安定になり欠陥を発生させる可能性が指摘されている。 こ の問題を回避するために、 シリ コン基板と金属酸化膜との界面に窒素を 1原子層分導入した酸窒化層を遷移層と して形成することが提案されて いる。 また、 高誘電体ゲー ト絶縁膜のベース酸化膜と して、 このよ う に 酸窒化膜を形成することは、 高誘電体ゲート絶縁膜中の金属元素あるい は酸素とシリ コン基板を構成するシリ コンとの相互拡散を抑制し、 電極 から ドーパントの拡散を抑制するのにも有効と考えられる。 このような 酸窒化層を形成するにあたり、 酸化膜表面をマイク口波励起リモートプ ラズマにより窒化する技術が提案されている (G. Lucovsky, Y. Wu, H.
Niimi, V. Misra, and J. C. Phillips; Appl. Phys. Lett. 74(14), 5 April 1999, pp.2005-2007及び特開 2 0 0 2 — 1 0 0 6 2 7号実施例 9参照) 。 一方、 従来から行われているシリ コン熱酸化膜によるゲート酸化膜の 形成において、 酸化膜を成長させる前のシリ コン基板表面の有機物汚染 の影響による熱酸化膜膜質の劣化への影響が指摘されだして久しい。(た とえば、 S. R. Kasi and M. Liehr; J. Vac. Sci. Technol. A 10(4), Jul/ Aug 1992, pp.795-801) グー ト絶縁膜の薄膜化に伴ないその影響を考慮して プロセスを行なう ことがさ らに重要性を増すであろう事は想像に難くな い。
しかし、 このよ うなマイクロ波を使った窒化工程では、 一般に 1 . 3 3 X 1 0― 1〜 1 . 3 3 X 1 0— 4 P a ( 1 0— 3〜: 1 0 6 Torr) 程度の 非常に高い真空度が要求される。 このよ うな非常に高い真空度で窒化処 理を行う場合には、 処理容器中に残存する酸素や水分などの微量の不純 物に起因する影響が無視できなくなり、 窒化処理の際に酸化膜を増膜さ せてしまうおそれがある。 このように酸窒化処理の際に酸化膜が増膜し てしまう と、 高誘電体ゲー ト絶縁膜を使う効果は相殺されてしま う。 こ のように非常に薄い酸化膜を安定に、 再現性良く、 しかも通常の半導体 プロセスで使われる程度の容易に到達可能な真空度で、 しかも酸化によ る増膜を伴うことなく窒化するのは、 非常に困難であった。
そこで、 本発明は上記の課題を解決した、 新規で有用な基板処理方法 を提供する。 本発明のより具体的な課題は、 シリ コン基板上に、 非常に 薄い酸窒化膜を、 有機物汚染の影響を排除して直接に、 安定して、 再現 性良く形成できる基板処理方法を提供することにある。
本発明の基板処理方法は、 本質的に紫外線不活性ガス雰囲気下でシリ コン基板表面に紫外線を照射することによる、 該表面から、 炭素を除去 する工程を有することを特徴とする。 前記の炭素除去工程は、 紫外線照 射されるべきシリ コン基板は、 常温から加熱されながら、 行われること を特徴とする。
減圧雰囲気下で、 前記の炭素除去工程のための紫外線照射は行われる ことを特徴とする。 前記の紫外線不活性ガスは窒素ガスである。
紫外線照射されているシリ コン基板が、 常温から加熱される、 最高温 度は 4 5 0 °Cである。
シリ コン基板表面を照射する紫外線は、 波長 1 5 0〜 2 7 0 n mであ る。
前記基板処理方法において、 シリ コン基板表面を照射する紫外線の光 源は、 誘導体バリア放電管、 水銀ランプまたは重水素ランプを挙げるこ とができる。
本発明の他の基板処理方法は、 前記基板処理方法において、 酸素の非 存在下にシリ コン基板表面から炭素を除去する工程と、 前記シリ コン基 板表面に酸窒化膜を形成する工程とを具備することを特徴とする。
前記基板処理方法において、 前記シリ コン基板表面に酸窒化膜を形成 する工程の例と して、 窒素及び酸素の存在下に紫外線を照射する工程を 挙げることができる。
本発明の更に他の基板処理方法は、 本質的に紫外線不活性ガス雰囲気 下でシリ コン基板表面に紫外線を照射することによる、 該表面から、 炭 素を除去する工程と、 前記シリ コン基板表面に、 本質的に紫外線不活性 ガス雰囲気下で紫外線を照射することにより、 酸窒化膜を形成する工程 を具備することを特徴とする。
前記基板処理方法において、 酸窒化膜を形成する工程は、 窒素及び酸 素の存在下で紫外線を照射する工程である。
本発明の更に他の基板処理方法は、 本質的に紫外線不活性ガス雰囲気 下でシリ コン基板表面に紫外線を照射することによる、 該表面から、 炭 素を除去する工程と、 前記シリ コン基板表面に、 N Oガスを供給するェ 程と、 前記 N Oガスを紫外線光により励起し、 前記シリ コン基板表面上 に酸窒化膜を形成する工程を具備することを特徴とする基板処理方法で ある。 前記基板処理方法において、 前記紫外線光は、 1 4 5〜 1 9 2 n mの波長を有する。 前記基板処理方法において、 前記紫外線光は、 約 1 7 2 n mの波長を有するのが好ましい。
前記基板処理方法において、 前記紫外光は、 キセノ ンを封入した誘電 体バリァ放電管により形成される紫外光を用いるのが好ましい。
ることが好ましい。 炭素除去工程は、 4 5 0 °Cを超えない基板温度で行 われることが好ましい。
酸窒化膜形成工程は、 4 5 0〜 5 5 0 °Cの範囲の基板温度で行われるこ とが好ましい。
酸窒化膜形成工程は、 2 0 0秒以下の時間で行われることこそが好ま しい。
酸窒化膜形成工程は、 1 . 3 3〜 1 . 3 3 X 1 0 _ 3 P aの範囲の処理 圧で実行されることこそが好ましい。
前記基板処理方法において、 酸窒化膜形成工程に先だって、 シリ コン 基板表面の自然酸化膜を除去することこそが好ましい。
本発明の半導体装置の製造方法は、 本質的に紫外線不活性ガス雰囲気 下でシリ コン基板表面に紫外線を照射することによる、 該表面から、 炭 素を除去する工程と、 前記シリ コン基板表面に、 N Oガスを供給するェ 程と、 前記 N Oガスを紫外線光によ り励起し、 前記シリ コン基板表面上 に酸窒化膜を形成する工程と、 前記酸窒化膜上に高誘導体膜を形成する 工程と、 前記高誘導体膜上にグー ト電極を形成する工程とを具備するこ とを特徴とする。
前記半導体装置の製造方法において、 紫外線光は、 1 4 5〜 1 9 2 n mの波長を有することが好ましい。
紫外線光は、 1. 7 2 n mの波長を有することが好ましい。
前記半導体装置の製造方法において、 前記紫外光は、 1 7 2 n mの波 長を有することが好ましい。
本発明によれば、 シリ コン表面の有機物汚染の影響を排除し、 酸窒化 の工程を行うことが可能になる。 その際、 有機物汚染によって引き起こ される膜厚不安定、 窒素濃度不安定、 窒素深さプロファイル不安定をな くすることが可能であり、 酸窒化膜を安定に、 再現性良く形成すること が可能になる。 また本発明はさらに従来の酸化膜形成に応用可能な技術 である。 図面の簡単な説明
図 1は、 本発明で使われる基板処理装置の構成を示す垂直断面図であ る。
図 2は、 本実施形態に係る基板処理方法を施したシリ コン基板の原子 間力顕微鏡 (A FM) 像である。
図 3は、 本実施形態に係る基板処理装置を含むクラスタ型処理装置の 平面図である。
図 4は、 本実施形態に係る基板処理方法を施したシリ コン基板試料に ついて X P S分析を行い、 光電子スぺク トルを求めた結果である。
図 5は、 様々な処理によるシリ コン基板表面からの炭素除去効果を示 したグラフである。
図 6は、 本実施形態に係る基板処理方法を施した場合の膜厚と酸化時 間との関係を示すグラフである。
図 7は、 本発明で使われる X P S法による膜厚決定を説明する図であ る。
図 8は、 本発明で使われる X P S法による膜厚決定を説明する別の図 である。
図 9は、 図 ].の基板処理装置により シリ コン基板表面を酸化した場合 に出現する停留現象を示す図である。
図 1 0は、 その (A) 、 (B) が、 図 1の基板処理装置によ り行なわ れるシリ コン基板表面の酸化処理の様子を概略的に示す図である。
図 1 1は、 その (A) 、 (B) 力 本発明の第 1の実施の形態におい て、 シリ コン基板表面に形成された酸化膜を UV— NO窒化処理した場 合の膜厚分布を示す図である。
図 1 2は、 その (A) 、 (B) が、 本発明の第 1の実施の形態におい て、 シリ コン基板表面に UV— NO窒化処理により直接に酸窒化膜を形 成した場合の膜厚分布を示す図である。
図 1 3は、 その (A) 、 (B) 力 S、 本発明の第 1の実施の形態による、 UV— NO窒化処理によるシリ コン基板表面上への酸窒化膜形成のカイ ネティ ックスを示す図である。
図 1 4は、 その (A) 、 (B) が、 本発明の第 1の実施の形態による、 UV— NO窒化処理によるシリ コン基板表面上への酸窒化膜形成のカイ ネティ ックスを示す別の図である。
図 1 5は、 その (A) 、 (B) 力 本発明の第 1の実施の形態による、 UV— NO窒化処理によるシリ コン基板表面上への酸窒化膜形成のカイ ネティ ックスを示すさらに別の図である。
図 1 6は、 NO分子の様々な励起状態におけるポテンシャルカーブを 示す図である。
図 1 7は、 紫外光源の例を示す図である。
図 1 8は、 その (A) 〜 (C) 力 本発明の第 2実施例による半導体 装置の製造工程を説明する図である。
図 1 9は、 その (D) 、 (E) が、 本発明の第 2実施例による半導体 装置の製造工程を説明する図である。
図 2 0は、 (A) 、 (B) は、 本発明の第 2の実施の形態において、 シリ コン基板表面に UV— NO窒化処理により直接に酸窒化膜を形成し た場合の膜厚分布を示す図である。
図 2 1は、 第 1の実施形態に係る NOガス処理で膜中に取り込まれる 窒素濃度を X P Sの検出角 9 0° および 3 0° で測定したもの、 および X P Sによる検出角 9 0° の測定と検出角 3 0° の測定の比 ( 3 0° / 9 0° ) を取ったグラフである。
図 2 2は、 従来の UV— O2酸化処理装置の構成を示す垂直断面図で ある。 発明を実施するための最良の形態 (第 1の実施の形態)
以下、 本発明の第 1の実施の形態について説明する。 本発明において は、 シリ コン基板表面に U V— N 2処理を施すことにより、 シリ コン基 板表面の炭素を除去する。 次いで、 前記シリ コン基板表面に U V— N O ラジカル処理を施すことにより、 直接酸窒化膜を形成する。 図 1は本発 明において使われる U Vラジカル基板処理装置 (以下、 単に 「基板処理 装置」 という。 ) 2 0の構成を示す垂直断面図であり、 図 2は U V— N 2処理の炭素除去効果を示す、 シリ コン基板の原子間力顕微鏡 (A F M ) 像であり、 図 3は本実施形態に係る基板処理装置 2 0を含むクラスタ型 処理装置 6 0の平面図である。
図 1に示したように、 前記基板処理装置 2 0は被処理基板 2 2を保持 する保持台 2 1 Aを有する処理容器 2 1 を含み、 前記処理容器 2 1中に は前記保持台 2 1 A上の被処理基板 2 2に対向して石英等の紫外光を透 過させる材科よ りなるシャワーへッ ド 2 1 Bが配設されている。 前記処 理容器 2 1は排気口 2 1 Cを介して排気され、 一方前記シャヮ一へッ ド 2 1 Bに外部のガス源から酸素ガスや N Oガスが供給される。 前記処理 容器 2 1にはさ らに前記シャヮ一へッ ド 2 1 Bの上方に前記シャヮ一へ ッ ド 2 1 Bおよびその下の被処理基板 2 2を露出するよ うに、 石英など の紫外線を透過する材料よ りなる光学窓 2 1 Dが配設されている。 前記 保持台 2 1 A中には前記被処理基板 2 2を加熱するヒーター 2 1 aが配 設されている。
さらに前記処理容器 2 1上には、 前記光学窓 2 1 Dに対応して設けら れた結合部 2 3を介して紫外光露光装置 2 4が配設されている。 前記紫 外光露光装置 2 4は、前記光学窓 2 1 Dに対応した石英光学窓 2 4 Aと、 前記石英光学窓 2 4 Aおよび光学窓 2 1 Dを介して紫外光を前記被処理 基板 2 2上に照射する紫外光源 2 4 Bとを含み、 前記紫外光源 2 4 Bは ロボッ ト 2 4 Cにより図 1中に矢印で示すように、 前記光学窓 2 4 Aに 平行な方向に移動可能に保持されている。
図示の例では、 前記紫外光源 2 4 Bは、 前記移動方向に対して略直角 に延在するように設けられた線状の光源よりなる。 かかる線状の光源と しては、本発明では例えば波長が 1 7 2 n mのエキシマランプを用いる。 また図 1の構成では、 前記紫外光源 2 4 Bによ り形成された紫外線が 前記光学窓 2 1 Dを介して前記処理容器 2 1中に導入されるに先立って 空気中の酸素により吸収されてしまうのを回避するため、 前記結合部 2 3には外部のガス源 (図示せず) より N 2などの不活性ガスがライン 2 3 Aを介して供給され、 前記不活性ガスは前記紫外光露光装置 2 4の光 学窓 2 4 Aの取り付け部に形成された隙間を通って前記紫外光露光装置 2 4中の空間 2 4 Dに流入する。
さらに前記紫外光源 2 4 Bの駆動に伴い、 前記紫外光源 2 4 Bの直下 に大気中の酸素が巻き込まれ流入するのを抑制するため、 紫外光源 2 4 Bの両側面に遮蔽板 2 4 Fを設け、 さらに前記遮蔽板 2 4 Fの下におい て、 前記紫外光源 2 4 Bに対向する光学窓 2 4 Aと遮蔽板 2 4 Fとの間 に形成される高さがせいぜい 1 m m程度の狭い領域に、 ライン 2 4 bを 介して N 2などの不活性ガスが供給される。
この領域には、 前記ライン 2 3 Aからの不活性ガスも供給され、 その結 果、 この領域において紫外光を吸収する酸素が効果的に排除される。 前記遮蔽板 2 4 F下の領域を通過した不活性ガスは前記空間 2 4 Dに 流れ出し、 さ らに前記紫外光露光装置 2 4中に形成された排気口 2 4 E を通って外部に排出される。
図 1の基板処理装置では、 前記紫外光露光装置 2 4において前記ロボ ッ ト 2 4 Cによ り前記紫外光源 2 4 Bの移動 · 走查を制御することがで き、 前記被処理基板 2 2の表面に紫外線活性化酸化窒化処理により酸窒 化を形成する際に、 紫外線露光照射量を制御することにより膜厚の分布 を制御することが可能になる。 前記ロボッ ト 2 4 Cはコンピュータなど の制御装置 2 5によ り制御される。 また、 前記制御装置 2 5は前記紫外 光源 2 4 Bの駆動をも制御する。
以下、 本実施形態に係る基板処理方法の手順について説明する。 本実 施形態に係る基板の処理方法を実施するには、 シリ コン基板に対して炭 素除去処理を行う。 炭素除去処理を行うには、 図 1の基板処理装置 2 0 を使用し、 この基板処理装置 2 0内で酸素の非存在下において炭素除去 処理を行う。
具体的には、 窒素ガスを用いて処理容器 2 1内の空気を窒素ガスを置 換することによ り処理容器 2 1内に酸素の存在しない環境を形成した上 でシリ コン基板上の炭素を除去する。 すなわち、 基板処理装置 2 0の処 理容器 2 1内の保持台 2 1 A上に被処理基板と してのシリ コン基板を載 置し、 処理容器 2 1を密閉した上でシリ コン基板を加熱し、 処理容器 2 1内を減圧窒素ガスで満たす。 この状態で紫外線ランプを点灯させ、 駆 動させることによりシリ コン基板表面の炭素を除去する。
具体的には、 処理されるべきシリ コン基板 2 2を、 ヒーター 2 l aを 備える基板保持台 2 1 Aの上に、 図 1 に示されるように、 常温で載置す る。 排気口 2 1 Cから排気し、 窒素ガス雰囲気にして、 紫外線光源 2 4 で紫外線を照射しながら、 ヒ一ター 2 1 aにより加熱する。 この間、 常 温で処理室へ導入されたシリ コン基板はヒーター加熱温度に向かって 徐々に昇温される。 シリ コン基板上の炭素化合物は、 紫外線照射のヱネ ルギ一により容易に低分子化され基板が昇温されることにより飛散気化 し排気されて容易に除去される。 N 2雰囲気下で紫外線が照射される時 の実際の基板の温度は、 4 5 0 °C以下で行うことが好適である。
Technical Report of IEICE., SDM2002-189(2002- 10)の記事によると, 4 5 0 °C以上になると炭素はシリ コンと反応し、 S i C形成速度が急速 に高まるために、 このように、 4 5 0 °C以下で処理することが好適であ る。
然し乍ら、 次の工程、 シリ コン基板表面に、 紫外線を照射することに より、 酸窒化膜を形成する工程では、 4 5 0 °C以上の温度でも好適であ る。 膜質を良好にするには、 高温の方がよい。 従って、 デバイス要求を 考慮して、 7 0 0〜 7 5 0 °Cの処理温度が好適である。 ここでは、 数秒 で膜質のよい、 実質的に薄い酸窒化膜が、 緻密に安定して形成される。 ここで、 シリ コン基板の加熱源と して赤外線ランプなどを用いて炭素除 去工程と成膜工程の温度を別々に精密に制御して工程をすすめることも できる。
また、 7 0 0〜 7 5 0 °Cの成膜工程と前記 4 5 0 °C以下の炭素除去ェ 程を同一の処理沿う室内で両立させる方法について述べると以下のよう である。
室温で処理室内に挿入されたシリ コン基板を加熱された基板保持台に 載置する前に基板の挿入位置で基板保持台から離れたところでただちに 窒素減圧雰囲気下望ましくは数 1 O m Torrで紫外線照射装置を駆動す る。 基板保持台からの輻射と窒素ガスによる伝熱による基板昇温は比較 的ゆっく りであるため 4 5 0 °Cになる前に基板表面の炭素化合物は紫外 線により低分子化され減圧雰囲気下容易に飛散気化し排気される。 しか る後シリ コン基板を加熱された基板保持台に載置して所望の酸窒化膜形 成工程を行う。 窒素の圧力はガスによる伝熱を低く抑え炭素化合物の拡 散を助けるため低く抑えることが重要である。
以下、 炭素の除去効果を炭素除去工程に続く高温の A rァニールによ るシリ コン表面の粗さによって示す。
図 2 ( A ) 〜 ( C ) は、 H F処理 (D H F洗浄処理) によ り 自然酸化 膜を除去されたシリ コン基板 2 2を図 1の基板処理装置 2 0に被処理基 板と して導入し、 前記シャワーヘッ ド 2 1 Bに窒素ガスを供給し、 前記 紫外光源 2 4 Bを駆動することにより UV— N 2処理を行い、 あるいは 前記シャワーへッ ド 2 1 Bに酸素ガスを供給し、 前記紫外光源 2 4 Bを 駆動することにより U V— O2処理を行い、 さ らに A r雰囲気中 1 1 7 5 °C, 1 0 6 0 P aで 9 0秒間熱処理を行った場合の基板表面を示す原 子間力顕微鏡 (A FM) 像である。
ただし図 2 (A) は比較例であり、 シリ コン基板 2 2を DH F洗浄処 理後、 基板処理装置 2 0で処理することなく平坦化処理を行った場合を 示す。 一方図 2 (B) は、 前記シリ コン基板を前記基板処理装置 2 0中 において、 約 2. 6 6 P a ( 2 X 1 0一 2 Torr) の圧力下、 4 5 0。Cの 基板温度において酸素ガスを前記シャヮーへッ ド 2 1 Bから 1 5 0 S C CMの流量で導入し、 これを前記紫外光源 2 4 Bを駆動して 5分間処理 を行なった結果を示す。 さらに図 2 (C) は、 図 2 (B) と同様な処理 を、 前記シャワーヘッ ド 2 1 Bから酸素ガスの代わりに窒素ガスを導入 して行なった結果を示す。
ただし、 図 2 (A) 〜 (C) の実験では、 前記熱処理は、 図 3に示す ような基板処理装置 2 0を、 真空搬送路 6 1を介して赤外線ランプ加熱 装置を含む急速熱処理 (R T P) 室 6 2に結合したクラスタ構成の基板 処理装置 2 0において行っている。 図 3を参照するに、 前記基板処理装 置 2 0は、 さ らに前記真空搬送路 6 1 に結合した基板搬入/搬出モジュ ール 6 3および冷却モジュール 6 4を含んでいる。
再び図 2 (A) 〜 (C) を参照するに、 図 2 (A) 、 (B) では基板 表面に島状の突起せる欠陥が多数形成されているのに対し、 図 2 (C) ではこのような欠陥が全く存在していないことがわかる。 また図 2 (C) の A FM像では、 シリ コン基板 2 2表面が [ 1 1 0 ] 方向に微傾斜して おり、 この微傾斜に伴い、 2 X 1原子テラスおよび 1 X 2原子テラスを 画成する 2つの ドメィンが交互に並び単原子ステップを 1原子層ステツ プが形成しているのが見える。 前記 2 X I原子テラスおよび I X 2原子 テラスにおいては、 再構築したシリ コン ( 1 0 0 ) 表面のシリ コン原子 はダイマー列を形成していることが知られている。 隣接するテラス間で シリ コン原子ダイマーの方向が直交しているため、 ステップ端の持つェ ネルギ一が小さいか大きいかにより ステップの線がス トレートになった りジクザクになったり している。
図 2 (A) 、 (B) の試料について表面粗さを測定したところ、 平均 表面粗さ Rm sはそれぞれ 2. 0 9 n mおよび 1. 2 7 n m, 最大凹凸 振幅 P Vはそれぞれ 1 6. l nmおよび 1 1. 7 n mに達することがわ かった。 これに対し、 図 2 (C) の試料では、 平均表面粗さ Rm sはわ ずかに 0. 1 1 3 n m、 また最大凹凸振幅 P Vも 1. 3 3 n mまで減少 していることが示された。
図 4 (A) 〜 (C) はそれぞれ図 2 (A) 〜 (C) の試料について X P S分析を行い、 C J s軌道および S i 2 p軌道からの光電子スぺク トル を求めた結果を示す。
図 4 (A) を参照するに、 C s軌道に対応する光電子の大きなピーク は分析装置へ搬送時に基板表面に吸着した大気中の炭化水素に起因する ものであるが、 このピークに部分的に重なって、 図中に矢印で示したよ うに、 S i C結合の存在により生じた C l sピークのケミカルレシフ トが 視測される。 同様なケミカルシフ トは図 4 (B ) のスペク トルにおいて も生じているが、 図 2 (C ) の試料に対応する図 4 (C ) ではスぺク ト ルはシャープで、 この試料では S i C結合は形成されていないことがわ かる。
このようなことから、 図 4 (A) 、 (B) で見られる島状の突起せる 欠陥は、 S i Cであると結論される。 このような S i Cは、 シリ コン基 板表面に吸着していた大気中の炭化水素などの有機物に由来する炭素原 子が、 熱処理の際にシリ コン基板中のシリ コン原子と反応することによ り生成したものと考えられる。
先の図 2 ( A ) 〜 (C ) の結果は、 このよ うにシリ コン基板表面に S i C欠陥が存在している場合に基板表面の表面粗さが急増することを示 しているが、 これは、 S i C欠陥がシリ コン基板表面においてシリ コン 原子の動きをピニングしており、 その結果、 シリ コン原子の表面に沿つ た移動が妨げられていること、 またこのような S i C欠陥を除去するこ とにより、 シリ コン原子は通常の半導体プロセスで使われるよ うな温度 圧力条件においても自由に移動し、 原子層ステップが形成されることを 示している。
以上の結果から紫外線照射による炭化化合物除去の効果は酸素中で行 なうより も窒素中で行なった方が良い事が示された。 従来、 吸着した炭 素化合物除去するためにはラジカル酸化種などによって有機物を酸化し 。 0 2ゃ^1 2 0にして表面から除去する方法が考えられている。 本実験の 示すところでは有機物の酸化種との反応によっては完全には炭素を表面 から脱離させることはできない。 酸化種はシリ コン表面をも酸化するた め酸化されてできた炭素化合物の一部はシリ コン酸化膜の中に一部取り 込まれて残ってしまう事が予想される。 一方窒素中で紫外線照射した場 合、 大きな有機分子は紫外線のエネルギーで分解され低分子化され、 減 圧化で加熱される事によ りシリ コン表面から有効に脱離させられるもの と考えられる。 この際シリ コン基板が酸化されないよ うにシリ コン基板 処理雰囲気は充分に酸素分圧を落と して行なう事が重要である。
図 5は、 様々な処理によるシリ コン基板表面からの炭素除去効果を G C M a s s スぺク トノレにより得た結果を示したものである。 図 5を参照 するに、 炭素除去処理を行なわない場合、 8インチ径のシリ コン基板表 面には、 1 2 0 0 n g程度の有機物が付着しているのに対し、 オゾン、 酸素あるいは窒素による処理によりある程度除去することができること がわかる。 このうち、 窒素を使った処理が最も効果的で、 1 5秒間の処 理で残留有機物量を 3 5 0 n g程度まで、 また 3 0秒間の処理で 2 0 0 n g程度まで減らせることがわかる。 以下の表 1は、 炭素の様々な結合 のエネルギーを示す。
【表 1 】
表 1を参照するに、 先に説明したように図 1の基板処理装置 2 0にお いて紫外光源 2 4 Bと して波長が 1 7 2 n mの紫外光源を使う ことによ り、 C = N結合以外のほとんど全ての炭素結合を切ることができる。 ま た波長が 2 5 4 n mの水銀ランプを使った場合、 二重結合以外の全ての 炭素結合を切るのに十分なエネルギーが得られることがわかる。 概ね 2 7 0 n m以下の波長の紫外光を照射することによ り、 シリ コン基板表面 に付着した炭化水素系の高分子吸着物を低分子化することができ、 基板 表面からの離脱を促進することが可能になる。
図 6は、 図 1 の U Vラジカル基板処理装置 2 0を使ってシリ コン基板 2 2表面にシリ コン酸化膜を、 基板温度を 4 5 0 °Cに設定し、 前記シャ ヮーヘッ ド 2 1 Bに酸素ガスを供給し、 紫外光照射強度および酸素ガス 流量あるいは酸素分圧を様々に変化させながら形成した場合の、 膜厚と 酸化時間との関係を示す。 ただし図 2の実験ではラジカル酸化に先立つ てシリ コン基板 2 2表面の自然酸化膜を除去し、 また場合によっては基 板表面に残留する炭素化合物の紫外光による分解反応によ り除去し、 さ らに A r雰囲気中、 約 9 5 0 °Cにおける高温熱処理を行う ことにより、 基板表面を平坦化している。 また前記紫外光源 2 4 Bと しては、 波長が 1 7 2 n mのエキシマランプを使った。
図 6を参照するに、 系列 1のデータは、 紫外光照射強度を紫外光源 2 4 Bの窓面における基準強度 ( 5 0 mWZcm2) の 5 %に設定し、 プロ セス圧を 6 6 5 mP a ( 5 m Torr) 、 酸素ガス流量を 3 0 S C CMに 設定した場合の酸化時間と酸化膜厚との関係を、 系列 2のデータは紫外 光強度をゼロに設定し、 プロセス圧を 1 3 3 P a ( 1 Torr) 、 酸素ガ ス流量を 3 S LMに設走した場合の酸化時問と酸化膜厚との関係を示す ( また系列 3のデータは紫外光強をゼロに設定し、 プロセス圧を 2. 6 6 P a ( 2 0 m Torr) 、 酸素ガス流量を 1 5 0 S C CMに設定した場合 の酸化時間と酸化膜厚との関係を示し、 系列 4のデータは紫外光照射強 度を 1 0 0 %、 すなわち前記基準強度に設定し、 プロセス圧を 2. 6 6 P a ( 2 0 m Torr) 、 酸素ガス流量を 1 5 0 S C CMに設定した場合 の酸化時問と酸化膜厚との関係を示す。 さ らに系列 5のデータは紫外光 基準強度の 2 0 %に設定し、 プロセス圧を 2. 6 6 P a ( 2 0 m Torr) , 酸素ガス流量を 1 5 0 S C CMに設定した場合の酸化寺間と酸化膜圧と の関係を示し、 系列 6のデータは、 紫外光照射強度を基準照射強度の 2 0 %に設定し、 プロセス圧を約 6 7 P a— ( 0. 5 Torr) 、 酸素ガス流 量を 0. 5 S LMに設定した場合の酸化時間と酸化膜厚との関係を示す。 さらに系列 7のデータは、紫外光照射強度を基準強度の 2 0 %に設定し、 プロセス圧を 6 6 5 P a ( 5 Torr) に、 酸素ガス流量を 2 S L Mに設 定した場合の酸化時間と酸化膜厚との関係を、 系列 8のデータは、 紫外 光照射強度を基準強度の 5 %に設定し、 プロセス圧を 2. 6 6 P a ( 2 0 m Torr) , 酸素ガス流量を 1 5 0 S C C Μに設定した場合の酸化時 間と酸化膜厚との関係を示す。 図 6の実験において、 酸化膜の膜厚は X P S法により求めているが、 このよ うに 1 n mを下回る非常に薄い酸化 膜の膜厚を求める統一された方法は現時点では存在しない。
そこで本発明の発明者は、 図 7に示す観測された S i 2 p軌道の X P S スぺク トルに対してバックグラウンド補正及び 3 / 2スピン状態と 1 Z 2スピン状態との分離補正を行い、その結果得られた図 8に示す S i 2 p 3/ 2 X P Sスペク トルをも とに、 Lu他 (Z. H. Lu, et. al.; Appl. Phys. Lett.71(19), 10 November 1997, pp.2764-2766) の教示に従って、 式 ( 1 ) および係数を使って酸化膜の膜厚 dを求めた。 d = 2 sin α · I n [ I x+/(j3 I 0 +)+l] ( 1 )
1 = 2. 9 6
β = 0. 7 5 ただし式 ( 1 ) においてひは X P Sスペク トルの検出角であり、 図示 の例では 3 0° に設定されている。 また式 1中、 I x +は酸化膜に対応す るスぺク トルピークの積分強度 ( I 1 + + I 2 + + I 3 + + I 4 +) であり、 図 8中、 1 0 2〜 1 0 4 e Vのエネルギー領域において見られるピーク に対応している。一方 I ° +は 1 0 0 e V近傍のエネルギー領域に対応し た、 シリ コン基板に起因するスぺク トルピークの積分強度に対応する。 再び図 6を参照するに、 紫外光照射パワー、 従って形成される酸素ラ ジカル密度が小さい場合 (系列 1、 2、 3、 8 ) には、 最初は酸化膜の 酸化膜厚が 0 n mであったものが、 酸化時間と共に酸化膜厚が徐々に増 加し統けるのに対し、 紫外光照射パワーを基準強度の 2 0 %以上に設定 した系列 4、 5、 6、 7では、 図 9に概略的に示すように酸化膜成長が 成長開始後、 おおよそ 0. 4 n mの膜厚に到達した時点で停留し、 ある 程度の停留時間が経過した後、急激に成長が再開されるのが認められる。 図 6あるいは図 9からは、 シリ コン基板表面の酸化処理において、 0. 4 nm前後の膜厚の非常に薄い酸化膜を、 安定して形成できることが分 かる。
また、 図 1 3に見られるように、 かかる停留時間がある程度継統する ことから、 形成される酸化膜は、 一様な厚さを有することがわかる。 す なわち、 本発明によれば、 約 0. 4 n mの厚さの酸化膜をシリ コン基板 上に、 一様な厚さに形成することが可能になる。
図 1 0 (A) 、 (B) は、 かかるシリ コン基板上への薄い酸化膜の形 成過程を概略的に示す。 これらの図では、 シリ コン ( 1 0 0 ) 基板上の 構造を極めて単純化していることに注意すべきである。
図 1 0 (A) を参照するに、 シリ コン基板表面には、 シリ コン原子一 個あたり 2個の酸素原子が結合し、 1原子層の酸素層が形成されている。 この代表的な状態では、 基板表面のシリ コン原子は基板内部の 2つのシ リ コン原子と基板表面の二つの酸素原子によ り配位され、 サブォキサイ ドを形成している。
これに対し、 図 1 0 (B) の状態ではシリ コン基板最上部のシリ コン 原子は 4つの酸素原子によ り配位されており、安定な S i 4 +の状態をと る。 これが理由で図 1 0 (A) の状態では速やかに酸化が進み、 図 1 0 (B) の状態になって酸化が停留するものと考えられる。 図 1 0 (B) の状態における酸化膜の厚さは約 0. 4 n mであり、 これは図 6におい て観測される停留状態における酸化膜厚と良く一致する。 図 8の X P Sスぺク トノレにぉレ、て、 酸化膜厚が 0. l n mあるいは 0. 2 n mの場合に 1 0 1〜 1 0 4 e Vのエネルギ範囲において見られる低 いピークが図 1 0 (A) のサブオキサイ ドに対応し、 酸化膜厚が 0. 3 n mを超えた場合にこのエネルギ領域に表れるピークが S i 4 +に起因 するもので、 1原子層を超える酸化膜の形成を表しているものと考えら れる。
図 1 0 (B) の状態からさらに酸化を継続すると、 酸化膜の厚さは再 び増大する。
図 1 1 (A) は、 このよ うにしてシリ コン基板上に 0. 4 n mの厚さ に形成された酸化膜を、 引き続き図 1の基板処理装置 2 0において、 前 記シャワーへッ ド 2 1 Bに NOガスを供給することにより酸窒化した場 合の、 エリプソメ トリにより求めた膜厚分布を示す。 また以下の表 2は、 図 1 1 (A) の基板において中心部および周辺部の実際の膜厚を、 先に 説明した X P S法により検出角を 9 0° に設定して求めた結果を示す。 ただし酸窒化処理は、 NOガスを前記シャヮ一へッ ド 2 1 Bに 2 0 0 S C CMの流量で供給し、 前記処理容器 2 1の内圧を 3. 9 9 P a ( 0. 0 3 Torr) に維持しながら、 紫外光源 2 4 Bを前記基準強度で 3分間 駆動することにより、行っている。 基板温度は 4 5 0°Cに設定している。
【表 2】
90° 90°
中心 周辺
Si0+ 89.45 88.13
Si4+ 10.55 11.87
Si4+/Si0+ 0.117943 0.134687
膜厚 (nm ) 0.49 0.49
1 1 (A) および表 2を参照するに、
基板中心部および周辺部のいずれにおいても 0. 4 3〜 0. 4 9 n mで あり、 当初の膜厚である約 0. 4 n mからほとんど変化していないこと がわかる。 また、 このよ うにして処理された酸化膜について X P S分析 により窒素の検出を試みたが、 窒素原子からのシグナルは検出されなか つた。 これは、 上記の酸窒化処理では、 前記酸化膜の窒化は全く進行し ていないことを意味している。
図 1 1 (B) は、 同様な条件でシリ コン基板表面に酸化膜を 0. 7 η mの厚さに形成した場合の、 エリプソメ ト リで求めた酸窒化処理後の膜 厚分布を、 また以下の表 2は、 X P S法で検出角を 9 0° に設定して求 めた実際の膜厚を、 基板中心部および周辺部について示す。
【表 3】
90° 90°
中心 周辺
Si0+ 83.49 88.88
Si4+ 16.51 16.12
Si4+/Si0+ 0.197748 0.192179
膜厚 (nm) 0.69 0.68 図 1 1 (B) および表 3を参照するに、 この場合にも酸窒化処理後に おける膜厚は、 基板中心部および周辺部のいずれにおいても 0. 6 9〜 0. 6 8 n mであり、 当初の膜厚である約 0. 7 n mからほとんど変化 していないことがわかる。 このようにして処理された酸化膜について、 X P S分析によ り窒素の検出を試みたが、 窒素原子からのシグナルは検 出されなかった。 表 3の結果および先の表 2の結果から、 シリ コン基板 表面に既に形成されている酸化膜の UVラジカル NO処理による酸窒化 処理では、 酸化膜の膜厚が小さくても、 膜中に窒素を導入することはで きないことがわかる。
これに対し、 図 1 2 (A) は、 図 1の基板処理装置 2 0において、 自 然酸化膜を除去した S i 基板を直接に UVラジカル— NO処理した場合 にシリ コン基板 2 2表面に形成された膜について、 エリプソメ ト リによ り求めた膜厚分布を、 また表 4は、 このよ うにして得られた膜の、 基板 中心部および周辺部における膜厚を、 X P S法により検出角を 9 0° に 設定して求めた結果を示す。 ただし図 1 1 (A) の実験では、 図 1の基 板処理装置 2 0においてシャヮ一へッ ド 2 1 Bに NOガスを 2 0 0 S C CMの流量で供給し、 処理容器 2 1の内圧を先の場合と同様に 3. 9 9 P a ( 0. 0 5 Torr) に維持しながら、 紫外光源 2 4 Bを前記基準強 度で 3分間駆動することにより、 行っている。 基板温度は 4 5 0 °Cに設 定している。
【表 4】
90° 90°
中心 周辺
Si0+ 86.81 86.92
(0.05 Torr)
Si4+ 13.2 13.07
Si4+/Si0+ 0.152056 0.150368
mm) 0.55 0.54 図 1 2 (A) を参照するに、 シリ コン基板表面にはほぼ一様な膜厚の 膜が形成されており、 表 4より、 その膜厚は、 基板中心部においても周 辺部においても、 約 0. 5 n m程度であることがわかる。
また図 1 2 (B) は、 前記酸窒化処理を、 NOガスの流量を 1 S LM に設定し、 6 6 5 P a ( 5 Torr) の圧力下、 紫外光源 2 4 Bを前記基 準強度で 1分間駆動して行った場合の、 エリプソメ ト リによる膜厚分布 を示す。 さらに以下の表 5は、 このようにして得られた膜について、 基 板中心部および周辺部において、 検出角を 9 0° に設定して行なった X P S法による膜厚測定の結果を示す。 【表 5】
s s S ^ N o S 90° 90°
中心 周辺
s 87.78 87.57
έ n (5 Torr)
m 12.22 12.42
/ 1 0.139212 0.141829
0.50 0.51 図 1 2 (B) を参照するに、 この場合にも基板表面における形成され た膜の膜厚分布はほぼ一様であることがわかり、 表 5より、 その膜厚は、 基板中心部においても周辺部においても、 約 0. 5 nmであることがわ かる。
以下の表 6は、 図 1 2 ( A) の実験により得られた膜について、 X P S法により元素分析を行なった結果を示す。
【表 6】 at %
中心 周辺
90° 30° 90° 30°
(0.03 Torr)
67.23 63 66.88 66.13
11.18 10.19 9.13 9.63
2p 21.59 26.81 23.99 24.23 表 6を参照するに、 このよ うにして形成された膜では、 0 l s軌道に対 応するシグナル、 N s軌道に対応するシグナル、 および S i 2 p軌道に 対応するシグナルが観測され、 検出角を 9 0° に設定した測定では、 基 板中心部において酸素原子濃度が 6 7. 2 3 %、 窒素原子濃度が 1 1. 1 8 %、 シリ コン原子濃度が 2 1. 5 9 %であることが確廊された。 ま た基板周辺部においても、 酸素原子濃度が 6 6. 8 8 %、 窒素原子濃度 が 9. 1 3 %, シリ コン原子濃度が 2 4. 2 3 %であるのが確認された。 すなわち、 このよ うにして形成された膜は、 窒素を含んだ、 酸窒化膜で あることが確認された。
N
同 o S様に、 以下の表 7は、 図 1 2 (B) の実験により得られた膜につレ' て、 X P S法により元素分析を行なった結果を示す。 【表 7】
at %
中心 周辺
90° 30° 90° 30c
(5 Torr)
67.3 63.84 67.2 64.2
11.66 10.36 11.44 10.43
2p 21.04 25.8 21.37 25.36 表 7を参照するに、 このようにして形成された膜においても、 01 3軌 道に対応するシグナル、 N , s軌道に対応するシグナル、 および S i 2 p 軌道に対応するシグナルが観測され、 検出角を 9 0° に設定した測定で は、 基板中心において酸素原子濃度が 6 7. 3 %、 窒素原子濃度が 1 1. 6 6 %、 シリ コン原子濃度が 2 1. 0 4 %であることが確認された。 ま た基板周辺においても、 酸素原子濃度が 6 7. 2 %、 窒素原子濃度が 1 1. 4 4 %、 シリ コン原子濃度が 2 1. 3 7 %であり、 膜中の組成が先 の表 6の場合よ り も均一になっているのが確認された。 すなわち、 この 場合にも組成が一様な酸窒化膜がシリ コン基板表面に形成されている。
ところで前記表 7において X P Sスペク トルの検出角を 3 0° に設定 して行った測定では、 基板中心部および周辺部とも、 窒素濃度が 9 0° の検出角で測定した場合より もやや減少しているのが見られる。 検出角 を浅く設定した測定では、 酸窒化膜の下部において放出された光電子に よるシグナルは膜中を斜めに通過する際に減衰を受けるので、 主に膜上 部の組成が検出されると考えられる。 従って、 この表 7の結果は、 この ようにして形成された酸窒化膜中において、 窒素原子は、 シリ コン基板 との界面近傍において比較的濃集していることを示している。 同様な傾 向は、 表 6の基板中心部での分析結果においても見られている。
次に、 このよ うなシリ コン基板表面の UV— NO処理による酸窒化膜 形成の力イネティ ックスについて説明する。
図 1 3 (A;) 、 (B) は、 図 1の基板処理装置 2 0において、 前記 シャワーへッ ド 2 1 Bに NOガスを 2 0 0 S C CMの流量で供給し、 処 理圧力を 3. 9 9 P a ( 3 0 m Torr) に維持しながら 4 5 0 °Cにおい て前記紫外光源 24 Bを前記基準照射量で駆動し、 駆動時間を様々に変 化させた場合における、 酸窒化膜の膜厚および膜中の窒素濃度をそれぞ れ示す。
図 1 3 (A) を参照するに、 前記酸窒化膜の膜厚は時間と ともに増大 するが、 約 0. 5 n mの膜厚に達した時点で、 先に図 6および図 9で説 明したのと同様な膜成長の停留現象が生じていることがわかる。 また図 1 3 (A) 中には、 このよ うな窒化処理の際に前記紫外光源 2 4 Bを駆 動しなかった場合をも示している。
この場合には、 図 1 3 (A) からわかるように、 酸窒化膜の成長は全 く生じていない。
一方、 図 1 3 (B) からは、 酸窒化処理を開始した直後においては X P S分析の検出角を 3 0° に設定した場合の窒素濃度が、 検出角を 9 0° に設定した場合より も小さく現れ、 窒素は酸窒化膜とシリ コン基板 との界面近傍に濃集していることがわかる。 また図 1 3 (B) からは、 酸窒化処理を継続することにより、 この膜厚方向における窒素分布の不 均一は徐々に解消することがわかる。
図 1 3 (B) の結果は、 窒化処理開始直後には窒素濃度の高い酸窒化 膜が形成されるが、 時間と ともに膜中の窒素濃度が減少しており、 膜成 長機構が時間と共に、徐々に酸化反応主体に移行していることがわかる。 処理開始から約 2 0 0秒後には、 窒素濃度の膜厚方向への不均一は解消 している。
図 1 4 (A;) 、 (B) は、 それぞれ図 1 3 (A;) 、 (B) に対応する 図であり、 前記酸窒化処理を、 前記紫外光源 2 4 Bの駆動パワーを前記 基準強度の 2 0 %に設定して行なった場合を示すが、 先の図 1 3 (A) 、 (B) と同様な結果が得られている。 すなわち、 膜成長の停留現象が、 酸窒化膜の膜厚が約 0. 5 n mに達した時点で生じており、 また膜成長 の初期には高い窒素濃度の酸窒化膜が形成され、 窒素原子が酸窒化膜と シリ コン基板との界面近傍に濃集していることがわかる。
これに対し図 1 5 (A) 、 ( B ) は、 シリ コン基板表面の同様な酸窒 化処理を、 基板温度を 5 5 0 °Cに設定して実行した場合の膜厚と処理時 間との関係、 および膜中における窒素濃度の分布と処理時間の関係とを それぞれ示す。
まず図 1 5 ( B) を参照するに、 X P S分析の際の検出角を 9 0° に 設定した場合でも 3 0° に設定した場合でも膜中に取り込まれている窒 素原子の濃度は図 1 3 (B ) あるいは図 1 4 (B ) の場合よりも実質的 に少なく、 従って形成されている酸窒化膜は、 よ り酸化膜に近い組成を 有していることがわかる。 これは、 おそらく酸窒化処理の際の基板温度 を 5 5 0 °Cに設定したため、 処理容器 2 1中に残存する酸素による酸化 作用が促進されたことに起因するものと考えられる。
また図 1 5 (A) では形成された酸窒化膜が酸化膜により近い組成を 有しているため、 膜成長の停留が、 図 6および図 9で説明した酸化膜の 膜厚停留現象が生じる 0. 4 n mにより近い、 0. 4 6 n m前後の膜厚 において生じているものと考えられる。
なお、 本発明では酸窒化膜の膜厚を、 先に説明した式 ( 1 ) およびこ れに付随するパラメータを使って求めているが、 これは酸化膜について 導かれた式であり、 酸窒化膜の場合、 光電子の脱出深さの効果により、 膜厚値が多少大きく算出されている可能性がある。 いずれにせよ、 本発 明で形成される酸化膜は、 2原子層程度に制御された膜厚を有するもの と考えられる。
次に、 図 1の基板処理装置 2 0をシリ コン基板の酸窒化処理に適用す る場合の、 紫外光源 2 4 Bの選択について説明する。
図 1 6は NO分子の様々な励起状態におけるポテンシャルカーブを示 す。 (たとえば S. Chang/R. M. Hobson/巿川寿美 Z金田輝夫 共 著 「電離気体の原子 ·分子過程」 東京電機大学出版局 [1982年] を参照 されたい。 )
NO分子の紫外光による光遷移では、 基底状態から励起状態 A2∑+、 B2nr、 C2n、 D2∑\ E2∑+への遷移に伴う吸収帯の存在が知られており、 それぞれ 2 2 7 n m、 2 1 8 n m、 1 9 2 nm、 1 8 8 n m 1 6 5 n m以上の光波長で遷移が可能である。 一方、 原子状酸素 (03 p) と原子 状窒素 (N4 s °) を励起可能な波長域は、 図 1 6から 1 9 2 n mと 1 4 5 n mの間であることがわかる。
すなわち、 1 4 5 n m以下の光波長で NO分子を減起することにより、 原子状酸素と原子状の窒素とを発生させることが可能である。 一方、 光 波長が前記 1 4 5 n mより も短く なるとラジカル酸素 (O〗 D) が励起さ れはじめるので、 基板処理の際に酸化反応が主体になると考えられる。
このような事情で、 図 1の基板処理装置 2 0においてシリ コン基板表 面に酸窒化膜を形成するためには、 前記紫外光源 2 4 Bと して、 1 9 2 〜 1 4 5 n mの範囲の波長の紫外光を形成できる光源を使うのが好まし レ、。
図 1の基板処理装置 2 0を枚葉式の半導体製造プロセスに適用するこ とを考えると、 このよ うな光源 2 4 Bは随時点灯および消灯が可能なも のであるのが好ましい。 現在、 このような随時点灯および消灯が可能で、 しかも鋭いスぺク トルを有する紫外光源と して、 波長が 3 0 8 n m、 2 2 2 n m、 1 7 2 n m、 1 4 6 n m、 および 1 2 6 n mのエキシマラン プが、 商業的に入手可能である。 このうち、 上記の条件を満たすランプ は波長が 1 7 2 n mのものと 1 4 6 nmのものに限られる。 このうち、 波長が 1 4 6 n mのエキシマランプは 1 3 n m程度の半値幅を有し、 こ のためスぺク トルの一部が 1 4 5 nm以下となり、 ランプの状態や個体 差如何によつては、 酸素ラジカルの励起が生じないとも限らない。 この ようなことから、 図 1の基板処理装置 2 0にて紫外光源 2 4 Bと して市 販のエキシマランプを使う場合には、 1 7 2 n mの波長のものを使うの が好ましい。
図 1 7は、 このような 1 7 2 n mの紫外光を発生するエキシマランプ (誘電体バリァ放電管) 4 1の概略的祷成を示す (特開平 7— 1 9 6 3 0 3号公報あるいは特開平 8— 8 5 8 6 1号公報を参照) 。
図 1 7を参照するにエキシマランプ 4 1は、 内側管 4 2 と外側管 4 3 とを含む二重円筒形容器を有し、 前記内側石英管 4 2 と外側石英管 4 3 との間の空間 4 7には、 X eガスが 3 3. 2 5 k P a ( 2 5 0 Torr) の圧力で封入されている。 さらに前記内側石英管 4 2の内側面にはアル ミニゥム薄膜電極 4 5が形成されており、 さらに前記外側石英管 4 3の 外側にはメ ッシュ状の電極 4 4が形成されている。 また前記空間 4 7の 軸方向端部にはゲッタ室 4 8が形成されており、 前記ゲッタ室 4 8には ゲッタ 4 6が配設されている。 前記エキシマランプ 4 1は前記電極 4 4 と電極 4 5 との間に電源 5 0によ り交流電圧を印加することにより、 自 在に点灯消灯を制御することができる。
このようなエキシマランプと しては、 例えばゥシォ電機 (株) より巿 販されている形式 U E R 2 0— 1 7 2、 あるレヽはホヤショ ッ ト (株) よ り市販されている形式 H E S 1 7 0 3 Sのものを使うことができる。 勿 論、 前記紫外光源は上記のエキシマランプに限定されるものではなく、 他に低圧水銀ランプや、 場合によってはエキシマレーザを使うことも可 能である。
以下、 上記の紫外線照射下で行なう N Oガス処理において、 膜中に取 り込まれる窒素濃度を X P Sによる検出角 9 0° および 3 0° で測定し たもの、 および X P Sによる検出角 9 0° の測定と検出角 3 0° の測定 の比 ( 3 0° / 9 0° ) を取ったものを図 2 0 ( A) 、 ( B ) に示した。 この実験では真空に引かれたカセッ ト室内 (図 3の 6 3 ) に保持された シリ コン基板が、保持されている時間をいろいろに変えて搬送室 ( 6 1 ) を通り反応室 ( 2 0) に運ばれ UV— N Oによる酸窒化処理をおこなつ ている。 真空に引ききられたカセッ ト室内にはカセッ トの上下機構など が具備されているためこれらの機械系よ り放出される有機成分は長時間 保持によりシリ コン基板汚染の原因となり易い。 図 2 0から明らかなよ うにシリ コン基板を投入してすぐに成膜したウェハと 3時間から 2 4時 問カセッ ト室内保持を行なって成膜したものを比較すると明らかに窒素 濃度の上昇傾向と比 ( 3 0° Z 9 0° ) に減少傾向が認められた。 この よ うにカセッ ト室内による保持により成膜特性が変化してしまうことは デバイス製造工程上影響が甚大である。 とく に ( 3 0° ノ 9 0° ) の比 が小さくなった酸窒化膜は窒素が膜内部に存在する傾向にあり、 界面に 偏析しゃすい。 この特性はゲート絶縁膜の界面特性に大きな影響を与え ると考えられているためプロセスには安定して同じ特性を供給する能力 が期待される。 通常に複数枚のウェハで構成される口 ッ トを処理するの に 2〜 3時間の保持時間は考えておかなければならない。
しかしながら 24時間の保持により強制汚染させられたウェハでも図 2 0のプロッ トにもあるように UV— N2の前処理を行なった後に U V — NOの酸窒化の処理を行なったものでは、 濃度、 比 ( 3 0° ノ 9 0° ) ともに保持時間無しのシリ コン基板でプロッ トされた値に近いところに 回復させられている事がわかる。 これは吸着した有機分子が除去された 状態でプロセスしているためと推測される。
(第 2の実施形態)
図 1 8 (A) 〜図 1 9 (E) は、 本発明の第 3実施例による半導体装 置の製造工程を示す。 図 1 8 (A) を参照するに、 不純物元素をイオン 注入して作られた拡散領域 3 1 a と 3 1 bを有するシリ コン基板 3 1が 絶縁層 3 5 と 3 6に開けられた穴 3 7により露出されている、 自然酸化 膜を除去されたシリ コン基板 3 1の露出面 3 1 Cは図 1の基板処理装置 2 0において、 先に説明した条件下において、 波長が 1 7 2 n mの紫外 光によ り UV— NO処理を施され、 その結果図 1 8 (B ) に示すように 前記シリ コン基板 3 1の表面には、 先に説明した成膜停留現象により、 膜厚が約 0. 5 n mの S i ON膜 3 2がー様に形成される。
次に図 1 8 ( C) の工程において前記 S i ON膜 3 2上に C VD法に より Z r S i O xや H f S i O x、 あるいは Z r O 2や H f 〇 2、 T a 2 O 5、 A 1 2 O 3などの高誘電体膜 3 3を堆積する。
さらに図 1 9 (D) の工程においてこのようにして形成された高誘電 体膜 3 3上に金属電極層 3 4を堆積し、 これを図 1 9 (E) の工程にお いて電極のエッチング処理することによ り金属ゲー 卜電極 3 4 Gを形成 する。 本実施例において、 図 1 8 (A) の U V— N O酸窒化工程は、 5 5 0°Cを超えない温度で行なうのが好ましく、 その際の処理圧力は 1. 3 3〜 : L . 3 3 X 1 0—3 P a に設定するのが好ましい。
以上、 本発明を好ましい実施例について説明したが、 本発明はかかる 特定の実施例に限定されるものではなく、 特許請求の範囲に記載の要旨 内において様々な変形や変更が可能である。 産業上の利用可能性
本発明によれば、 酸素の非存在下にシリ コン基板表面に紫外線を照射 することにより、 シリ コン基板表面の炭素を除去することができ、 有機 物汚染の影響を排除して安定して酸窒化膜を形成することが可能である, また酸窒化膜形成で示された安定な成膜は同様に酸化膜の形式でも行う ことができる。

Claims

求 の 範 囲
1 . 本質的に紫外線不活性ガス雰囲気下でシリ コン基板表面に紫外線を 照射することによる、 該表面から、 炭素を除去する工程を有することを 特徴とする基板処理方法。
2 . 前記の炭素除去工程は、 紫外線照射されるべきシリ コン基板を加熱 しながら、 行われることを青特徴とする請求項 1記載の基板処理方法。
3 . 減圧雰囲気下で、 前記の炭素除去工程のための紫外線照射は行われ ることを特徴とする請求項 1記載の基板処理方法。
4 . 前記の紫外線不活性ガスは窒素ガスであることを特徴とする請求項 1〜 3のいずれか記載の基板処理方法。
5 . 紫外線照射されているシリ コン基板が加熱される最高温度は、 4 5 0 °Cであることを特徴とする請求項 2〜 4のいずれか記載の基板処理方 法。
6 . シリ コン基板表面を照射する紫外線は、 波長 1 5 0〜 2 7 0 n mで あることを特徴とする請求項 1〜 5のいずれか記載の基板処理方法。
7 . シリ コン基板表面を照射する紫外線の光源は、誘導体バリァ放電管、 水銀ランプまたは重水素ランプのいずれかであることを特徴とする請求 項 1〜 6のいずれか記載の基板処理方法。
8 . 本質的に紫外線不活性ガス雰囲気下でシリ コン基板表面に紫外線を 照射することによる、 該表面から、 炭素を除去する工程と、
前記シリ コン基板表面に、 本質的に紫外線不活性ガス雰囲気下で紫外 線を照射することにより、 酸窒化膜を形成する工程を具備することを特 徴とする基板処理方法。
9 . 前記の酸窒化膜を形成する工程は、 窒素及び酸素の存在下で紫外線 を照射する工程であることを特徴とする請求項 8記載の基板処理方法。
1 0. 本質的に紫外線不活性ガス雰囲気下でシリ コン基板表面に紫外線 を照射することによる、 該表面から、 炭素を除去する工程と、
前記シリ コン基板表面に、 NOガスを供給する工程と、
前記 NOガスを紫外線光により励起し、 前記シリ コン基板表面上に酸 窒化膜を形成する工程を具備することを特徴とする基板処理方法。
1 1. 前記紫外線光は、 1 4 5 η π!〜 1 9 2 n mの波長を有することを 特徴とする請求項 1 0記載の基板処理方法。
1 2. 前記紫外線光は、 約 1 7 2 nmの波長を有することを特徴とする 請求項 1 0あるいは 1 1記載の基板処理方法。
1 3. 前記紫外線光は、 キセノ ン封入誘導体バリア放電管によ り形成さ れることを特徴とする請求項 1 0あるいは 1 1記載の基板処理方法。
1 4. 前記酸窒化膜は、 約 0. 5 nmの厚さに形成されることを特徴と する請求項 1 0記載の基板処理方法。
1 5. 前記の炭素除去工程は、 4 5 0 °Cを超えない基板温度で行われる ことを特徴とする請求項 1 0〜 1 4のいずれか記載の基板処理方法。
1 6. 前記の酸窒化膜形成工程は、 4 5 0〜 5 5 0°Cの範囲の基板温度 で行われることを特徴とする請求項 1 0〜 1 5のいずれか記載の基板処 理方法。
1 7. 前記の酸窒化膜形成工程は、 2 0 0秒以下の時間で行われること を特徴とする請求項 1 0〜 1 6のいずれか記載の基板処理方法。
1 8. 前記の酸窒化膜形成工程は、 1. 3 3〜: 1. 3 3 X 1 0 3 P aの 範囲の処理圧で実行されることを特徴とする請求項 1 0 ~ 1 7のいずれ か記載の基板処理方法。
1 9. 前記の酸窒化膜形成工程に先だって、 前記のシリ コン基板表面の 自然酸化膜を除去することを特徴とする請求項 1 0〜 1 8のいずれか記 載の基板処理方法。
2 0 . 本質的に紫外線不活性ガス雰囲気下でシリ コン基板表面に紫外線 を照射することによる、 該表面から、 炭素を除去する工程と、
前記シリ コン基板表面に、 N Oガスを供給する工程と、
前記 N Oガスを紫外線光により励起し、 前記シリ コン基板表面上に酸 窒化膜を形成する工程と、
前記酸窒化膜上に高誘導体膜を形成する工程と、
前記高誘導体膜上にゲー ト電極を形成する工程とを具備することを特 徴とする半導体装置の製造方法。
2 1 . 前記紫外線光は、 1 4 5 n m〜 1 9 2 n mの波長を有することを 特徴とする請求項 2 0記載の半導体装置の製造方法。
2 2 . 前記紫外線光は、 1 7 2 n mの波長を有することを特徴とする請 求項 2 0あるいは 2 1記載の半導体装置の製造方法。
2 3 . 本質的に紫外線不活性ガス雰囲気下でシリ コン基板表面に紫外線 を照射することによる、 該表面から、 炭素を除去する工程と、
前記シリ コン基板表面に、 紫外線光を照射し、 前記シリ コン基板表面 上に酸化膜を形成する工程を具備することを特徴とする基板処理方法。
PCT/JP2003/005032 2002-04-19 2003-04-21 Procede de traitement de substrat et procede de production de dispositifs a semi-conducteurs WO2003090268A1 (fr)

Priority Applications (2)

Application Number Priority Date Filing Date Title
AU2003235305A AU2003235305A1 (en) 2002-04-19 2003-04-21 Method of treating substrate and process for producing semiconductor device
US10/967,284 US7129185B2 (en) 2002-04-19 2004-10-19 Substrate processing method and a computer readable storage medium storing a program for controlling same

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2002-117930 2002-04-19
JP2002117930 2002-04-19

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/967,284 Continuation-In-Part US7129185B2 (en) 2002-04-19 2004-10-19 Substrate processing method and a computer readable storage medium storing a program for controlling same

Publications (1)

Publication Number Publication Date
WO2003090268A1 true WO2003090268A1 (fr) 2003-10-30

Family

ID=29243511

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2003/005032 WO2003090268A1 (fr) 2002-04-19 2003-04-21 Procede de traitement de substrat et procede de production de dispositifs a semi-conducteurs

Country Status (3)

Country Link
US (1) US7129185B2 (ja)
AU (1) AU2003235305A1 (ja)
WO (1) WO2003090268A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9972516B2 (en) 2015-03-25 2018-05-15 SCREEN Holdings Co., Ltd. Exposure device, substrate processing apparatus, exposure method for substrate and substrate processing method
US10236200B2 (en) 2015-03-25 2019-03-19 SCREEN Holdings Co., Ltd. Exposure device and substrate processing apparatus

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US8084372B2 (en) * 2007-08-24 2011-12-27 Tokyo Electron Limited Substrate processing method and computer storage medium
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
WO2011084752A2 (en) 2010-01-07 2011-07-14 Applied Materials, Inc. In-situ ozone cure for radical-component cvd
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
JP6254516B2 (ja) * 2014-12-19 2017-12-27 東京エレクトロン株式会社 基板処理装置及び基板処理方法
EP3371821A4 (en) 2015-11-02 2019-05-01 Nova Measuring Instruments, Inc. METHOD AND SYSTEM FOR NON-DESTRUCTIVE METROLOGY OF THIN LAYERS

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04196533A (ja) * 1990-11-28 1992-07-16 Kawasaki Steel Corp 半導体基板処理方法およびその装置
JP2002100627A (ja) * 2000-07-21 2002-04-05 Tokyo Electron Ltd 半導体装置の製造方法、基板処理装置および基板処理システム
JP2002217155A (ja) * 2001-01-17 2002-08-02 Mitsubishi Electric Corp 半導体基板の洗浄方法
JP2003001206A (ja) * 2001-06-18 2003-01-07 Hitachi Electronics Eng Co Ltd 基板処理方法及び基板処理装置

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3765935A (en) * 1971-08-10 1973-10-16 Bell Telephone Labor Inc Radiation resistant coatings for semiconductor devices
US4409570A (en) * 1981-11-16 1983-10-11 Sperry Corporation Separated substrate acoustic wave device
US4685976A (en) * 1985-04-10 1987-08-11 Eaton Corporation Multi-layer semiconductor processing with scavenging between layers by excimer laser
CN100483651C (zh) * 1992-08-27 2009-04-29 株式会社半导体能源研究所 半导体器件的制造方法
US5468560A (en) * 1994-04-19 1995-11-21 The Boc Group, Inc. Product and process for polymeric article with improved surface
US5970384A (en) * 1994-08-11 1999-10-19 Semiconductor Energy Laboratory Co., Ltd. Methods of heat treating silicon oxide films by irradiating ultra-violet light
US5661092A (en) * 1995-09-01 1997-08-26 The University Of Connecticut Ultra thin silicon oxide and metal oxide films and a method for the preparation thereof
US5756380A (en) * 1995-11-02 1998-05-26 Motorola, Inc. Method for making a moisture resistant semiconductor device having an organic substrate
US6306560B1 (en) * 1998-12-02 2001-10-23 Advanced Micro Devices, Inc. Ultra-thin resist and SiON/oxide hard mask for metal etch
KR20020036951A (ko) * 1999-05-28 2002-05-17 시마무라 테루오 노광방법 및 장치
US6457478B1 (en) * 1999-11-12 2002-10-01 Michael J. Danese Method for treating an object using ultra-violet light
US6329297B1 (en) * 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6743700B2 (en) * 2001-06-01 2004-06-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor film, semiconductor device and method of their production
US6706643B2 (en) * 2002-01-08 2004-03-16 Mattson Technology, Inc. UV-enhanced oxy-nitridation of semiconductor substrates

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04196533A (ja) * 1990-11-28 1992-07-16 Kawasaki Steel Corp 半導体基板処理方法およびその装置
JP2002100627A (ja) * 2000-07-21 2002-04-05 Tokyo Electron Ltd 半導体装置の製造方法、基板処理装置および基板処理システム
JP2002217155A (ja) * 2001-01-17 2002-08-02 Mitsubishi Electric Corp 半導体基板の洗浄方法
JP2003001206A (ja) * 2001-06-18 2003-01-07 Hitachi Electronics Eng Co Ltd 基板処理方法及び基板処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9972516B2 (en) 2015-03-25 2018-05-15 SCREEN Holdings Co., Ltd. Exposure device, substrate processing apparatus, exposure method for substrate and substrate processing method
US10236200B2 (en) 2015-03-25 2019-03-19 SCREEN Holdings Co., Ltd. Exposure device and substrate processing apparatus

Also Published As

Publication number Publication date
AU2003235305A1 (en) 2003-11-03
US7129185B2 (en) 2006-10-31
US20050079720A1 (en) 2005-04-14

Similar Documents

Publication Publication Date Title
WO2003090268A1 (fr) Procede de traitement de substrat et procede de production de dispositifs a semi-conducteurs
KR100638931B1 (ko) 기판 처리 방법 및 장치, 클러스터형 반도체 제조 장치
KR100641762B1 (ko) 절연막의 질화 방법, 반도체 장치 및 반도체 장치의 제조방법, 기판 처리 장치 및 기판 처리 방법
JP4813737B2 (ja) 窒化ケイ素フィルムを形成するための超薄オキシニトリドのuv前処理法
EP1333475B1 (en) Method for forming an insulation film and substrate processing apparatus therefore
US5407867A (en) Method of forming a thin film on surface of semiconductor substrate
KR101019799B1 (ko) 고유전체 박막의 개질 방법
CN1459126A (zh) 形成介电薄膜的方法
US7754293B2 (en) Film forming method
JP4369091B2 (ja) 基板処理方法
JP3233281B2 (ja) ゲート酸化膜の形成方法
JP3770870B2 (ja) 基板処理方法
JP4078370B2 (ja) 基板処理装置
US6303522B1 (en) Oxidation in an ambient comprising ozone and the reaction products of an organic chloro-carbon precursor
Nishiguchi et al. Rapid oxidation of silicon using UV-light irradiation in low-pressure, highly concentrated ozone gas below 300 C
JP3439580B2 (ja) シリコン酸化膜の形成方法および形成装置
JP2003347241A (ja) カーボン系薄膜除去方法及び表面改質方法並びにそれらの処理装置
KR100324822B1 (ko) 반도체소자의 게이트 산화막 제조방법
JP5525462B2 (ja) 絶縁膜の形成方法および基板処理装置
JP2010118516A (ja) 薄膜形成方法、及び薄膜形成装置
JPS63232337A (ja) ドライクリ−ニング方法
JP2004103651A (ja) 薄膜形成方法および半導体デバイス製造方法
JPH04255223A (ja) 絶縁膜形成方法

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 10967284

Country of ref document: US

122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP