WO2004007794A3 - Pulsed nucleation deposition of tungsten layers - Google Patents

Pulsed nucleation deposition of tungsten layers Download PDF

Info

Publication number
WO2004007794A3
WO2004007794A3 PCT/US2003/022477 US0322477W WO2004007794A3 WO 2004007794 A3 WO2004007794 A3 WO 2004007794A3 US 0322477 W US0322477 W US 0322477W WO 2004007794 A3 WO2004007794 A3 WO 2004007794A3
Authority
WO
WIPO (PCT)
Prior art keywords
tungsten
process chamber
reducing gas
containing precursor
reaction
Prior art date
Application number
PCT/US2003/022477
Other languages
French (fr)
Other versions
WO2004007794A2 (en
Inventor
Xinliang Lu
Ping Jian
Jong Hyun Yoo
Ken Kaung Lai
Alfred W Mak
Robert L Jackson
Ming Xi
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to JP2004521971A priority Critical patent/JP2005533181A/en
Publication of WO2004007794A2 publication Critical patent/WO2004007794A2/en
Publication of WO2004007794A3 publication Critical patent/WO2004007794A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements

Abstract

A method of forming a tungsten nucleation layer using a sequential deposition process. The tungsten nucleation layer is formed by reacting pulses of a tungsten-containing precursor and a reducing gas in a process chamber to deposit tungsten on the substrate. Thereafter, reaction by-products generated from the tungsten deposition are removed from the process chamber. After the reaction by-products are removed from the process chamber, a flow of the reducing gas is provided to the process chamber to react with residual tungsten-containing precursor remaining therein. Such a deposition process forms tungsten nucleation layers having good step coverage. The sequential deposition process of reacting pulses of the tungsten-containing precursor and the reducing gas, removing reaction by-products, and than providing a flow of the reducing gas to the process chamber may be repeated until a desired thickness for the tungsten nucleation layer is formed.
PCT/US2003/022477 2002-07-12 2003-07-14 Pulsed nucleation deposition of tungsten layers WO2004007794A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004521971A JP2005533181A (en) 2002-07-12 2003-07-14 Pulsed nucleation deposition of tungsten layers

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/194,629 2002-07-12
US10/194,629 US7211144B2 (en) 2001-07-13 2002-07-12 Pulsed nucleation deposition of tungsten layers

Publications (2)

Publication Number Publication Date
WO2004007794A2 WO2004007794A2 (en) 2004-01-22
WO2004007794A3 true WO2004007794A3 (en) 2004-04-29

Family

ID=30114793

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/022477 WO2004007794A2 (en) 2002-07-12 2003-07-14 Pulsed nucleation deposition of tungsten layers

Country Status (3)

Country Link
US (2) US7211144B2 (en)
JP (1) JP2005533181A (en)
WO (1) WO2004007794A2 (en)

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI119941B (en) * 1999-10-15 2009-05-15 Asm Int A process for preparing nanolaminates
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
FI20000099A0 (en) 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7589017B2 (en) * 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7262125B2 (en) * 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
AU2002333601A1 (en) * 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7398090B2 (en) * 2002-09-13 2008-07-08 Hewlett-Packard Development Company, L.P. Defining a smart area
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7754604B2 (en) * 2003-08-26 2010-07-13 Novellus Systems, Inc. Reducing silicon attack and improving resistivity of tungsten nitride film
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
JP2006324610A (en) * 2005-05-20 2006-11-30 Matsushita Electric Ind Co Ltd Device and method of treating substrate
JP2007046134A (en) * 2005-08-11 2007-02-22 Tokyo Electron Ltd Method for forming metallic film, and recording medium with program recorded therein
US20070065597A1 (en) * 2005-09-15 2007-03-22 Asm Japan K.K. Plasma CVD film formation apparatus provided with mask
US8993055B2 (en) * 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
KR100651602B1 (en) * 2005-12-14 2006-11-30 동부일렉트로닉스 주식회사 Fabricating method of metal line in semiconductor device
JP2007194468A (en) * 2006-01-20 2007-08-02 Renesas Technology Corp Semiconductor device, and method of manufacturing same
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
KR100890047B1 (en) * 2007-06-28 2009-03-25 주식회사 하이닉스반도체 Method for fabricating interconnection in semicondutor device
US7655567B1 (en) 2007-07-24 2010-02-02 Novellus Systems, Inc. Methods for improving uniformity and resistivity of thin tungsten films
WO2009064530A2 (en) * 2007-08-30 2009-05-22 Washington State University Research Foundation Semiconductive materials and associated uses thereof
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8053365B2 (en) * 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US8062977B1 (en) 2008-01-31 2011-11-22 Novellus Systems, Inc. Ternary tungsten-containing resistive thin films
JP5551681B2 (en) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8058170B2 (en) * 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) * 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
CN102265383B (en) * 2008-12-31 2014-06-11 应用材料公司 Method of depositing tungsten film with reduced resistivity and improved surface morphology
US20100267230A1 (en) * 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8193089B2 (en) * 2009-07-13 2012-06-05 Seagate Technology Llc Conductive via plug formation
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8207062B2 (en) * 2009-09-09 2012-06-26 Novellus Systems, Inc. Method for improving adhesion of low resistivity tungsten/tungsten nitride layers
KR101712040B1 (en) 2009-10-20 2017-03-03 에이에스엠 인터내셔널 엔.브이. Processes for passivating dielectric films
US8709948B2 (en) * 2010-03-12 2014-04-29 Novellus Systems, Inc. Tungsten barrier and seed for copper filled TSV
US9129945B2 (en) * 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US20120199887A1 (en) * 2011-02-03 2012-08-09 Lana Chan Methods of controlling tungsten film properties
US8956683B2 (en) 2011-06-16 2015-02-17 Zimmer, Inc. Chemical vapor infiltration apparatus and process
EP2720726B1 (en) 2011-06-16 2016-05-04 Zimmer, Inc. Micro-alloyed porous metal having optimized chemical composition and method of manufacturing the same
WO2013148880A1 (en) 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill
KR101306813B1 (en) 2012-05-09 2013-09-10 한국화학연구원 Novel tungsten aminoamide azide compounds, preparation method thereof and process for the formation of thin films using the same
KR101306812B1 (en) 2012-05-09 2013-09-10 한국화학연구원 Novel tungsten silylamide compounds, preparation method thereof and process for the formation of thin films using the same
KR101306811B1 (en) 2012-05-09 2013-09-10 한국화학연구원 Novel tungsten aminoamide halide compounds, preparation method thereof and process for the formation of thin films using the same
US9034760B2 (en) 2012-06-29 2015-05-19 Novellus Systems, Inc. Methods of forming tensile tungsten films and compressive tungsten films
US8975184B2 (en) 2012-07-27 2015-03-10 Novellus Systems, Inc. Methods of improving tungsten contact resistance in small critical dimension features
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9169556B2 (en) 2012-10-11 2015-10-27 Applied Materials, Inc. Tungsten growth modulation by controlling surface composition
US8859417B2 (en) 2013-01-03 2014-10-14 Globalfoundries Inc. Gate electrode(s) and contact structure(s), and methods of fabrication thereof
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9595470B2 (en) * 2014-05-09 2017-03-14 Lam Research Corporation Methods of preparing tungsten and tungsten nitride thin films using tungsten chloride precursor
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
KR102216575B1 (en) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Titanium aluminum and tantalum aluminum thin films
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
KR102378021B1 (en) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. Formation of SiOC thin films
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR102572271B1 (en) 2017-04-10 2023-08-28 램 리써치 코포레이션 Low resistivity films containing molybdenum
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
JP6788545B2 (en) 2017-04-26 2020-11-25 東京エレクトロン株式会社 How to form a tungsten film
JP7249952B2 (en) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. Plasma-enhanced deposition process for controlled formation of oxygen-containing thin films
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2019036292A1 (en) 2017-08-14 2019-02-21 Lam Research Corporation Metal fill process for three-dimensional vertical nand wordline
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR20190065962A (en) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. UNIFORM DEPOSITION OF SiOC ON DIELECTRIC AND METAL SURFACES
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
JP2021523292A (en) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation How to deposit tungsten and other metals in a 3D NAND structure
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
US20220181158A1 (en) * 2019-04-11 2022-06-09 Lam Research Corporation High step coverage tungsten deposition
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
US11721566B2 (en) * 2021-07-13 2023-08-08 Applied Materials, Inc. Sensor assembly and methods of vapor monitoring in process chambers
US11939668B2 (en) * 2022-04-26 2024-03-26 Applied Materials, Inc. Gas delivery for tungsten-containing layer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0704551A1 (en) * 1994-09-27 1996-04-03 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
WO1998051838A1 (en) * 1997-05-16 1998-11-19 Applied Materials, Inc. Low resistivity w using b2h¿6?
WO2001027346A1 (en) * 1999-10-15 2001-04-19 Asm Microchemistry Oy Method of modifying source chemicals in an ald process
WO2001029893A1 (en) * 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US20010009695A1 (en) * 2000-01-18 2001-07-26 Saanila Ville Antero Process for growing metalloid thin films

Family Cites Families (332)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US3785862A (en) * 1970-12-14 1974-01-15 Rca Corp Method for depositing refractory metals
SE393967B (en) 1974-11-29 1977-05-31 Sateko Oy PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
FI64878C (en) 1982-05-10 1984-01-10 Lohja Ab Oy KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPH0766910B2 (en) * 1984-07-26 1995-07-19 新技術事業団 Semiconductor single crystal growth equipment
US5250148A (en) 1985-05-15 1993-10-05 Research Development Corporation Process for growing GaAs monocrystal film
US4617087A (en) * 1985-09-27 1986-10-14 International Business Machines Corporation Method for differential selective deposition of metal for fabricating metal contacts in integrated semiconductor circuits
EP0250603B1 (en) * 1985-12-09 1994-07-06 Nippon Telegraph and Telephone Corporation Process for forming thin film of compound semiconductor
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4838983A (en) * 1986-07-03 1989-06-13 Emcore, Inc. Gas treatment apparatus and method
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
JPH0834180B2 (en) 1986-08-26 1996-03-29 セイコー電子工業株式会社 Method for growing compound semiconductor thin film
JPH0810211B2 (en) 1986-09-05 1996-01-31 日本碍子株式会社 Gas sensor and manufacturing method thereof
US5246536A (en) 1986-09-08 1993-09-21 Research Development Corporation Of Japan Method for growing single crystal thin films of element semiconductor
JPH0639357B2 (en) * 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
US5227335A (en) 1986-11-10 1993-07-13 At&T Bell Laboratories Tungsten metallization
JP2929291B2 (en) * 1986-12-04 1999-08-03 セイコーインスツルメンツ株式会社 Method of manufacturing insulated gate field effect transistor
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5923985A (en) * 1987-01-05 1999-07-13 Seiko Instruments Inc. MOS field effect transistor and its manufacturing method
JP2555045B2 (en) * 1987-01-19 1996-11-20 株式会社日立製作所 Thin film forming method and apparatus
DE3704505A1 (en) * 1987-02-13 1988-08-25 Leybold Ag INSERT UNIT FOR VACUUM SYSTEMS
JPH0727861B2 (en) 1987-03-27 1995-03-29 富士通株式会社 Method for growing group III compound semiconductor crystal
JPH0812844B2 (en) * 1987-03-27 1996-02-07 日本電気株式会社 (III) -Group V compound semiconductor and method for forming the same
DE3721637A1 (en) 1987-06-30 1989-01-12 Aixtron Gmbh GAS INLET FOR A MULTIPLE DIFFERENT REACTION GAS IN REACTION VESSELS
US5348911A (en) 1987-06-30 1994-09-20 Aixtron Gmbh Material-saving process for fabricating mixed crystals
JPH0666274B2 (en) 1987-07-01 1994-08-24 日本電気株式会社 (III) -Method for forming group V compound semiconductor
DE3884682T2 (en) * 1987-07-01 1994-05-05 Nec Corp Process for growing a III-V group compound semiconductor crystal on a Si substrate.
FI81926C (en) 1987-09-29 1990-12-10 Nokia Oy Ab FOERFARANDE FOER UPPBYGGNING AV GAAS-FILMER PAO SI- OCH GAAS-SUBSTRATER.
DE3743938C2 (en) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Process for atomic layer epitaxy growth of a III / V compound semiconductor thin film
FR2626110A1 (en) 1988-01-19 1989-07-21 Thomson Csf Process for producing a layer of a superconductive material by epitaxy
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
EP0344352B1 (en) 1988-06-03 1994-09-28 International Business Machines Corporation Method for making artificial layered high-Tc superconductors
US5130269A (en) * 1988-04-27 1992-07-14 Fujitsu Limited Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same
US4927670A (en) * 1988-06-22 1990-05-22 Georgia Tech Research Corporation Chemical vapor deposition of mixed metal oxide coatings
JPH0666287B2 (en) 1988-07-25 1994-08-24 富士通株式会社 Method for manufacturing semiconductor device
US5234561A (en) 1988-08-25 1993-08-10 Hauzer Industries Bv Physical vapor deposition dual coating process
US4931132A (en) * 1988-10-07 1990-06-05 Bell Communications Research, Inc. Optical control of deposition of crystal monolayers
US5037775A (en) * 1988-11-30 1991-08-06 Mcnc Method for selectively depositing single elemental semiconductor material on substrates
US5013683A (en) * 1989-01-23 1991-05-07 The Regents Of The University Of California Method for growing tilted superlattices
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0413982B1 (en) * 1989-07-27 1997-05-14 Junichi Nishizawa Impurity doping method with adsorbed diffusion source
US5028565A (en) * 1989-08-25 1991-07-02 Applied Materials, Inc. Process for CVD deposition of tungsten layer on semiconductor wafer
JP2926798B2 (en) 1989-11-20 1999-07-28 国際電気株式会社 Continuous processing etching method and apparatus
CA2031253A1 (en) 1989-12-01 1991-06-02 Kenji Aoki Method of producing bipolar transistor
JP3194971B2 (en) * 1990-01-08 2001-08-06 エルエスアイ ロジック コーポレーション Apparatus for filtering process gas introduced into a CVD chamber before introduction into the CVD chamber
US5290748A (en) * 1990-01-16 1994-03-01 Neste Oy Polymerization catalyst for olefines
US5338389A (en) 1990-01-19 1994-08-16 Research Development Corporation Of Japan Method of epitaxially growing compound crystal and doping method therein
JPH07105497B2 (en) * 1990-01-31 1995-11-13 新技術事業団 Semiconductor device and manufacturing method thereof
JP2822536B2 (en) 1990-02-14 1998-11-11 住友電気工業株式会社 Method for forming cubic boron nitride thin film
US5316615A (en) * 1990-03-23 1994-05-31 International Business Machines Corporation Surfactant-enhanced epitaxy
JPH042699A (en) * 1990-04-18 1992-01-07 Mitsubishi Electric Corp Growing of crystal
US5173474A (en) 1990-04-18 1992-12-22 Xerox Corporation Silicon substrate having an epitaxial superconducting layer thereon and method of making same
US5091320A (en) * 1990-06-15 1992-02-25 Bell Communications Research, Inc. Ellipsometric control of material growth
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
DE4027628A1 (en) * 1990-08-31 1992-03-05 Wolters Peter Fa DEVICE FOR CONTROLLING OR CONTROLLING LAEPP, HONING OR POLISHING MACHINES
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5085885A (en) * 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5705224A (en) * 1991-03-20 1998-01-06 Kokusai Electric Co., Ltd. Vapor depositing method
US5316793A (en) * 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US6001669A (en) * 1991-09-09 1999-12-14 Philips Electronics North America Corporation Method for producing II-VI compound semiconductor epitaxial layers having low defects
US5311055A (en) * 1991-11-22 1994-05-10 The United States Of America As Represented By The Secretary Of The Navy Trenched bipolar transistor structures
JP2987379B2 (en) 1991-11-30 1999-12-06 科学技術振興事業団 Method for epitaxial growth of semiconductor crystal
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
US5397428A (en) 1991-12-20 1995-03-14 The University Of North Carolina At Chapel Hill Nucleation enhancement for chemical vapor deposition of diamond
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
US5458084A (en) 1992-04-16 1995-10-17 Moxtek, Inc. X-ray wave diffraction optics constructed by atomic layer epitaxy
US5486919A (en) * 1992-04-27 1996-01-23 Canon Kabushiki Kaisha Inspection method and apparatus for inspecting a particle, if any, on a substrate having a pattern
CA2067565C (en) 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
AU4378893A (en) * 1992-05-22 1993-12-30 Minnesota Mining And Manufacturing Company Ii-vi laser diodes with quantum wells grown by atomic layer epitaxy and migration enhanced epitaxy
US5278435A (en) * 1992-06-08 1994-01-11 Apa Optics, Inc. High responsivity ultraviolet gallium nitride detector
FI91422C (en) 1992-06-18 1994-06-27 Mikrokemia Oy Process and apparatus for supplying liquid reagents to a chemical reactor
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0750690B2 (en) 1992-08-21 1995-05-31 日本電気株式会社 Method and apparatus for epitaxial growth of semiconductor crystal using halide
JP3405466B2 (en) * 1992-09-17 2003-05-12 富士通株式会社 Fluid switching valve and semiconductor device manufacturing apparatus
US5532511A (en) * 1992-10-23 1996-07-02 Research Development Corp. Of Japan Semiconductor device comprising a highspeed static induction transistor
US5455072A (en) 1992-11-18 1995-10-03 Bension; Rouvain M. Initiation and bonding of diamond and other thin films
JPH06177349A (en) * 1992-12-02 1994-06-24 Matsushita Electric Ind Co Ltd High density dram and manufacture thereof
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3265042B2 (en) * 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
JP3124861B2 (en) 1993-03-24 2001-01-15 富士通株式会社 Thin film growth method and semiconductor device manufacturing method
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5330610A (en) * 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
JPH0729897A (en) * 1993-06-25 1995-01-31 Nec Corp Manufacture of semiconductor device
US6130147A (en) 1994-04-07 2000-10-10 Sdl, Inc. Methods for forming group III-V arsenide-nitride semiconductor materials
JP3181171B2 (en) * 1994-05-20 2001-07-03 シャープ株式会社 Vapor phase growth apparatus and vapor phase growth method
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3008782B2 (en) * 1994-07-15 2000-02-14 信越半導体株式会社 Vapor phase growth method and apparatus
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5641984A (en) * 1994-08-19 1997-06-24 General Electric Company Hermetically sealed radiation imager
TW295677B (en) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5644128A (en) * 1994-08-25 1997-07-01 Ionwerks Fast timing position sensitive detector
US6158446A (en) 1994-11-14 2000-12-12 Fsi International Ultra-low particle semiconductor cleaner
JPH08148431A (en) * 1994-11-24 1996-06-07 Mitsubishi Electric Corp Mbe apparatus and gas branch-piping apparatus
FI100409B (en) * 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
FI97730C (en) * 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
FI97731C (en) * 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
US5730901A (en) * 1995-05-11 1998-03-24 Shin-Etsu Chemical Co., Ltd. Silacyclohexane compounds, preparation thereof liquid crystal compositions comprising the same, and liquid crystal devices comprising the composition
JP3288200B2 (en) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 Vacuum processing equipment
JPH0922896A (en) 1995-07-07 1997-01-21 Toshiba Corp Method of selective forming of metal film
KR0167248B1 (en) 1995-07-24 1999-02-01 문정환 Heat treatment of substrate
KR100310249B1 (en) 1995-08-05 2001-12-17 엔도 마코토 Substrate Processing Equipment
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
US5747099A (en) * 1996-01-05 1998-05-05 Midwest Research Institute Two chamber reaction furnace
FI107533B (en) 1996-04-03 2001-08-31 Fortum Oil & Gas Oy Functional surfaces for conducting chemical reactions and processes for their preparation
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US5788799A (en) 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5747113A (en) * 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US5830270A (en) 1996-08-05 1998-11-03 Lockheed Martin Energy Systems, Inc. CaTiO3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP2923753B2 (en) * 1996-08-21 1999-07-26 工業技術院長 Method for forming group III atomic layer
KR100216542B1 (en) 1996-08-27 1999-08-16 정선종 Multi-target driving apparatus for pulse laser depositing system
FI100758B (en) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Methods to Form a Luminescence Layer of ZnS: Mn for Thin Film Electroluminescence Components
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) * 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6297152B1 (en) 1996-12-12 2001-10-02 Applied Materials, Inc. CVD process for DCS-based tungsten silicide
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6043177A (en) * 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US6051286A (en) * 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JPH10308283A (en) * 1997-03-04 1998-11-17 Denso Corp El element and its manufacture
US5866795A (en) * 1997-03-17 1999-02-02 Applied Materials, Inc. Liquid flow rate estimation and verification by direct liquid measurement
US6026762A (en) 1997-04-23 2000-02-22 Applied Materials, Inc. Apparatus for improved remote microwave plasma source for use with substrate processing systems
JPH10306377A (en) 1997-05-02 1998-11-17 Tokyo Electron Ltd Method for supplying minute amount of gas and device therefor
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US5851849A (en) 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
US6140237A (en) 1997-06-16 2000-10-31 Chartered Semiconductor Manufacturing Ltd. Damascene process for forming coplanar top surface of copper connector isolated by barrier layers in an insulating layer
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
US5882413A (en) * 1997-07-11 1999-03-16 Brooks Automation, Inc. Substrate processing apparatus having a substrate transport with a front end extension and an internal substrate buffer
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (en) * 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US5904569A (en) 1997-09-03 1999-05-18 National Semiconductor Corporation Method for forming self-aligned vias in multi-metal integrated circuits
US5801634A (en) 1997-09-08 1998-09-01 Sony Corporation Signal tower controller
US5994775A (en) 1997-09-17 1999-11-30 Lsi Logic Corporation Metal-filled via/contact opening with thin barrier layers in integrated circuit structure for fast response, and process for making same
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
US6110556A (en) 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
JPH11195621A (en) * 1997-11-05 1999-07-21 Tokyo Electron Ltd Barrier metal, its formation, gate electrode, and its formation
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
KR100252049B1 (en) 1997-11-18 2000-04-15 윤종용 The atomic layer deposition method for fabricating aluminum layer
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
US6271129B1 (en) 1997-12-03 2001-08-07 Applied Materials, Inc. Method for forming a gap filling refractory metal layer having reduced stress
KR100269328B1 (en) * 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6117244A (en) 1998-03-24 2000-09-12 Applied Materials, Inc. Deposition resistant lining for CVD chamber
US6316098B1 (en) 1998-03-27 2001-11-13 Yissum Research Development Company Of The Hebrew University Of Jerusalem Molecular layer epitaxy method and compositions
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
FI105313B (en) 1998-06-03 2000-07-14 Planar Systems Oy Process for the preparation of thin film electroluminescence structures
KR100319888B1 (en) 1998-06-16 2002-01-10 윤종용 Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100278657B1 (en) 1998-06-24 2001-02-01 윤종용 Metal line structure for semiconductor device & manufacturing method thereof
JP2000031387A (en) 1998-07-14 2000-01-28 Fuji Electric Co Ltd Manufacture of dielectric thin film capacitor
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR20000013654A (en) 1998-08-12 2000-03-06 윤종용 Capacitor having an al2o3/aln mixed dielectric layer by using an atomic layer deposition and a manufacturing method thereof
KR100327105B1 (en) 1998-08-14 2002-03-09 오길록 High luminance-phosphor and method for fabricating the same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
FI105643B (en) 1998-08-21 2000-09-15 Planar Systems Oy Thin-film electroluminescent device and method for its manufacture
KR100287180B1 (en) * 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
KR100327328B1 (en) * 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
JP3580159B2 (en) 1998-12-18 2004-10-20 東京エレクトロン株式会社 Method of forming tungsten film
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
JP2995300B1 (en) 1999-02-03 1999-12-27 工業技術院長 Surface improvement method for machine element parts
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
JP2000319773A (en) 1999-04-30 2000-11-21 Shindengen Electric Mfg Co Ltd Production of selenium layer in x-ray detector
KR100347379B1 (en) * 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
FI118342B (en) 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
US6218298B1 (en) 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
JP2000340883A (en) 1999-05-27 2000-12-08 Fujitsu Ltd Multiwavelength oscillating optical semiconductor device
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
JP2000353666A (en) 1999-06-11 2000-12-19 Matsushita Electric Ind Co Ltd Semiconductor thin film and manufacture thereof
US6071808A (en) * 1999-06-23 2000-06-06 Lucent Technologies Inc. Method of passivating copper interconnects in a semiconductor
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100319494B1 (en) 1999-07-15 2002-01-09 김용일 Apparatus for Deposition of thin films on wafers through atomic layer epitaxial process
FI110311B (en) 1999-07-20 2002-12-31 Asm Microchemistry Oy Method and apparatus for eliminating substances from gases
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6309966B1 (en) 1999-09-03 2001-10-30 Motorola, Inc. Apparatus and method of a low pressure, two-step nucleation tungsten deposition
US6326297B1 (en) 1999-09-30 2001-12-04 Novellus Systems, Inc. Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer
DE10049257B4 (en) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Process for thin film production by means of atomic layer deposition
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6534404B1 (en) 1999-11-24 2003-03-18 Novellus Systems, Inc. Method of depositing diffusion barrier for copper interconnect in integrated circuit
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
JP4817210B2 (en) 2000-01-06 2011-11-16 東京エレクトロン株式会社 Film forming apparatus and film forming method
JP4776054B2 (en) 2000-02-04 2011-09-21 株式会社デンソー Thin film formation method by atomic layer growth
JP4362919B2 (en) 2000-02-04 2009-11-11 株式会社デンソー Deposition method by atomic layer epitaxial growth method
KR100378871B1 (en) 2000-02-16 2003-04-07 주식회사 아펙스 showerhead apparatus for radical assisted deposition
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
JP4211185B2 (en) 2000-02-29 2009-01-21 株式会社デンソー Glass substrate storage jig for CVD and ALE equipment
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
JP5016767B2 (en) 2000-03-07 2012-09-05 エーエスエム インターナショナル エヌ.ヴェー. Method for forming gradient thin film
US6274484B1 (en) 2000-03-17 2001-08-14 Taiwan Semiconductor Manufacturing Company Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers
JP4556282B2 (en) 2000-03-31 2010-10-06 株式会社デンソー Organic EL device and method for manufacturing the same
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
JP2001328900A (en) 2000-05-15 2001-11-27 Denso Corp Method for forming thin film
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
EP1282911B1 (en) * 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP3687651B2 (en) * 2000-06-08 2005-08-24 ジニテック インク. Thin film formation method
KR100387255B1 (en) * 2000-06-20 2003-06-11 주식회사 하이닉스반도체 Method of forming a metal wiring in a semiconductor device
KR100332313B1 (en) 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6818250B2 (en) 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
KR100444149B1 (en) * 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (en) * 2000-08-09 2006-10-02 삼성전자주식회사 Method of manufacturing semiconductor device including metal contact and capacitor
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
AU2002225761A1 (en) 2000-11-30 2002-06-11 Asm America, Inc. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (en) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure Having Diffusion Barrier Stuffed with Metal Oxide
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
KR20020056260A (en) 2000-12-29 2002-07-10 박종섭 Method for forming metal gate of semiconductor devoie
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
KR100400033B1 (en) 2001-02-08 2003-09-29 삼성전자주식회사 Semiconductor device having multi-interconnection structure and manufacturing method thereof
KR100395766B1 (en) 2001-02-12 2003-08-25 삼성전자주식회사 Ferroelectric memory device and method of forming the same
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
FI109770B (en) 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6369430B1 (en) * 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US6635965B1 (en) * 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7262125B2 (en) 2001-05-22 2007-08-28 Novellus Systems, Inc. Method of forming low-resistivity tungsten interconnects
US7005372B2 (en) * 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
WO2003029515A2 (en) * 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
JP2005504885A (en) 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド Barrier formation using a novel sputter deposition method
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20030035560A1 (en) * 2001-08-17 2003-02-20 New Transducers Limited Acoustic device
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (en) 2001-09-21 2003-03-29 삼성전자주식회사 Semiconductor device having contact between ruthenium layer and metal layer and method for manufacturing the same
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100476926B1 (en) * 2002-07-02 2005-03-17 삼성전자주식회사 Method for forming dual gate of semiconductor device
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
JP4188033B2 (en) 2002-08-30 2008-11-26 本田技研工業株式会社 Hydraulic shock absorber mounting structure
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US7408225B2 (en) 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
JP2008508721A (en) * 2004-07-30 2008-03-21 アプライド マテリアルズ インコーポレイテッド Deposition of thin tungsten silicide layers and gate metal incorporation
KR20070089197A (en) 2004-11-22 2007-08-30 어플라이드 머티어리얼스, 인코포레이티드 Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0704551A1 (en) * 1994-09-27 1996-04-03 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
WO1998051838A1 (en) * 1997-05-16 1998-11-19 Applied Materials, Inc. Low resistivity w using b2h¿6?
WO2001027346A1 (en) * 1999-10-15 2001-04-19 Asm Microchemistry Oy Method of modifying source chemicals in an ald process
WO2001029893A1 (en) * 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US20010009695A1 (en) * 2000-01-18 2001-07-26 Saanila Ville Antero Process for growing metalloid thin films

Also Published As

Publication number Publication date
WO2004007794A2 (en) 2004-01-22
US7211144B2 (en) 2007-05-01
US20030127043A1 (en) 2003-07-10
US20080317954A1 (en) 2008-12-25
US7695563B2 (en) 2010-04-13
JP2005533181A (en) 2005-11-04

Similar Documents

Publication Publication Date Title
WO2004007794A3 (en) Pulsed nucleation deposition of tungsten layers
WO2006078779A3 (en) Methods for depositing tungsten layers employing atomic layer deposition techniques
US7576012B2 (en) Atomic layer deposition methods
WO2004009861A3 (en) Method to form ultra high quality silicon-containing compound layers
US7378354B2 (en) Atomic layer deposition methods
WO2004007792A3 (en) Method of film deposition using activated precursor gases
JP4824671B2 (en) Method and system for performing atomic layer deposition
WO2003031679A3 (en) Method for depositing metal layers employing sequential deposition techniques
WO2006057709A8 (en) Method for deposition of metal layers from metal carbonyl precursors
WO2007118006A3 (en) Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
WO2002079537A3 (en) W-cvd with fluorine-free tungsten nucleation
WO2007117989A3 (en) Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
WO2006036865A3 (en) Deposition of ruthenium metal layers in a thermal chemical vapor deposition process
TW200520099A (en) Method of forming a metal layer using an intermittent precursor gas flow process
EP1179838A3 (en) Deposition of tungsten films from W(CO)6
WO2007146537B1 (en) Method of forming a layer of material using an atomic layer deposition process
WO2003056619A3 (en) Selective deposition of a barrier layer on a dielectric material
WO2006026350A3 (en) Low temperature silicon compound deposition
WO2004010469A3 (en) Atomic layer deposition of multi-metallic precursors
WO2003076678A3 (en) Ald method and apparatus
WO2004020689A3 (en) Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
WO2004113585A3 (en) Atomic layer deposition of barrier materials
WO2010077847A3 (en) Method of depositing tungsten film with reduced resistivity and improved surface morphology
TW200914630A (en) Atomic layer deposition of tungsten materials
AU2003261211A1 (en) Atomic deposition layer methods

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP

WWE Wipo information: entry into national phase

Ref document number: 2004521971

Country of ref document: JP