WO2004021405A2 - Method and system for dynamic modeling and recipe optimization of semiconductor etch processes - Google Patents

Method and system for dynamic modeling and recipe optimization of semiconductor etch processes Download PDF

Info

Publication number
WO2004021405A2
WO2004021405A2 PCT/US2003/027341 US0327341W WO2004021405A2 WO 2004021405 A2 WO2004021405 A2 WO 2004021405A2 US 0327341 W US0327341 W US 0327341W WO 2004021405 A2 WO2004021405 A2 WO 2004021405A2
Authority
WO
WIPO (PCT)
Prior art keywords
model
etch
dynamic
linear
recipe
Prior art date
Application number
PCT/US2003/027341
Other languages
French (fr)
Other versions
WO2004021405B1 (en
WO2004021405A3 (en
Inventor
Kun Li
Mark A. Erickson
Ioannis Kanellakopoulos
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to US10/523,777 priority Critical patent/US7155301B2/en
Priority to AU2003278750A priority patent/AU2003278750A1/en
Priority to EP03770274A priority patent/EP1546876A4/en
Priority to JP2004532027A priority patent/JP2006518925A/en
Publication of WO2004021405A2 publication Critical patent/WO2004021405A2/en
Publication of WO2004021405A3 publication Critical patent/WO2004021405A3/en
Publication of WO2004021405B1 publication Critical patent/WO2004021405B1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/04Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators
    • G05B13/042Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric involving the use of models or simulators in which a parameter or coefficient is automatically adjusted to optimise the performance
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B17/00Systems involving the use of models or simulators of said systems
    • G05B17/02Systems involving the use of models or simulators of said systems electric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates generally to semiconductor manufacturing and, in particular to, a method and system for process modeling and recipe optimization of semiconductor etch processes.
  • FIG. 1 illustrates an exemplary prior art 3-step BPSG CVD process for cell formation processing, according to one embodiment of the present invention.
  • the purpose of this 3-step process is to etch out cylindrical cells on the substrate, which are then typically filled with conductive materials and used to manufacture computer components, such as memory. These cells need to have a minimum diameter along their depth and to be separated by a minimum distance, also along their depth, in order to maintain their desired conductive properties. At the same time, manufacturers want to etch these cells as close as possible to one another, in order to maximize their yield: the closer these cells are to each other, the more cells fit on a single wafer, and thus the more components can be manufactured out of a single wafer.
  • Figure 2 illustrates an exemplary prior art diagram of the top view of a single cell 600 with an elliptical shape along the X-axis and Y-axis.
  • Each semiconductor wafer contains many of these cells next to each other.
  • the sidewall profiles of these cells are typically measured by cutting the wafer either along the X-axis 610 or along the Y-axis 620 and then using an imaging method such as Scanning Electron Microscopy to generate an image of the cross-section of the cells.
  • Figure 3 illustrates a prior art diagram of ideal and typical sidewall profiles in cell formation processing.
  • the ideal cell sidewall profile 210 for placing as many cells as possible on a single wafer is a perfect cylinder.
  • Typical recipe design is based on trial-and-error optimization, and generates sidewall profiles 220 that typically have more than 1000 A (Angstroms) total deviation from the completely vertical cylindrical profile as illustrated in Figure 3.
  • Figure 2 illustrates an exemplary prior art diagram of the top view of a single cell with an elliptical shape along the X-axis and Y-axis;
  • Figure 3 illustrates a prior art diagram of ideal and typical sidewall profiles in cell formation processing;
  • Figure 4 illustrates an exemplary diagram of an optimized sidewall profile, according to one embodiment of the present invention
  • Figure 5 illustrates an exemplary method of a cell formation process used for modeling purposes according to one embodiment of the present invention
  • Figure 6 illustrates an exemplary INARX (Input-Nonlinear Auto-)
  • Figure 7 illustrates an exemplary flowchart of a process modeling and recipe optimization method for a cell formation process, according to one embodiment of the present invention.
  • Figure 8 illustrates an exemplary block diagram of a computer system
  • CVD chemical vapor deposition
  • BPSG BoroPhosphoSilicate Glass
  • the present invention can be implemented by an apparatus for performing the operations herein.
  • This apparatus may be specially constructed for the required purposes, or it may comprise a general-purpose computer, selectively activated or reconfigured by a computer program stored in the computer.
  • a computer program may be stored in a computer readable storage medium, such as, but not limited to, any type of disk including floppy disks, optical disks, CD-ROMs, and magnetic-optical disks, read-only memories (ROMs), random access memories (RAMs), EPROMs, EEPROMs, magnetic or optical cards, or any type of media suitable for storing electronic instructions, and each coupled to a computer system bus.
  • ROMs read-only memories
  • RAMs random access memories
  • EPROMs electrically erasable programmable read-only memories
  • EEPROMs electrically erasable programmable read-only memory
  • magnetic or optical cards or any type of media suitable for storing electronic instructions, and each coupled to a computer system bus.
  • any of the methods according to the present invention can be implemented in hard-wired circuitry, by programming a general-purpose processor or by any combination of hardware and software.
  • One of skill in the art will immediately appreciate that the invention can be practiced with computer system configurations other than those described below, including hand-held devices, multiprocessor systems, microprocessor-based or programmable consumer electronics, DSP devices, network
  • the methods of the invention may be implemented using computer software. If written in a programming language conforming to a recognized standard, sequences of instructions designed to implement the methods can be compiled for execution on a variety of hardware platforms and for interface to a variety of operating systems.
  • the present invention is not described with reference to any particular programming language. It will be appreciated that a variety of programming languages may be used to implement the teachings of the invention as described herein. Furthermore, it is common in the art to speak of software, in one form or another
  • a machine-readable medium is understood to include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computer).
  • a machine-readable medium includes read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; electrical, optical, acoustical or other form of propagated signals (e.g., carrier waves, infrared signals, digital signals, etc.); etc.
  • the 3- step CVD process 100 includes many inputs 120 to the system (dopant gas flows 122, such as TEB (TriEthyl Borate) gas flow, TEPO (TriEthyl PhOsphate) gas flow, and TEOS (TetraEthyl OrthoSilicate) flow, plasma etch inputs 124, such as pressure, power, gas flows, etc.), and many outputs 130 (CVD outputs 132 such as SIMS (Secondary Ion Mass Spectrometry) dopant profiles before and after reflow and FTIR (Fourier Transform Infrared Spectroscopy) aggregate dopant profiles, plasma etch outputs 134 and wet clean outputs 136 such as electrical measurements, CD (Critical Dimension) measurements, SEM (SEM (S)
  • FIG. 4 illustrates an exemplary diagram of an optimized sidewall profile 230, according to one embodiment of the present invention.
  • the optimized sidewall profile 230 allows for a significant increase in cell density on a processed wafer by minimizing sidewall deviation to less than 100 ' .
  • Figure 5 illustrates an exemplary method of a cell formation process used for modeling purposes, according to one embodiment of the present invention.
  • One embodiment of this invention simplifies the optimization problem described above by reducing the number of inputs and outputs for the cell formation process as shown in Figure 5. Comparing Figure 5 to Figure 1 , one sees that in the simplified process 300 of Figure 5 the number of inputs 320 has been reduced to only two, namely the dopant gas flows 322 of TEB and TEPO. One also sees that the outputs 330 have been reduced to only dopant profiles 332 and SEM cross-section sidewall profiles 334 and 336.
  • One embodiment of the present invention further creates a dynamic system model for this process that has only two (2) inputs, namely TEB and TEPO flows 322, and only two (2) outputs, namely deviation of the actual sidewall profile from vertical along the two horizontal axes, namely the X-axis 610 and the Y-axis 620. Both of these outputs are measured from the SEM cross-section sidewall profiles after wet clean/etch, namely from the outputs 336. All other inputs and parameter settings are kept constant at values that are typical for this process.
  • TEB and TEPO flows 322 are the main variables that determine the Boron (B) and Phosphorus (P) dopant concentrations of the film deposited on the substrate, which in turn are the main variables that determine the etch speed and thus the shape of the cell sidewall. Therefore, using the TEB and TEPO flows 322 as the only input variables and keeping everything else constant provides enough flexibility in optimizing the sidewall profile while reducing the complexity of the modeling process to a much more manageable level.
  • the identification of the parameters for this two-input-two-output dynamic system model can be performed using any identification method for linear dynamic systems, such as ARX (Auto- Regressive with exogenous inputs) or ARMAX (Auto- Regressive Moving Average with exogenous inputs) methods.
  • ARX Auto- Regressive with exogenous inputs
  • ARMAX Automatic- Regressive Moving Average with exogenous inputs
  • the modeling accuracy can be improved by incorporating input nonlinearities in the linear dynamic model and using the INARX (Input-Nonlinear ARX) methods described below.
  • INARX Input-Nonlinear ARX
  • This parameter identification uses as input data the selected values of TEB and TEPO flows 322 with respect to time, and as output data the deviation of the resulting X-axis 610 and Y-axis 620 sidewall profiles from a vertical reference position.
  • the output data is obtained from the SEM cross-section photographs of the sidewall profiles after wet clean/etch 336. Since these photographs are originally recorded in digital format from the SEM tool, they can be processed by image processing algorithms that perform edge detection. Once the edges in the photographs are detected, their pixel position is known, and their deviation from vertical is computed via a subtraction of pixel row numbers. The deviation is then stored as a function of etch depth, where etch depth is the corresponding pixel column number.
  • This process for determining sidewall deviation creates a spatial dynamic model for etch processes that uses etch depth, rather than time, as the independent variable.
  • the gas flow recipes are functions of time, not depth. Therefore, one embodiment of the present invention incorporates a time-to-depth conversion component.
  • One instantiation of such a component is a deposition rate model, which provides the rate, in Angstroms per second, at which the substrate is deposited as a function of the TEB and TEPO composition,
  • this deposition rate model is created through separate experiments.
  • this deposition rate model is created from the same experiments used to identify the parameters of the spatial dynamic model.
  • the resulting dynamic model relates time-domain inputs to spatial-domain outputs, and implicitly incorporates the corresponding time-to-depth conversion.
  • the deposition rate model is used to predict the output values that will result from a given combination of input values, and then the optimization algorithm adjusts the input values until the output values are minimized.
  • the optimization algorithm adjusts the input values until the output values are minimized.
  • the output of the process can be defined as the deviation from that shape, and the same optimization process can be used, subject to the allowable input ranges.
  • the maximum number of allowed value changes in the TEB and TEPO profiles may be limited to the maximum number of dopant layers that the CVD tool can deposit. In that case, the optimization can be modified to yield the smallest possible sidewall deviation among all input profiles that adhere to this limit of maximum value changes.
  • the methods above and the equations described below would be modified for selection of one, two, or three inputs.
  • the sequences u(k) , y(k), and e(k) in Equation (1 ) may be vector-
  • B t are matrices of compatible dimensions.
  • Equation (1 ) The linear structure of the ARX model in Equation (1 ) cannot faithfully capture the dynamic behavior of CVD processes, since such processes typically exhibit severe nonlinearities. These nonlinearities are inherent in the chemical interaction between the dopants in the substrate and the etch agents that occur during the etch process.
  • One method of capturing these nonlinearities is to use several linear models of the type shown in Equation (1 ), with each model capturing the dynamic behavior of the process around a different operating point. In the case of etch processes, such an operating point would be defined by the recipe being used, and the corresponding linear model would accurately predict the output of the etch process for small recipe variations around the recipe used to define the operating point.
  • a better way of capturing the nonlinear behavior of etch processes is to consider model structures that explicitly incorporate nonlinearities.
  • a model structure that was found to be particularly suitable for cell formation processes of the type illustrated in Figure 1 is the INARX (Input-Nonlinear Auto-Regressive with exogenous inputs) model defined in the expression below.
  • j(k) + A j(k - l) + ... + A N j(k - N) B 0 ⁇ 0 ( k)) + ... + B N £ N ⁇ (k - N)) + e ⁇ k) (2)
  • Equation (2) incorporates the functions f ( (-) , which are smooth memoryless nonlinear
  • Figure 6 illustrates an exemplary INARX model realization.
  • the linear parametrization of Equations (4a)-(4c) permits the application of linear least squares identification techniques to fit an INARX model to one or more recorded input-output data sets.
  • the linear reparametrization shown in Equations (4a)-(4c) allows us to treat the INARX model of Equation (4b) in the same way as the linear ARX model of Equation (1).
  • Equation (4b) To identify the INARX model in the form of Equation (4b) from the data set (5), the equations are placed into a matrix form:
  • the matrices Y, and ⁇ 1 are constructed from the measured data.
  • can be computed that explains all of the data sets by "stacking" the matrices from each experiment and solving the following problem:
  • % represents the unknown but constant bias in the output
  • Equation bias d can be identified by adding it to the list of parameters to
  • FIG. 7 illustrates an exemplary flowchart of a process modeling and recipe optimization method 700 for a cell formation process, according to one embodiment of the present invention.
  • the process commences at block 710.
  • a user selects a cell formation process to be modeled, such as BPSG or different chemistry.
  • a user can select process inputs and process outputs at processing block 720.
  • a user is prompted to select a linear or input-nonlinear dynamic model structure.
  • a recommendation can be provided to the user depending on how nonlinear the specific process behavior is.
  • processing block 730 a user is prompted to select nonlinear functions to be used as a basis to re-parameterize the input-nonlinear model structure in linear form as described above.
  • Various tests and experiments with different process recipes are performed at processing block 735.
  • SEM images of the corresponding cell sidewall profiles are recorded as well.
  • edge detection is performed on the SEM images and a deviation of cell sidewalls from vertical as a function of etch depth is computed. Thereby, the spatial images are converted into numerical outputs that can be used for parameter identification in a spatial dynamic model.
  • a deposition rate model is used to convert time-based input values into depth-based input values that can be used for parameter identification in a spatial dynamic model.
  • least- squares identification is used to identify the parameters of the spatial dynamic model, including output measurement biases.
  • the resulting spatial dynamic model of the cell formation process is validated at processing block 760 by conducting additional experiments and comparing the output values predicted by the model to the actual output values for the new experiments.
  • the validated spatial dynamic model of cell formation process is used to optimize process recipes by adjusting input values until the output values predicted by the model match the desired output values as closely as possible under the maximum and minimum value constraints imposed on the process inputs.
  • the process completes at block 770.
  • Figure 8 illustrates an exemplary block diagram of a computer system
  • Computer system 2000 representing an integrated multi- processor, in which elements of the present invention may be implemented.
  • Computer system 2000 can be used to perform the method 700 described above in connection with Figure 7.
  • One embodiment of computer system 2000 comprises a system bus 2200 for communicating information, and a processor 2100 coupled to bus 2200 for processing information.
  • Computer system 2000 further comprises a random access memory (RAM) or other dynamic storage device 2250 (referred to herein as main memory), coupled to bus 2200 for storing information and instructions to be executed by processor 2100.
  • Main memory 2250 also may be used for storing temporary variables or other intermediate information during execution of instructions by processor 2100.
  • Computer system 2000 also may include a read only memory (ROM) and/or other static storage device 2260 coupled to bus 2200 for storing static information and instructions used by processor 2100.
  • ROM read only memory
  • a data storage device 2270 such as a magnetic disk or optical disc and its corresponding drive may also be coupled to computer system 2000 for storing information and instructions.
  • Computer system 2000 can also be coupled to a second I/O bus 2500 via an I/O interface 2300.
  • a plurality of I/O devices may be coupled to I/O bus 2500, including a display device 2430, an input device (e.g., an alphanumeric input device 2420 and/or a cursor control device 2410). For example, video news clips and related information may be presented to the user on the display device 2430.
  • the communication device 2400 is for accessing other computers
  • the communication device 2400 may comprise a modem, a network interface card, or other well-known interface device, such as those used for coupling to Ethernet, token ring, or other types of networks.

Abstract

A method and system are disclosed for creating dynamic models (7:760) of etch processes in semiconductor manufacturing. In one embodiment, a method comprises modeling an etch process used in semiconductor manufacturing to generate a dynamic process model. The dynamic process model is used to determine input values (7:720) that result in a desired output value. A process recipe (7:765) is optimized for the etch process with the input values.

Description

METHOD AND SYSTEM FOR DYNAMIC MODELING AND RECIPE OPTIMIZATION OF SEMICONDUCTOR ETCH PROCESSES
[0001] This application claims the benefit of the filing date of the following
Provisional U.S. Patent Applications:
Method and System for Modeling Dynamic Etch Processes, U.S. Provisional Application Serial No. 60/406,905, filed on August 28, 2002.
Field of the Invention [0002] The present invention relates generally to semiconductor manufacturing and, in particular to, a method and system for process modeling and recipe optimization of semiconductor etch processes.
Background of the Invention [0003] In semiconductor manufacturing, an important problem is optimizing the recipes for Chemical Vapor Deposition (CVD) processes, such as BoroPhosphoSilicate Glass (BPSG) processes, in order to meet specific performance criteria. For example, in cell formation processing, manufacturers typically use a 3-step process consisting of (1) BPSG CVD, (2) plasma etch, and (3) wet clean/etch. Figure 1 illustrates an exemplary prior art 3-step BPSG CVD process for cell formation processing, according to one embodiment of the present invention.
[0004] The purpose of this 3-step process is to etch out cylindrical cells on the substrate, which are then typically filled with conductive materials and used to manufacture computer components, such as memory. These cells need to have a minimum diameter along their depth and to be separated by a minimum distance, also along their depth, in order to maintain their desired conductive properties. At the same time, manufacturers want to etch these cells as close as possible to one another, in order to maximize their yield: the closer these cells are to each other, the more cells fit on a single wafer, and thus the more components can be manufactured out of a single wafer.
[0005] Figure 2 illustrates an exemplary prior art diagram of the top view of a single cell 600 with an elliptical shape along the X-axis and Y-axis. Each semiconductor wafer contains many of these cells next to each other. The sidewall profiles of these cells are typically measured by cutting the wafer either along the X-axis 610 or along the Y-axis 620 and then using an imaging method such as Scanning Electron Microscopy to generate an image of the cross-section of the cells.
[0006] Figure 3 illustrates a prior art diagram of ideal and typical sidewall profiles in cell formation processing. The ideal cell sidewall profile 210 for placing as many cells as possible on a single wafer is a perfect cylinder. Typical recipe design is based on trial-and-error optimization, and generates sidewall profiles 220 that typically have more than 1000 A (Angstroms) total deviation from the completely vertical cylindrical profile as illustrated in Figure 3.
[0007] The problem at hand, then, is a systematic method for optimizing the recipes for cell formation processing, so that the actual results are much closer to the ideal cylindrical profile than they are today.
Summary Of The Invention [0008] A method and system are disclosed for creating dynamic models of etch processes in semiconductor manufacturing. The models incorporate linear and nonlinear dynamics, and are used to optimize process recipes in order to improve the resulting sidewall profiles. Brief Description Of The Drawings [0009] Features and advantages of the prevention invention will be apparent to one skilled in the art in light of the following detailed description in which: [00010] Figure 1 illustrates an exemplary prior art 3-step BPSG CVD process for cell formation processing;
[00011] Figure 2 illustrates an exemplary prior art diagram of the top view of a single cell with an elliptical shape along the X-axis and Y-axis; [00012] Figure 3 illustrates a prior art diagram of ideal and typical sidewall profiles in cell formation processing;
[00013] Figure 4 illustrates an exemplary diagram of an optimized sidewall profile, according to one embodiment of the present invention; [00014] Figure 5 illustrates an exemplary method of a cell formation process used for modeling purposes according to one embodiment of the present invention; [00015] Figure 6 illustrates an exemplary INARX (Input-Nonlinear Auto-
Regressive with exogenous inputs) model realization according to one embodiment of the present invention;
[00016] Figure 7 illustrates an exemplary flowchart of a process modeling and recipe optimization method for a cell formation process, according to one embodiment of the present invention; and
[00017] Figure 8 illustrates an exemplary block diagram of a computer system
2000 representing an integrated multi- processor, in which elements of the present invention may be implemented. Detailed Description
[00018] A method and system are described for creating dynamic models for etch processes and using those models for recipe optimization. For purposes of discussing and illustrating the invention, examples will be given in the context of Chemical Vapor
Deposition (CVD) processes, and more specifically in the context of
BoroPhosphoSilicate Glass (BPSG) processes. However, one skilled in the art will recognize and appreciate that the same modeling issues arise in other types of etch processes, and that the techniques disclosed are applicable in these areas as well.
[00019] In the following description, for purposes of explanation, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be evident, however, to one skilled in the art that the present invention may be practiced without these specific details. In some instances, well-known structures and devices are shown in block diagram form, rather than in detail, in order to avoid obscuring the present invention. These embodiments are described in sufficient detail to enable those skilled in the art to practice the invention, and it is to be understood that other embodiments may be utilized and that logical, mechanical, electrical, and other changes may be made without departing from the scope of the present invention.
[00020] Some portions of the detailed descriptions that follow are presented in terms of algorithms and symbolic representations of operations on data bits within a computer memory. These algorithmic descriptions and representations are the means used by those skilled in the data processing arts to most effectively convey the substance of their work to others skilled in the art. An algorithm is here, and generally, conceived to be a self-consistent sequence of acts leading to a desired result. The acts are those requiring physical manipulations of physical quantities. Usually, though not necessarily, these quantities take the form of electrical or magnetic signals capable of being stored, transferred, combined, compared, and otherwise manipulated. It has proven convenient at times, principally for reasons of common usage, to refer to these signals as bits, values, elements, symbols, characters, terms, numbers, or the like. [00021] It should be borne in mind, however, that all of these and similar terms are to be associated with the appropriate physical quantities and are merely convenient labels applied to these quantities. Unless specifically stated otherwise as apparent from the following discussion, it is appreciated that throughout the description, discussions utilizing terms such as "processing" or "computing" or "calculating" or "determining" or "displaying" or the like, refer to the action and processes of a computer system, or similar electronic computing device, that manipulates and transforms data represented as physical (electronic) quantities within the computer system's registers and memories into other data similarly represented as physical quantities within the computer system memories or registers or other such information storage, transmission or display devices.
[00022] The present invention can be implemented by an apparatus for performing the operations herein. This apparatus may be specially constructed for the required purposes, or it may comprise a general-purpose computer, selectively activated or reconfigured by a computer program stored in the computer. Such a computer program may be stored in a computer readable storage medium, such as, but not limited to, any type of disk including floppy disks, optical disks, CD-ROMs, and magnetic-optical disks, read-only memories (ROMs), random access memories (RAMs), EPROMs, EEPROMs, magnetic or optical cards, or any type of media suitable for storing electronic instructions, and each coupled to a computer system bus. [00023] The algorithms and displays presented herein are not inherently related to any particular computer or other apparatus. Various general purpose systems may be used with programs in accordance with the teachings herein, or it may prove convenient to construct more specialized apparatus to perform the required method.
For example, any of the methods according to the present invention can be implemented in hard-wired circuitry, by programming a general-purpose processor or by any combination of hardware and software. One of skill in the art will immediately appreciate that the invention can be practiced with computer system configurations other than those described below, including hand-held devices, multiprocessor systems, microprocessor-based or programmable consumer electronics, DSP devices, network
PCs, minicomputers, mainframe computers, and the like. The invention can also be practiced in distributed computing environments where tasks are performed by remote processing devices that are linked through a communications network. The required structure for a variety of these systems will appear from the description below.
[00024] The methods of the invention may be implemented using computer software. If written in a programming language conforming to a recognized standard, sequences of instructions designed to implement the methods can be compiled for execution on a variety of hardware platforms and for interface to a variety of operating systems. In addition, the present invention is not described with reference to any particular programming language. It will be appreciated that a variety of programming languages may be used to implement the teachings of the invention as described herein. Furthermore, it is common in the art to speak of software, in one form or another
(e.g., program, procedure, application...), as taking an action or causing a result. Such expressions are merely a shorthand way of saying that execution of the software by a computer causes the processor of the computer to perform an action or produce a result.
[00025] It is to be understood that various terms and techniques are used by those knowledgeable in the art to describe communications, protocols, applications, implementations, mechanisms, etc. One such technique is the description of an implementation of a technique in terms of an algorithm or mathematical expression. That is, while the technique may be, for example, implemented as executing code on a computer, the expression of that technique may be more aptly and succinctly conveyed and communicated as a formula, algorithm, or mathematical expression. Thus, one skilled in the art would recognize a block denoting A+B=C as an additive function whose implementation in hardware and/or software would take two inputs (A and B) and produce a summation output (C). Thus, the use of formula, algorithm, or mathematical expression as descriptions is to be understood as having a physical embodiment in at least hardware and/or software (such as a computer system in which the techniques of the present invention may be practiced as well as implemented as an embodiment). [00026] A machine-readable medium is understood to include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computer). For example, a machine-readable medium includes read only memory (ROM); random access memory (RAM); magnetic disk storage media; optical storage media; flash memory devices; electrical, optical, acoustical or other form of propagated signals (e.g., carrier waves, infrared signals, digital signals, etc.); etc.
Spatial Dynamic Modeling of Etch Processes [00027] The main difficulty in optimizing recipes for semiconductor etch processes is the fact that one has to deal with many variables, which are all interconnected through highly complex relationships. Referring back to Figure 1, the 3- step CVD process 100 includes many inputs 120 to the system (dopant gas flows 122, such as TEB (TriEthyl Borate) gas flow, TEPO (TriEthyl PhOsphate) gas flow, and TEOS (TetraEthyl OrthoSilicate) flow, plasma etch inputs 124, such as pressure, power, gas flows, etc.), and many outputs 130 (CVD outputs 132 such as SIMS (Secondary Ion Mass Spectrometry) dopant profiles before and after reflow and FTIR (Fourier Transform Infrared Spectroscopy) aggregate dopant profiles, plasma etch outputs 134 and wet clean outputs 136 such as electrical measurements, CD (Critical Dimension) measurements, SEM (Scanning Electron Microscopy) cross-section sidewall profiles before wet clean/etch 134 and after wet clean/etch 136 in both the X-axis 610 and Y- axis 620 directions, etc.). Considering that there are a large number of variables, that there are multiple steps in this process, (each of which is affected by several parameter settings), and that each of these steps represents a complex chemical process that is difficult to model accurately, optimizing cell sidewall profiles is a difficult task. Adequate models for this process have not yet been developed in the industry, making it difficult to accurately predict the sidewall profile that will result from a specific combination of input values. Therefore, it is very difficult to select a recipe, namely a combination of values for these inputs, which will yield nearly vertical sidewall profiles. Figure 4 illustrates an exemplary diagram of an optimized sidewall profile 230, according to one embodiment of the present invention. The optimized sidewall profile 230 allows for a significant increase in cell density on a processed wafer by minimizing sidewall deviation to less than 100 ' .
[00028] Figure 5 illustrates an exemplary method of a cell formation process used for modeling purposes, according to one embodiment of the present invention. One embodiment of this invention simplifies the optimization problem described above by reducing the number of inputs and outputs for the cell formation process as shown in Figure 5. Comparing Figure 5 to Figure 1 , one sees that in the simplified process 300 of Figure 5 the number of inputs 320 has been reduced to only two, namely the dopant gas flows 322 of TEB and TEPO. One also sees that the outputs 330 have been reduced to only dopant profiles 332 and SEM cross-section sidewall profiles 334 and 336. [00029] One embodiment of the present invention further creates a dynamic system model for this process that has only two (2) inputs, namely TEB and TEPO flows 322, and only two (2) outputs, namely deviation of the actual sidewall profile from vertical along the two horizontal axes, namely the X-axis 610 and the Y-axis 620. Both of these outputs are measured from the SEM cross-section sidewall profiles after wet clean/etch, namely from the outputs 336. All other inputs and parameter settings are kept constant at values that are typical for this process. The reasoning behind this approach is that the TEB and TEPO flows 322 are the main variables that determine the Boron (B) and Phosphorus (P) dopant concentrations of the film deposited on the substrate, which in turn are the main variables that determine the etch speed and thus the shape of the cell sidewall. Therefore, using the TEB and TEPO flows 322 as the only input variables and keeping everything else constant provides enough flexibility in optimizing the sidewall profile while reducing the complexity of the modeling process to a much more manageable level.
[00030] The identification of the parameters for this two-input-two-output dynamic system model can be performed using any identification method for linear dynamic systems, such as ARX (Auto- Regressive with exogenous inputs) or ARMAX (Auto- Regressive Moving Average with exogenous inputs) methods. Alternatively, the modeling accuracy can be improved by incorporating input nonlinearities in the linear dynamic model and using the INARX (Input-Nonlinear ARX) methods described below. In general, use of any of these methods results in a nonlinear dynamic model consisting of multiple linear or input-nonlinear models that accurately describe the behavior of the process around different operating points. This parameter identification uses as input data the selected values of TEB and TEPO flows 322 with respect to time, and as output data the deviation of the resulting X-axis 610 and Y-axis 620 sidewall profiles from a vertical reference position. [00031] The output data is obtained from the SEM cross-section photographs of the sidewall profiles after wet clean/etch 336. Since these photographs are originally recorded in digital format from the SEM tool, they can be processed by image processing algorithms that perform edge detection. Once the edges in the photographs are detected, their pixel position is known, and their deviation from vertical is computed via a subtraction of pixel row numbers. The deviation is then stored as a function of etch depth, where etch depth is the corresponding pixel column number.
[00032] This process for determining sidewall deviation creates a spatial dynamic model for etch processes that uses etch depth, rather than time, as the independent variable. However, the gas flow recipes are functions of time, not depth. Therefore, one embodiment of the present invention incorporates a time-to-depth conversion component. One instantiation of such a component is a deposition rate model, which provides the rate, in Angstroms per second, at which the substrate is deposited as a function of the TEB and TEPO composition, In one embodiment of the present invention, this deposition rate model is created through separate experiments. In another embodiment of the present invention, this deposition rate model is created from the same experiments used to identify the parameters of the spatial dynamic model.
Thus, the resulting dynamic model relates time-domain inputs to spatial-domain outputs, and implicitly incorporates the corresponding time-to-depth conversion.
[00033] By utilizing such a spatial dynamic model, one can employ constrained optimization methods to select values for the two inputs (TEB and TEPO time profiles
322) within the allowable input ranges that will minimize the values of the two outputs
(deviation of X-axis 610 and Y-axis 620 sidewall profiles from vertical). In this context, the deposition rate model is used to predict the output values that will result from a given combination of input values, and then the optimization algorithm adjusts the input values until the output values are minimized. In fact, one can select any output criterion as the optimization goal. For example, if the desired profile is not vertical, but has a different shape, then the output of the process can be defined as the deviation from that shape, and the same optimization process can be used, subject to the allowable input ranges. Depending on the capabilities of the CVD tool used in this process, the maximum number of allowed value changes in the TEB and TEPO profiles may be limited to the maximum number of dopant layers that the CVD tool can deposit. In that case, the optimization can be modified to yield the smallest possible sidewall deviation among all input profiles that adhere to this limit of maximum value changes. [00034] While the above methods are illustrated using only TEB and TEPO gas flows as inputs, one of ordinary skill in the art will immediately recognize that in alternate embodiments the same methodology can be applied to use any combination of TEB, TEPO, and TEOS gas flows as inputs. For example, one can use all three gas flows as inputs to create a three-input-two-output dynamic model of the CVD process, or only one of the gas flows to create a single-input-two-output dynamic model of the CVD process. The methods above and the equations described below would be modified for selection of one, two, or three inputs.
[00035] Furthermore, while the above methods are illustrated on the example of
BPSG CVD processes, one of ordinary skill in the art will immediately recognize that any process that includes gas flows as inputs and sidewall profiles as outputs can be modeled in alternate embodiments, and its recipe can be optimized, using the methods described herein.
Model Identification and Validation Methods
[00036] The overall methodology of creating a dynamic model for an etch process that includes gas flows as inputs and sidewall profiles as outputs has been described above, according to one embodiments. Optimizing the recipe for that process using the resulting dynamic model to predict the result of any selected recipe is also described above. A typical structure for such a dynamic model is a linear ARX (Auto-Regressive with exogenous inputs) model expressed in Equation (1) below. (k) + A,j(k - 1) + ... + ANj(k - N) = B0u(k) + ... + BN (k - N) + e(k) (1 )
[00037] The sequences u(k) , y(k), and e(k) in Equation (1 ) may be vector-
valued as needed to represent multiple inputs and/or outputs, and the quantities Al and
Bt are matrices of compatible dimensions.
[00038] The linear structure of the ARX model in Equation (1 ) cannot faithfully capture the dynamic behavior of CVD processes, since such processes typically exhibit severe nonlinearities. These nonlinearities are inherent in the chemical interaction between the dopants in the substrate and the etch agents that occur during the etch process. One method of capturing these nonlinearities is to use several linear models of the type shown in Equation (1 ), with each model capturing the dynamic behavior of the process around a different operating point. In the case of etch processes, such an operating point would be defined by the recipe being used, and the corresponding linear model would accurately predict the output of the etch process for small recipe variations around the recipe used to define the operating point.
[00039] A better way of capturing the nonlinear behavior of etch processes is to consider model structures that explicitly incorporate nonlinearities. A model structure that was found to be particularly suitable for cell formation processes of the type illustrated in Figure 1 is the INARX (Input-Nonlinear Auto-Regressive with exogenous inputs) model defined in the expression below. j(k) + A j(k - l) + ... + ANj(k - N) = B0 {0 ( k)) + ... + BN £N { (k - N)) + e{k) (2) [00040] Compared to the linear ARX model of Equation (1 ), the INARX model of
Equation (2) incorporates the functions f((-) , which are smooth memoryless nonlinear
functions (memoryless nonlinearities). When applied to a vector, these nonlinearities are applied to each individual element in the vector.
[00041] In order to make the identification problem easier to formulate, we consider memoryless nonlinearities that are linear combinations of a set of L basis
nonlinearities g; (-) as follows:
Figure imgf000014_0001
[00042] The nonlinearity parametrization in Expression (3) may be combined with the general INARX model in Expression (2) to yield an equivalent linear ARX model:
Figure imgf000014_0002
or j(k) + Axy(k - 1) + ... + ANj(k - N) = D0v(k) + ... + DNp(k - N) + e(k) (4b)
where
Figure imgf000014_0003
[00043] Figure 6 illustrates an exemplary INARX model realization. The linear parametrization of Equations (4a)-(4c) permits the application of linear least squares identification techniques to fit an INARX model to one or more recorded input-output data sets. In other words, the linear reparametrization shown in Equations (4a)-(4c) allows us to treat the INARX model of Equation (4b) in the same way as the linear ARX model of Equation (1).
[00044] In particular, consider a data set obtained by measuring the inputs and outputs during and/or after an experiment:
Figure imgf000015_0001
[00045] To identify the INARX model in the form of Equation (4b) from the data set (5), the equations are placed into a matrix form:
Figure imgf000015_0002
or
(6b)
[00046] The matrices Y, and Φ1 are constructed from the measured data. The
goal is to compute a parameter matrix Θ that minimizes the size of the equation error
E1 . This is done by solving the least-squares problem:
min Y? - ΦT 1 ΘT (7)
Θ
[00047] If we have data sets from P different experiments, a parameter matrix
Θ can be computed that explains all of the data sets by "stacking" the matrices from each experiment and solving the following problem:
Figure imgf000016_0002
Figure imgf000016_0001
[00048] Suppose now that each time an experiment is performed, an unknown but constant bias corrupts our output measurement. In that case, the data set is represented as follows:
{v(l),...,v(M),y(l) + Z,...,y(M) + fi} (9)
[00049] Here, % represents the unknown but constant bias in the output
measurement. If the biased output measurement is substituted into Equation (4b), the following expression is obtained:
j(k) + Alj(k - l) + ... + ANj(k - N)+ z + Az + - + Λ-Nz
(10)
= D0p{k) + ... + DNp{k - N) + e{k)
[00050] An equation bias d is defined as follows:
<1 = -Z - AZ.- ---- ΛNZ (11)
[00051] Substituting Equation (11 ) into Equation (10) yields the following equation: y(k) + A y(k - l) + ... + ANy(k - N) = D0p(k) + ... + DNp(k - N) + e(k) + d (12)
[00052] Equation bias d can be identified by adding it to the list of parameters to
be identified and modifying the definitions of Φ and Θ appropriately. If a set of model
parameters Θ using multiple data sets is to be identified, the fact that the bias may be different from experiment to experiment must be taken into account. This can be done by modifying the multiple-experiment optimization problem posed in Expression (8) as follows:
Figure imgf000017_0001
(13a)
ΦT P o ..-Q; dp
Figure imgf000017_0002
where
Ω,=[l ••• l] (13b)
[00053] Solving the problem in Expressions (13a)-(13b) allows identification of the model parameters in a fashion that is robust to measurement biases that vary from experiment to experiment.
[00054] Once the model is identified, its quality can be evaluated by measuring how well its predictions agree with a new data set of measured inputs and outputs
{pt (l ),..., ^( ),J/;(1 ),..., y^M)}. If the model predictions are in good agreement
with the new data set, then the model is said to have been "validated" on the new data. [00055] The INARX model of Equation (4b) can be used directly to compute predicted outputs as follows:
1. Set the predicted output yt (k) equal to the measured output yt (k) for
k = l,...,N.
2. For k = N + 1,...,M , compute the predicted output y\k) via the equation
yX ) = -Aλy1(k-l)-...-ANyl(k-N) + D0Pι(k) + ... + DNPι(k-N) (14)
3. Compare the predicted outputs yt (k) to the measured outputs yt (k) for
k = N + l,...,M.
[00056] This method is an open-loop validation method, since it does not use an estimator to keep the predicted output "synchronized" to the measured output. [00057] Consider now the model validation problem when unknown output error biases may be present. Assume that a set of model parameters Θ and a set of biases
dλ,...,dp have been computed using the measured input and output data from a set of
P experiments and solving the optimization problem of Expression (13a)-(13b). Given a
new set of measured inputs and outputs t (l ),..., t>; (M), , (l ),..., ; (M)}, the
following method can be used for validating the model while accounting for measurement biases: 1. Using the new data, solve the following minimization problem to compute the
unknown bias d. :
Figure imgf000018_0001
2. Set the predicted output yt (k) equal to the measured output yt (k) for
k = l,...,N .
3. For k = N + 1,...,M , compute the predicted output yt (k) via the equation
yι (k) = -A l(k - \)- ... - ANyι(k - N) + D0Pι ( ) + ... + DNPt(k - N) + d, (16)
A. Compare the predicted outputs yt ( ) to the measured outputs yt (k) for
k = N + \,...,M .
[00058] Figure 7 illustrates an exemplary flowchart of a process modeling and recipe optimization method 700 for a cell formation process, according to one embodiment of the present invention. The process commences at block 710. At processing block 715, a user selects a cell formation process to be modeled, such as BPSG or different chemistry. As discussed above, a user can select process inputs and process outputs at processing block 720. At processing block 725, a user is prompted to select a linear or input-nonlinear dynamic model structure. A recommendation can be provided to the user depending on how nonlinear the specific process behavior is. [00059] At processing block 730, a user is prompted to select nonlinear functions to be used as a basis to re-parameterize the input-nonlinear model structure in linear form as described above. Various tests and experiments with different process recipes are performed at processing block 735. SEM images of the corresponding cell sidewall profiles are recorded as well. At processing block 740, edge detection is performed on the SEM images and a deviation of cell sidewalls from vertical as a function of etch depth is computed. Thereby, the spatial images are converted into numerical outputs that can be used for parameter identification in a spatial dynamic model. [00060] The process continues at block 745 where a deposition rate model is used to convert time-based input values into depth-based input values that can be used for parameter identification in a spatial dynamic model. At processing block 750, least- squares identification is used to identify the parameters of the spatial dynamic model, including output measurement biases. The resulting spatial dynamic model of the cell formation process is validated at processing block 760 by conducting additional experiments and comparing the output values predicted by the model to the actual output values for the new experiments.
[00061] At processing block 765 the validated spatial dynamic model of cell formation process is used to optimize process recipes by adjusting input values until the output values predicted by the model match the desired output values as closely as possible under the maximum and minimum value constraints imposed on the process inputs. The process completes at block 770.
[00062] Figure 8 illustrates an exemplary block diagram of a computer system
2000 representing an integrated multi- processor, in which elements of the present invention may be implemented. Computer system 2000 can be used to perform the method 700 described above in connection with Figure 7. One embodiment of computer system 2000 comprises a system bus 2200 for communicating information, and a processor 2100 coupled to bus 2200 for processing information. Computer system 2000 further comprises a random access memory (RAM) or other dynamic storage device 2250 (referred to herein as main memory), coupled to bus 2200 for storing information and instructions to be executed by processor 2100. Main memory 2250 also may be used for storing temporary variables or other intermediate information during execution of instructions by processor 2100. Computer system 2000 also may include a read only memory (ROM) and/or other static storage device 2260 coupled to bus 2200 for storing static information and instructions used by processor 2100. [00063] A data storage device 2270 such as a magnetic disk or optical disc and its corresponding drive may also be coupled to computer system 2000 for storing information and instructions. Computer system 2000 can also be coupled to a second I/O bus 2500 via an I/O interface 2300. A plurality of I/O devices may be coupled to I/O bus 2500, including a display device 2430, an input device (e.g., an alphanumeric input device 2420 and/or a cursor control device 2410). For example, video news clips and related information may be presented to the user on the display device 2430. [00064] The communication device 2400 is for accessing other computers
(servers or clients) via a network. The communication device 2400 may comprise a modem, a network interface card, or other well-known interface device, such as those used for coupling to Ethernet, token ring, or other types of networks. [00065] In the foregoing specification, the invention has been described with reference to specific embodiments. It will, however, be evident that various modifications and changes can be made without departing from the broader spirit and scope of the invention as set forth in the claims. The specification and drawings are, accordingly, to be regarded in an illustrative rather than a restrictive sense.

Claims

CLAIMSWhat is claimed is:
1. A method, comprising: modeling an etch process used in semiconductor manufacturing to generate a dynamic process model; and optimizing a process recipe for the etch process with the dynamic process model.
2. The method of claim 1 , wherein the etch process is a cell formation process.
3. The method of claim 1 , wherein the dynamic process model is a linear ARX model with input nonlinearities.
4. The method of claim 1 wherein the optimized process recipe improves cell sidewall profiles.
5. The method of claim 4, wherein the optimized process recipe incorporates a maximum allowable number of recipe steps as an explicit process constraint.
6. The method of claim 4, wherein the optimized process recipe incorporates a maximum allowable input value as an explicit process constraint.
7. The method of claim 4, wherein the optimized process recipe incorporates a minimum allowable input value as an explicit process constraint.
8. The method of claim 1 , wherein modeling an etch process, comprises: using a non-linear model structure; paramaterizing the memoryless non-linear functions; deriving a linear model from the non-linear model structure; identifying a bias value for distinct input parameters and output parameters; generating a dynamic spatial model of output parameters to relate input parameters in a time domain to output parameters in a spatial domain; predicting output parameters when various input parameters are provided to the linear model; and optimizing output parameters using the linear model.
9. The method of claim 8, wherein the dynamic spatial model is a deposition rate model;
10. The method of claim 8, wherein the input parameters include dopant gas flows including TriEthyl Borate, TriEthyl PhOsphate, and TetraEthyl OrthoSilicate; and plasma etch inputs including pressure, power, and gas flows.
11. The method of claim 8, wherein the output parameters include chemical vapor deposition outputs including Secondary Ion Mass Spectrometry dopant profiles before and after reflow, Fourier Transform Infrared Spectroscopy aggregate dopant profiles, plasma etch outputs, and wet clean outputs including electrical measurements, critical dimension measurements, Scanning Electron Microscopy cross-section sidewall profiles before and after wet etch in both X and Y directions.
12. The method of claim 1 , further comprising manufacturing a semiconductor wafer have cell profile deviations of less than 100 angstroms.
13. The method of claim 8, wherein the non-linear model structure is an INARX model with memoryless non-linear functions to capture dynamic etch process behaviors.
PCT/US2003/027341 2002-08-28 2003-08-28 Method and system for dynamic modeling and recipe optimization of semiconductor etch processes WO2004021405A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US10/523,777 US7155301B2 (en) 2002-08-28 2003-08-28 Method and system for dynamic modeling and recipe optimization of semiconductor etch processes
AU2003278750A AU2003278750A1 (en) 2002-08-28 2003-08-28 Method and system for dynamic modeling and recipe optimization of semiconductor etch processes
EP03770274A EP1546876A4 (en) 2002-08-28 2003-08-28 Method and system for dynamic modeling and recipe optimization of semiconductor etch processes
JP2004532027A JP2006518925A (en) 2002-08-28 2003-08-28 Method and system for mechanical modeling and method optimization of semiconductor etching processes

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US40690502P 2002-08-28 2002-08-28
US60/406,905 2002-08-28

Publications (3)

Publication Number Publication Date
WO2004021405A2 true WO2004021405A2 (en) 2004-03-11
WO2004021405A3 WO2004021405A3 (en) 2004-07-01
WO2004021405B1 WO2004021405B1 (en) 2004-08-05

Family

ID=31978382

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/027341 WO2004021405A2 (en) 2002-08-28 2003-08-28 Method and system for dynamic modeling and recipe optimization of semiconductor etch processes

Country Status (6)

Country Link
US (1) US7155301B2 (en)
EP (1) EP1546876A4 (en)
JP (1) JP2006518925A (en)
KR (1) KR100638948B1 (en)
AU (1) AU2003278750A1 (en)
WO (1) WO2004021405A2 (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7369905B1 (en) * 2005-01-28 2008-05-06 Advanced Micro Devices Method and apparatus for pressure and plasma control during transitions used to create graded interfaces by multi-step PECVD deposition
US7235414B1 (en) * 2005-03-01 2007-06-26 Advanced Micro Devices, Inc. Using scatterometry to verify contact hole opening during tapered bilayer etch
US20070224840A1 (en) * 2006-03-21 2007-09-27 Varian Semiconductor Equipment Associates, Inc. Method of Plasma Processing with In-Situ Monitoring and Process Parameter Tuning
KR100868083B1 (en) * 2006-05-19 2008-11-14 세종대학교산학협력단 Monitoring method of sensor information of plasma equipment using wavelet
US7511835B2 (en) * 2007-04-12 2009-03-31 Tokyo Electron Limited Optical metrology using a support vector machine with simulated diffraction signal inputs
US20090089024A1 (en) 2007-09-28 2009-04-02 Chung-Ho Huang Methods and arrangement for creating models for fine-tuning recipes
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
WO2011041012A2 (en) * 2009-07-31 2011-04-07 Aptima, Inc Dynamic process modeling assembly and method of use
US8532796B2 (en) 2011-03-31 2013-09-10 Tokyo Electron Limited Contact processing using multi-input/multi-output (MIMO) models
US10784174B2 (en) * 2017-10-13 2020-09-22 Lam Research Corporation Method and apparatus for determining etch process parameters
CN117046692B (en) * 2023-10-12 2023-12-08 南通华隆微电子股份有限公司 Method and system for rapidly gluing semiconductor

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6133132A (en) * 2000-01-20 2000-10-17 Advanced Micro Devices, Inc. Method for controlling transistor spacer width

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0614519B2 (en) * 1984-03-16 1994-02-23 株式会社日立製作所 Control method of plasma processing
US5307296A (en) * 1989-11-17 1994-04-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor workpiece topography prediction method
US5711843A (en) * 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
JP4437611B2 (en) * 2000-11-16 2010-03-24 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
CN1258811C (en) * 2001-02-14 2006-06-07 先进微装置公司 Method and apparatus for controlling etch selectivity
JP3708031B2 (en) * 2001-06-29 2005-10-19 株式会社日立製作所 Plasma processing apparatus and processing method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6133132A (en) * 2000-01-20 2000-10-17 Advanced Micro Devices, Inc. Method for controlling transistor spacer width

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
See also references of EP1546876A2 *

Also Published As

Publication number Publication date
KR20050032120A (en) 2005-04-06
AU2003278750A8 (en) 2004-03-19
WO2004021405B1 (en) 2004-08-05
AU2003278750A1 (en) 2004-03-19
EP1546876A2 (en) 2005-06-29
JP2006518925A (en) 2006-08-17
US20050289487A1 (en) 2005-12-29
KR100638948B1 (en) 2006-10-25
US7155301B2 (en) 2006-12-26
EP1546876A4 (en) 2008-11-19
WO2004021405A3 (en) 2004-07-01

Similar Documents

Publication Publication Date Title
US6410351B1 (en) Method and apparatus for modeling thickness profiles and controlling subsequent etch process
US7155301B2 (en) Method and system for dynamic modeling and recipe optimization of semiconductor etch processes
CN108369916B (en) Wafer point-to-point analysis and data presentation
JP6173889B2 (en) Simulation method, simulation program, machining control system, simulator, process design method and mask design method
KR20050074561A (en) Probability constrained optimization for electrical fabrication control
Wang et al. Recursive state estimation for two-dimensional shift-varying systems with random parameter perturbation and dynamical bias
Ryu et al. Multiobjective optimization with an adaptive weight determination scheme using the concept of hyperplane
JP2022523313A (en) Optimization of filling process using feature scale modeling
US20220245307A1 (en) Hybrid physics/machine learning modeling of processes
US6511898B1 (en) Method for controlling deposition parameters based on polysilicon grain size feedback
Anselmi et al. Power-of-d-choices with memory: Fluid limit and optimality
KR101107539B1 (en) Methods and arrangement for creating models for fine-tuning recipes
Xiao et al. Kalman filter with recursive covariance estimation for protection against system uncertainty
Dey et al. Adaptive divided difference filter for parameter and state estimation of non‐linear systems
CN115605985A (en) Variable cycle control feature
CN116151975A (en) Transaction abnormity warning method and device
Sköld et al. Density estimation for the Metropolis–Hastings algorithm
CN109982295B (en) Service template pushing method and service template pusher
Resende et al. Sparsity‐aware reuse of coefficients normalised least mean squares
WO2019113631A1 (en) A system and method for providing authentication data and an electronic device for responding to an authentication request from such a system
US20230342016A1 (en) Methods and mechanisms for generating virtual knobs for model performance tuning
US20230135102A1 (en) Methods and mechanisms for process recipe optimization
US20230316486A1 (en) Chemical-dose substrate deposition monitoring
Ventzek et al. Application and simulation of low temperature plasma processes in semiconductor manufacturing
JP2019121256A (en) Learning system and learning method

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
B Later publication of amended claims

Effective date: 20031124

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020057003145

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2004532027

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2003770274

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020057003145

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2003770274

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 10523777

Country of ref document: US