WO2004030426A2 - Improved deposition shield in a plasma processing system,and methods of manufacture of such shield - Google Patents

Improved deposition shield in a plasma processing system,and methods of manufacture of such shield Download PDF

Info

Publication number
WO2004030426A2
WO2004030426A2 PCT/IB2003/004808 IB0304808W WO2004030426A2 WO 2004030426 A2 WO2004030426 A2 WO 2004030426A2 IB 0304808 W IB0304808 W IB 0304808W WO 2004030426 A2 WO2004030426 A2 WO 2004030426A2
Authority
WO
WIPO (PCT)
Prior art keywords
deposition shield
recited
opening
exposed surfaces
shield
Prior art date
Application number
PCT/IB2003/004808
Other languages
French (fr)
Other versions
WO2004030426A3 (en
Inventor
Hidehito Saigusa
Taira Takase
Kouji Mitsuhashi
Hiroyuki Nakayama
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to JP2004539380A priority Critical patent/JP2006501608A/en
Priority to AU2003272031A priority patent/AU2003272031A1/en
Publication of WO2004030426A2 publication Critical patent/WO2004030426A2/en
Publication of WO2004030426A3 publication Critical patent/WO2004030426A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits

Definitions

  • the present invention relates to an improved component for a plasma processing system and, more particularly, to a deposition shield employed in a plasma processing system for protecting a chamber wall.
  • IC integrated circuits
  • plasma is formed within the plasma reactor under vacuum conditions by heating electrons to energies sufficient to sustain ionizing collisions with a supplied process gas.
  • the heated electrons can have energy sufficient to sustain dissociative collisions and, therefore, a specific set of gases under predetermined conditions (e.g., chamber pressure, gas flow rate, etc.) are chosen to produce a population of charged species and chemically reactive species suitable to the particular process being performed within the chamber (e.g., etching processes where materials are removed from the substrate or deposition processes where materials are added to the substrate).
  • components of the plasma processing system are coated with a protective barrier.
  • a protective barrier For example, components fabricated from aluminum can be anodized to produce a surface layer of aluminum oxide, which is more resistant to the plasma.
  • a consumable or replaceable component such as one fabricated from silicon, quartz, alumina, carbon, or silicon carbide, can be inserted within the processing chamber to protect the surfaces of more valuable components that would impose greater costs during frequent replacement.
  • the present invention provides an improved deposition shield for surrounding a process space in a plasma processing system, wherein the design and fabrication of the deposition shield advantageously addresses the above- identified shortcomings.
  • the lower end surface can further comprise an end lip surface.
  • the opening can comprise a first opening surface, a second opening surface, and a mating surface, wherein the mating surface can comprise one or more tapped holes comprising a fastening surface.
  • the deposition shield further comprises a protective barrier formed on a plurality of exposed surfaces of the deposition shield facing the processing plasma.
  • the exposed surfaces of the deposition shield comprise the inner surface of the deposition shield, the upper end surface of the deposition shield, and the end lip surface of the lower end surface of the deposition shield.
  • the deposition shield can comprise additional exposed surfaces such as the first opening surface of the opening, and the mating surface excluding the fastening surface of the opening in the deposition shield.
  • the present invention further provides a method of producing the deposition shield in the plasma processing system comprising the steps: fabricating the deposition shield; anodizing the deposition shield to form a surface anodization layer on the deposition shield; machining exposed surfaces on the deposition shield to remove the surface anodization layer; and forming a protective barrier on the exposed surfaces of the deposition shield.
  • the present invention provides another method of producing the deposition shield in the plasma processing system comprising the steps: fabricating the deposition shield; masking the exposed surfaces on the deposition shield to prevent formation of a surface anodization layer; anodizing the deposition shield to form the surface anodization layer on the deposition shield; and forming a protective barrier on the exposed surfaces of the deposition shield.
  • the present invention provides another method of producing the deposition shield in the plasma processing system comprising the steps: fabricating the deposition shield; and forming a protective barrier on the exposed surfaces of the deposition shield.
  • the present invention may optionally include machining other parts not actually exposed to the plasma. Such parts may be machined in order to provide a contact free from the anodization layer (e.g., in order to provide a better mechanical or electrical contact).
  • the present invention may optionally include masking of other parts not actually exposed to the plasma. Such parts may be masked in order to provide a contact free from the anodization layer (e.g., in order to provide a better mechanical or electrical contact).
  • the present invention also provides a combined method of machining and masking to provide bare exposed surfaces on which to form the protective barrier.
  • FIG. 1 shows a simplified block diagram of a plasma processing system comprising a deposition shield according to an embodiment of the present invention
  • FIG. 2 shows a plan view of a deposition shield for a plasma processing system according to an embodiment of the present invention
  • FIG. 3 shows a partial cross sectional view of a deposition shield for a plasma processing system according to an embodiment of the present invention
  • FIG. 4 shows an expanded cross sectional view of a deposition shield for a plasma processing system according to an embodiment of the present invention
  • FIG. 5 shows an expanded view of a lower end surface of a deposition shield for a plasma processing system according to an embodiment of the present invention
  • FIG. 6 presents an expanded view of an opening in a deposition shield for a plasma processing system according to an embodiment of the present invention
  • FIG. 7 presents an expanded cross sectional view of an opening taken along a major axis of the opening for a plasma processing system according to an embodiment of the present invention
  • FIG. 8 shows a cross sectional view of a mating surface and a fastening surface of an opening for a plasma processing system according to another embodiment of the present invention
  • FIG. 9 presents a method of producing a deposition shield for a plasma processing system according to an embodiment of the present invention.
  • FIG. 10 presents a method of producing a deposition shield for a plasma processing system according to another embodiment of the present invention.
  • FIG. 11 presents a method of producing a deposition shield for a plasma processing system according to another embodiment of the present invention.
  • a plasma processing system 1 is depicted in FIG. 1 comprising a plasma processing chamber 10, an upper assembly 20, an electrode plate 24, a substrate holder 30 for supporting a substrate 35, and a pumping duct 40 coupled to a vacuum pump (not shown) for providing a reduced pressure atmosphere 11 in plasma processing chamber 10.
  • Plasma processing chamber 10 can facilitate the formation of a processing plasma in a process space 12 adjacent substrate 35.
  • the plasma processing system 1 can be configured to process 200 mm substrates, 300 mm substrates, or larger.
  • upper assembly 20 can comprise at least one of a cover, a gas injection assembly, and an upper electrode impedance match network.
  • the electrode plate 24 can be coupled to an RF source.
  • the upper assembly 20 comprises a cover and an electrode plate 24, wherein the electrode plate 24 is maintained at an electrical potential equivalent to that of the plasma processing chamber 10.
  • the plasma processing chamber 10, the upper assembly 20, and the electrode plate 24 can be electrically connected to ground potential.
  • Plasma processing chamber 10 can, for example, further comprise a deposition shield 14 for protecting the plasma processing chamber 10 from the processing plasma in the process space 12, and an optical viewport 16.
  • Optical viewport 16 can comprise an optical window 17 coupled to the backside of an optical window deposition shield 18, and an optical window flange 19 can be configured to couple optical window 17 to the optical window deposition shield 18. Sealing members, such as O-rings, can be provided between the optical window flange 19 and the optical window 17, between the optical window 17 and the optical window deposition shield 18, and between the optical window deposition shield 18 and the plasma processing chamber 10.
  • Optical viewport 16 can, for example, permit monitoring of optical emission from the processing plasma in process space 12.
  • Substrate holder 30 can, for example, further comprise a vertical translational device 50 surrounded by a bellows 52 coupled to the substrate holder 30 and the plasma processing chamber 10, and configured to seal the vertical translational device 50 from the reduced pressure atmosphere 11 in plasma processing chamber 10.
  • a bellows shield 54 can, for example, be coupled to the substrate holder 30 and configured to protect the bellows 52 from the processing plasma.
  • Substrate holder 10 can, for example, further be coupled to at least one of a focus ring 60, and a shield ring 62.
  • a baffle plate 64 can extend about a periphery of the substrate holder 30.
  • Substrate 35 can be, for example, transferred into and out of plasma processing chamber 10 through a slot valve (not shown) and chamber feed-through (not shown) via robotic substrate transfer system where it is received by substrate lift pins (not shown) housed within substrate holder 30 and mechanically translated by devices housed therein. Once substrate 35 is received from substrate transfer system, it is lowered to an upper surface of substrate holder 30.
  • Substrate 35 can be, for example, affixed to the substrate holder 30 via an electrostatic clamping system.
  • substrate holder 30 can, for example, further include a cooling system including a re-circulating coolant flow that receives heat from substrate holder 30 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system.
  • gas can, for example, be delivered to the back-side of substrate 35 via a backside gas system to improve the gas-gap thermal conductance between substrate 35 and substrate holder 30.
  • a cooling system including a re-circulating coolant flow that receives heat from substrate holder 30 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system.
  • gas can, for example, be delivered to the back-side of substrate 35 via a backside gas system to improve the gas-gap thermal conductance between substrate 35 and substrate holder 30.
  • Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures.
  • heating elements such as resistive heating elements, or thermo-electric heaters/coolers can be included.
  • substrate holder 30 can comprise an electrode through which RF power is coupled to the processing plasma in process space 12.
  • substrate holder 30 can be electrically biased at a RF voltage via the transmission of RF power from a RF generator (not shown) through an impedance match network (not shown) to substrate holder 30.
  • the RF bias can serve to heat electrons to form and maintain plasma.
  • the system can operate as a reactive ion etch (RIE) reactor, wherein the chamber and upper gas injection electrode serve as ground surfaces.
  • RIE reactive ion etch
  • a typical frequency for the RF bias can range from 1 MHz to 100 MHz and is preferably 13.56 MHz.
  • RF systems for plasma processing are well known to those skilled in the art.
  • the processing plasma formed in process space 12 can be formed using a parallel-plate, capacitively coupled plasma (CCP) source, an inductively coupled plasma (ICP) source, any combination thereof, and with and without DC magnet systems.
  • the processing plasma in process space 12 can be formed using electron cyclotron resonance (ECR).
  • ECR electron cyclotron resonance
  • the processing plasma in process space 12 is formed from the launching of a Helicon wave.
  • the processing plasma in process space 12 is formed from a propagating surface wave.
  • deposition shield 14 can comprise a cylinder having an inner surface 82, an outer surface 84, an upper end surface 86, and a lower end surface 88. Furthermore, for example, a thickness of the deposition shield 14, dictated by the distance from the inner surface 82 to the outer surface 84 of the deposition shield 14, can range from 1 to 50 mm. Desirably, the thickness can range from 5 to 20 mm, and, preferably, the thickness is at least 10 mm.
  • Deposition shield 14 can, for example, further comprise a plurality of fastening receptors 100, each fastening receptor 100 coupled to the upper end surface 86 and the lower end surface 88, and configured to receive fastening devices (such as bolts) (not shown) to couple deposition shield 14 to plasma processing system 1.
  • FIG 4 shows an expanded cross sectional view of deposition shield 14 and one of the plurality of fastening receptors 100.
  • the fastening receptors 100 can comprise an entrant region 102, an entrant cavity 104, an exit through-hole 106, and an interior fastener surface 108.
  • the interior fastener surface 108 can, for example, comprise a first entrant surface 110, a first lip surface 112, a second entrant surface 114, a second lip surface 116, and an exit surface 118.
  • the number of fastening receptors 100 formed within deposition shield 14 can range from 0 to 100. Desirably, the number of fastening receptors 100 can range from 5 to 20; and, preferably, the number of fastening receptors 100 is at least 8.
  • deposition shield 14 can, for example, comprise one or more tapped receptor holes 119 configured to receive a fastening device (such as a bolt) (not shown) and configured to couple deposition shield 14 with a component of the plasma processing chamber 10 such as the upper assembly 20.
  • the number of tapped receptor holes 119 formed within deposition shield 14 can range from 0 to 20. Desirably, the number of tapped receptor holes 119 can range from 1 to 10; and, preferably, the number of tapped receptor holes 119 is at least 2.
  • FIG. 5 provides an expanded view of the lower end surface 88 of the deposition shield 14, wherein the lower end surface 88 further comprises an end lip surface 120 as shown.
  • deposition shield 14 can, for example, further comprise an opening 130 in order to accommodate access to the process space 12 through deposition shield 14.
  • the opening 130 is not formed in deposition shield 14.
  • the opening 130 is formed in deposition shield 14 to accommodate the insertion of at least one of a deposition shield plug (not shown) or an optical window deposition shield such as the one depicted in FIG. 1.
  • co-pending United States patent application serial no. 10/XXX,XXX entitled “Method and apparatus for an improved optical window deposition shield in a plasma processing system", Attorney docket no. 226276US6YA, filed on even date herewith, is incorporated herein by reference in its entirety.
  • opening 130 can further comprise a first opening surface 132 coupled to the inner surface 82 of the deposition shield 14, a second opening surface 134 coupled to the outer surface 84 of the deposition shield 14, and a mating surface 136 coupled to the first opening surface 132 and to the second opening surface 134.
  • the mating surface 136 can comprise at least one tapped hole 138 for receiving a threaded fastener (not shown) in order to couple at least one of the chamber plug (not shown) or the optical window deposition shield (not shown) to the deposition shield 14.
  • the mating surface 136 further comprises a fastening surface 140 immediately adjacent to and extending within the tapped hole 138.
  • a width (along a major axis) of the opening 130 can range from 1 to 100 mm. Desirably, the width can range from 10 to 40 mm, and, preferably, the width is at least 25 mm.
  • a height (along a minor axis) of the opening 130 can range from 1 to 100 mm. Desirably, the height can range from 10 to 40 mm, and, preferably, the height is at least 15 mm.
  • the deposition shield 14 further comprises a protective barrier 150 formed on a plurality of exposed surfaces 145 of the deposition shield 14.
  • the exposed surfaces 145 can comprise the inner surface 82 of deposition shield 14, the upper end surface 86 of deposition shield 14, and the end lip surface 120 of the lower end surface 88 of deposition shield 14.
  • the exposed surfaces can further comprise the first opening surface 132 of opening 130, and the mating surface 136 excluding the fastening surface 140 of opening 130.
  • the protective barrier 150 can comprise a compound including an oxide of aluminum such as AI 2 O 3 . In another embodiment of the present invention, the protective barrier 150 can comprise a mixture of Al 2 0 3 and Y 2 O 3 . In another embodiment of the present invention, the protective barrier 150 can comprise at least one of a Ill-column element (column III of periodic table) and a Lanthanon element. In another embodiment of the present invention, the Ill-column element can comprise at least one of Yttrium, Scandium, and Lanthanum. In another embodiment of the present invention, the Lanthanon element can comprise at least one of Cerium, Dysprosium, and Europium.
  • the compound forming protective barrier 150 can comprise at least one of Yttria (Y 2 O 3 ), Sc 2 0 3 , Sc 2 F 3 , YF 3 , La 2 O 3 , CeO 2 , Eu 2 O 3 , and DyO 3 .
  • the protective barrier 150 formed on deposition shield 14 comprises a minimum thickness, wherein the minimum thickness can be specified as constant across at least one of the exposed surfaces 145. In another embodiment, the minimum thickness can be variable across the exposed surfaces 145. Alternately, the minimum thickness can be constant over a first portion of an exposed surface and variable over a second portion of an exposed surface. For example, a variable thickness can occur on a curved surface, on a corner, or in a hole. For example, the minimum thickness can range from 0.5 micron to 500 micron. Desirably, the minimum thickness can range from 100 micron to 200 micron, and, preferably, the minimum thickness is at least 120 micron. [0049] FIG.
  • a flow diagram 300 begins in 310 with fabricating the deposition shield 14 (as described above).
  • Fabricating the deposition shield can comprise at least one of machining, casting, polishing, forging, and grinding.
  • each of the elements described above can be machined according to specifications set forth on a mechanical drawing, using conventional techniques including a mill, a lathe, etc.
  • the techniques for machining a component using, for example, a mill or a lathe, are well known to those skilled in the art of machining.
  • the deposition shield can, for example, be fabricated from aluminum.
  • the deposition shield is anodized to form a surface anodization layer.
  • the surface anodization layer can comprise aluminum oxide (Al 2 0 3 ). Methods of anodizing aluminum components are well known to those skilled in the art of surface anodization.
  • the surface anodization layer is removed from the exposed surfaces 145 using standard machining techniques.
  • the exposed surfaces comprise the inner surface of the deposition shield, the upper end surface of the deposition shield, and the end lip surface of the lower end surface of the deposition shield.
  • the exposed surfaces can further comprise the first opening surface of the opening, and the mating surface excluding the fastening surface of the opening in the deposition shield.
  • additional non-exposed surfaces may also be machined. Such non- exposed surfaces may be machined in order to provide better mechanical or electrical contacts between those parts and the parts with which they are mated.
  • a protective barrier is formed on the exposed surfaces 145.
  • a protective barrier comprising, for example Yttria, can be formed using (thermal) spray coating techniques that are well known to those skilled in the art of ceramic spray coatings.
  • forming the protective barrier can further comprise polishing (or smoothing) the thermal spray coating.
  • polishing the thermal spray coating can comprise the application of sand paper to the sprayed surfaces.
  • FIG. 10 presents a method of producing the deposition shield in the plasma processing system described in FIG. 1 according to another embodiment of the present invention.
  • a flow diagram 400 begins in 410 with fabricating the deposition shield 14 (as described above). Fabricating the deposition shield can comprise at least one of machining, casting, polishing, forging, and grinding. For example, each of the elements described above can be machined according to specifications set forth on a mechanical drawing, using conventional techniques including a mill, a lathe, etc. The techniques for machining a component using, for example, a mill or a lathe, are well known to those skilled in the art of machining.
  • the deposition shield can, for example, be fabricated from aluminum.
  • the deposition shield is anodized to form a surface anodization layer on the remaining unmasked surfaces.
  • the surface anodization layer can comprise aluminum oxide (AI 2 O 3 ). Methods of anodizing aluminum components are well known to those skilled in the art of surface anodization.
  • a protective barrier 150 is formed on the exposed surfaces 145.
  • a protective barrier comprising, for example Yttria, can be formed using (thermal) spray coating techniques that are well known to those skilled in the art of ceramic spray coatings.
  • forming the protective barrier can further comprise polishing (or smoothing) the thermal spray coating.
  • polishing the thermal spray coating can comprise the application of sand paper to the sprayed surfaces.
  • FIG. 11 presents a method of producing the deposition shield in the plasma processing system described in FIG. 1 according to another embodiment of the present invention.
  • a flow diagram 500 begins in 510 with fabricating the deposition shield 14 (as described above).
  • Fabricating the deposition shield can comprise at least one of machining, casting, polishing, forging, and grinding.
  • each of the elements described above can be machined according to specifications set forth on a mechanical drawing, using conventional techniques including a mill, a lathe, etc.
  • the techniques for machining a component using, for example, a mill or a lathe, are well known to those skilled in the art of machining.
  • the deposition shield can, for example, be fabricated from aluminum.
  • a protective barrier is formed on exposed surfaces of the deposition shield.
  • a protective barrier comprising, for example Yttria, can be formed using (thermal) spray coating techniques that are well known to those skilled in the art of ceramic spray coatings.
  • the exposed surfaces comprise the inner surface of the deposition shield, the upper end surface of the deposition shield, and the end lip surface of the lower end surface of the deposition shield.
  • the exposed surfaces can further comprise the first opening surface of the opening, and the mating surface excluding the fastening surface of the opening in the deposition shield.
  • the exposed surfaces comprise all surfaces on the deposition shield.
  • forming the protective barrier can further comprise polishing (or smoothing) the thermal spray coating.
  • polishing the thermal spray coating can comprise the application of sand paper to the sprayed surfaces.
  • the processes of forming a protective barrier 150 on the exposed surfaces 145, described with reference to FIGs. 9-11 can be modified to utilize a combination of machining and masking.
  • at least one exposed surface 145 is masked to prevent formation of the anodization layer thereon while other exposed surfaces 145 are anodized.
  • the exposed surfaces 145 that are unmasked are then machined, and the exposed surfaces that were masked are unmasked.
  • the protective barrier 150 can then be formed on all the exposed surfaces 145.
  • additional surfaces that are not exposed surfaces may also be machined during the method (e.g., in order to provide a better mechanical or electrical contact than would be formed with the anodization layer thereon).

Abstract

The present invention presents an improved deposition shield (14) for surrounding a process space in a plasma processing system, wherein the desing and fabrication of the deposition shield advantageously provides for a clean processing plasma in the process space with substantially minimal erosion of the deposition shield.

Description

METHOD AND APPARATUS FOR AN IMPROVED DEPOSITION SHIELD IN A
PLASMA PROCESSING SYSTEM
Cross-reference to Related Applications
[0001] This application is related to co-pending United States patent application serial no. 10/XXX,XXX, entitled "Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system", Attorney docket no. 226272US6YA, filed on even date herewith; co-pending United States patent application serial no. 10/XXX.XXX, entitled "Method and apparatus for an improved baffle plate in a plasma processing system", Attorney docket no. 226274US6YA, filed on even date herewith; co-pending United States patent application serial no. 10/XXX,XXX, entitled "Method and apparatus for an improved baffle plate in a plasma processing system", Attorney docket no. 228411 US6YA, filed on even date herewith; co-pending United States patent application serial no. 10/XXX.XXX, entitled "Method and apparatus for an improved upper electrode plate in a plasma processing system", Attorney docket no. 225277US6YA, filed on even date herewith; co-pending United States patent application serial no. 10/XXX,XXX, entitled "Method and apparatus for an improved optical window deposition shield in a plasma processing system", Attorney docket no. 226276US6YA, filed on even date herewith; and co-pending United States patent application serial no. 10/XXX.XXX, entitled "Method and apparatus for an improved bellows shield in a plasma processing system", Attorney docket no. 226277US6YA, filed on even date herewith. The entire contents of all of those applications are herein incorporated by reference in their entirety.
Field of the Invention
[0002] The present invention relates to an improved component for a plasma processing system and, more particularly, to a deposition shield employed in a plasma processing system for protecting a chamber wall. Background of the Invention
[0003] The fabrication of integrated circuits (IC) in the semiconductor industry typically employs plasma to create and assist surface chemistry within a plasma reactor necessary to remove material from and deposit material to a substrate. In general, plasma is formed within the plasma reactor under vacuum conditions by heating electrons to energies sufficient to sustain ionizing collisions with a supplied process gas. Moreover, the heated electrons can have energy sufficient to sustain dissociative collisions and, therefore, a specific set of gases under predetermined conditions (e.g., chamber pressure, gas flow rate, etc.) are chosen to produce a population of charged species and chemically reactive species suitable to the particular process being performed within the chamber (e.g., etching processes where materials are removed from the substrate or deposition processes where materials are added to the substrate).
[0004] Although the formation of a population of charged species (ions, etc.) and chemically reactive species is necessary for performing the function of the plasma processing system (i.e. material etch, material deposition, etc.) at the substrate surface, other component surfaces on the interior of the processing chamber are exposed to the physically and chemically active plasma and, in time, can erode. The erosion of exposed components in the plasma processing system can lead to a gradual degradation of the plasma processing performance and ultimately to complete failure of the system.
[0005] In order to minimize the damage sustained by exposure to the processing plasma, components of the plasma processing system, known to sustain exposure to the processing plasma, are coated with a protective barrier. For example, components fabricated from aluminum can be anodized to produce a surface layer of aluminum oxide, which is more resistant to the plasma. In another example, a consumable or replaceable component, such as one fabricated from silicon, quartz, alumina, carbon, or silicon carbide, can be inserted within the processing chamber to protect the surfaces of more valuable components that would impose greater costs during frequent replacement. Furthermore, it is desirable to select surface materials that minimize the introduction of unwanted contaminants, impurities, etc. to the processing plasma and possibly to the devices formed on the substrate. [0006] In both cases, the inevitable failure of the protective coating, either due to the integrity of the protective barrier or the integrity of the fabrication of the protective barrier, and the consumable nature of the replaceable components demands frequent maintenance of the plasma processing system. This frequent maintenance can produce costs associated with plasma processing down-time and new plasma processing chamber components, which can be excessive.
Summary of the Invention
[0007] The present invention provides an improved deposition shield for surrounding a process space in a plasma processing system, wherein the design and fabrication of the deposition shield advantageously addresses the above- identified shortcomings.
[0008] It is an object of the present invention to provide a deposition shield that can be coupled to the plasma processing system comprising a cylinder having an inner surface, an outer surface, an upper end surface, and a lower end surface. The lower end surface can further comprise an end lip surface.
[0009] It is another object of the present invention to further provide a deposition shield comprising a plurality of fastening receptors, each coupled to the upper end surface and the lower end surface of the deposition shield and configured to attach the deposition shield to the plasma processing system.
[0010] It is another object of the present invention to further provide a deposition shield comprising an opening for enabling access to the process space through the deposition shield. The opening can comprise a first opening surface, a second opening surface, and a mating surface, wherein the mating surface can comprise one or more tapped holes comprising a fastening surface.
[0011] It is another object of the present invention that the deposition shield further comprises a protective barrier formed on a plurality of exposed surfaces of the deposition shield facing the processing plasma.
[0012] It is a further object of the present invention that the exposed surfaces of the deposition shield comprise the inner surface of the deposition shield, the upper end surface of the deposition shield, and the end lip surface of the lower end surface of the deposition shield. [0013] It is a further object of the present invention that the deposition shield can comprise additional exposed surfaces such as the first opening surface of the opening, and the mating surface excluding the fastening surface of the opening in the deposition shield.
[0014] The present invention further provides a method of producing the deposition shield in the plasma processing system comprising the steps: fabricating the deposition shield; anodizing the deposition shield to form a surface anodization layer on the deposition shield; machining exposed surfaces on the deposition shield to remove the surface anodization layer; and forming a protective barrier on the exposed surfaces of the deposition shield.
[0015] The present invention provides another method of producing the deposition shield in the plasma processing system comprising the steps: fabricating the deposition shield; masking the exposed surfaces on the deposition shield to prevent formation of a surface anodization layer; anodizing the deposition shield to form the surface anodization layer on the deposition shield; and forming a protective barrier on the exposed surfaces of the deposition shield.
[0016] The present invention provides another method of producing the deposition shield in the plasma processing system comprising the steps: fabricating the deposition shield; and forming a protective barrier on the exposed surfaces of the deposition shield.
[0017] The present invention may optionally include machining other parts not actually exposed to the plasma. Such parts may be machined in order to provide a contact free from the anodization layer (e.g., in order to provide a better mechanical or electrical contact).
[0018] The present invention may optionally include masking of other parts not actually exposed to the plasma. Such parts may be masked in order to provide a contact free from the anodization layer (e.g., in order to provide a better mechanical or electrical contact).
[0019] The present invention also provides a combined method of machining and masking to provide bare exposed surfaces on which to form the protective barrier.
Brief Description of the Drawings [0020] These and other advantages of the invention will become more apparent and more readily appreciated from the following detailed description of the exemplary embodiments of the invention taken in conjunction with the accompanying drawings, where:
[0021] FIG. 1 shows a simplified block diagram of a plasma processing system comprising a deposition shield according to an embodiment of the present invention;
[0022] FIG. 2 shows a plan view of a deposition shield for a plasma processing system according to an embodiment of the present invention;
[0023] FIG. 3 shows a partial cross sectional view of a deposition shield for a plasma processing system according to an embodiment of the present invention;
[0024] FIG. 4 shows an expanded cross sectional view of a deposition shield for a plasma processing system according to an embodiment of the present invention;
[0025] FIG. 5 shows an expanded view of a lower end surface of a deposition shield for a plasma processing system according to an embodiment of the present invention;
[0026] FIG. 6 presents an expanded view of an opening in a deposition shield for a plasma processing system according to an embodiment of the present invention;
[0027] FIG. 7 presents an expanded cross sectional view of an opening taken along a major axis of the opening for a plasma processing system according to an embodiment of the present invention;
[0028] FIG. 8 shows a cross sectional view of a mating surface and a fastening surface of an opening for a plasma processing system according to another embodiment of the present invention;
[0029] FIG. 9 presents a method of producing a deposition shield for a plasma processing system according to an embodiment of the present invention;
[0030] FIG. 10 presents a method of producing a deposition shield for a plasma processing system according to another embodiment of the present invention; and
[0031] FIG. 11 presents a method of producing a deposition shield for a plasma processing system according to another embodiment of the present invention.
Detailed Description of an Embodiment
[0032] According to an embodiment of the present invention, a plasma processing system 1 is depicted in FIG. 1 comprising a plasma processing chamber 10, an upper assembly 20, an electrode plate 24, a substrate holder 30 for supporting a substrate 35, and a pumping duct 40 coupled to a vacuum pump (not shown) for providing a reduced pressure atmosphere 11 in plasma processing chamber 10. Plasma processing chamber 10 can facilitate the formation of a processing plasma in a process space 12 adjacent substrate 35. The plasma processing system 1 can be configured to process 200 mm substrates, 300 mm substrates, or larger. [0033] In the illustrated embodiment, upper assembly 20 can comprise at least one of a cover, a gas injection assembly, and an upper electrode impedance match network. For example, the electrode plate 24 can be coupled to an RF source. In another alternate embodiment, the upper assembly 20 comprises a cover and an electrode plate 24, wherein the electrode plate 24 is maintained at an electrical potential equivalent to that of the plasma processing chamber 10. For example, the plasma processing chamber 10, the upper assembly 20, and the electrode plate 24 can be electrically connected to ground potential.
[0034] Plasma processing chamber 10 can, for example, further comprise a deposition shield 14 for protecting the plasma processing chamber 10 from the processing plasma in the process space 12, and an optical viewport 16. Optical viewport 16 can comprise an optical window 17 coupled to the backside of an optical window deposition shield 18, and an optical window flange 19 can be configured to couple optical window 17 to the optical window deposition shield 18. Sealing members, such as O-rings, can be provided between the optical window flange 19 and the optical window 17, between the optical window 17 and the optical window deposition shield 18, and between the optical window deposition shield 18 and the plasma processing chamber 10. Optical viewport 16 can, for example, permit monitoring of optical emission from the processing plasma in process space 12. [0035] Substrate holder 30 can, for example, further comprise a vertical translational device 50 surrounded by a bellows 52 coupled to the substrate holder 30 and the plasma processing chamber 10, and configured to seal the vertical translational device 50 from the reduced pressure atmosphere 11 in plasma processing chamber 10. Additionally, a bellows shield 54 can, for example, be coupled to the substrate holder 30 and configured to protect the bellows 52 from the processing plasma. Substrate holder 10 can, for example, further be coupled to at least one of a focus ring 60, and a shield ring 62. Furthermore, a baffle plate 64 can extend about a periphery of the substrate holder 30. [0036] Substrate 35 can be, for example, transferred into and out of plasma processing chamber 10 through a slot valve (not shown) and chamber feed-through (not shown) via robotic substrate transfer system where it is received by substrate lift pins (not shown) housed within substrate holder 30 and mechanically translated by devices housed therein. Once substrate 35 is received from substrate transfer system, it is lowered to an upper surface of substrate holder 30. [0037] Substrate 35 can be, for example, affixed to the substrate holder 30 via an electrostatic clamping system. Furthermore, substrate holder 30 can, for example, further include a cooling system including a re-circulating coolant flow that receives heat from substrate holder 30 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system. Moreover, gas can, for example, be delivered to the back-side of substrate 35 via a backside gas system to improve the gas-gap thermal conductance between substrate 35 and substrate holder 30. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures. In other embodiments, heating elements, such as resistive heating elements, or thermo-electric heaters/coolers can be included.
[0038] In the illustrated embodiment, shown in FIG. 1 , substrate holder 30 can comprise an electrode through which RF power is coupled to the processing plasma in process space 12. For example, substrate holder 30 can be electrically biased at a RF voltage via the transmission of RF power from a RF generator (not shown) through an impedance match network (not shown) to substrate holder 30. The RF bias can serve to heat electrons to form and maintain plasma. In this configuration, the system can operate as a reactive ion etch (RIE) reactor, wherein the chamber and upper gas injection electrode serve as ground surfaces. A typical frequency for the RF bias can range from 1 MHz to 100 MHz and is preferably 13.56 MHz. RF systems for plasma processing are well known to those skilled in the art. [0039] Alternately, the processing plasma formed in process space 12 can be formed using a parallel-plate, capacitively coupled plasma (CCP) source, an inductively coupled plasma (ICP) source, any combination thereof, and with and without DC magnet systems. Alternately, the processing plasma in process space 12 can be formed using electron cyclotron resonance (ECR). In yet another embodiment, the processing plasma in process space 12 is formed from the launching of a Helicon wave. In yet another embodiment, the processing plasma in process space 12 is formed from a propagating surface wave. [0040] Referring now to an illustrated embodiment of the present invention depicted in FIGs. 2 (plan view) and 3 (partial cross sectional view), deposition shield 14 can comprise a cylinder having an inner surface 82, an outer surface 84, an upper end surface 86, and a lower end surface 88. Furthermore, for example, a thickness of the deposition shield 14, dictated by the distance from the inner surface 82 to the outer surface 84 of the deposition shield 14, can range from 1 to 50 mm. Desirably, the thickness can range from 5 to 20 mm, and, preferably, the thickness is at least 10 mm.
[0041] Deposition shield 14 can, for example, further comprise a plurality of fastening receptors 100, each fastening receptor 100 coupled to the upper end surface 86 and the lower end surface 88, and configured to receive fastening devices (such as bolts) (not shown) to couple deposition shield 14 to plasma processing system 1. FIG 4 shows an expanded cross sectional view of deposition shield 14 and one of the plurality of fastening receptors 100. The fastening receptors 100 can comprise an entrant region 102, an entrant cavity 104, an exit through-hole 106, and an interior fastener surface 108. Furthermore, the interior fastener surface 108 can, for example, comprise a first entrant surface 110, a first lip surface 112, a second entrant surface 114, a second lip surface 116, and an exit surface 118. For example, the number of fastening receptors 100 formed within deposition shield 14 can range from 0 to 100. Desirably, the number of fastening receptors 100 can range from 5 to 20; and, preferably, the number of fastening receptors 100 is at least 8.
[0042] Additionally, deposition shield 14 can, for example, comprise one or more tapped receptor holes 119 configured to receive a fastening device (such as a bolt) (not shown) and configured to couple deposition shield 14 with a component of the plasma processing chamber 10 such as the upper assembly 20. For example, the number of tapped receptor holes 119 formed within deposition shield 14 can range from 0 to 20. Desirably, the number of tapped receptor holes 119 can range from 1 to 10; and, preferably, the number of tapped receptor holes 119 is at least 2. [0043] FIG. 5 provides an expanded view of the lower end surface 88 of the deposition shield 14, wherein the lower end surface 88 further comprises an end lip surface 120 as shown. [0044] Referring again to FIG. 1 , deposition shield 14 can, for example, further comprise an opening 130 in order to accommodate access to the process space 12 through deposition shield 14. In an embodiment of the present invention, the opening 130 is not formed in deposition shield 14. In an alternate embodiment, the opening 130 is formed in deposition shield 14 to accommodate the insertion of at least one of a deposition shield plug (not shown) or an optical window deposition shield such as the one depicted in FIG. 1. For further details, co-pending United States patent application serial no. 10/XXX,XXX, entitled "Method and apparatus for an improved optical window deposition shield in a plasma processing system", Attorney docket no. 226276US6YA, filed on even date herewith, is incorporated herein by reference in its entirety.
[0045] In FIGs. 6 and 7, an expanded view of opening 130 in deposition shield 14 and a cross sectional view of opening 130, taken along a major axis of opening 130, respectively, are presented. As depicted in FIG. 7, opening 130 can further comprise a first opening surface 132 coupled to the inner surface 82 of the deposition shield 14, a second opening surface 134 coupled to the outer surface 84 of the deposition shield 14, and a mating surface 136 coupled to the first opening surface 132 and to the second opening surface 134. In addition, the mating surface 136 can comprise at least one tapped hole 138 for receiving a threaded fastener (not shown) in order to couple at least one of the chamber plug (not shown) or the optical window deposition shield (not shown) to the deposition shield 14. As shown in FIG. 8, the mating surface 136 further comprises a fastening surface 140 immediately adjacent to and extending within the tapped hole 138. Furthermore, for example, a width (along a major axis) of the opening 130 can range from 1 to 100 mm. Desirably, the width can range from 10 to 40 mm, and, preferably, the width is at least 25 mm. Furthermore, for example, a height (along a minor axis) of the opening 130 can range from 1 to 100 mm. Desirably, the height can range from 10 to 40 mm, and, preferably, the height is at least 15 mm.
[0046] Referring now to FIGs. 2 through 8, the deposition shield 14 further comprises a protective barrier 150 formed on a plurality of exposed surfaces 145 of the deposition shield 14. In an embodiment of the present invention, the exposed surfaces 145 can comprise the inner surface 82 of deposition shield 14, the upper end surface 86 of deposition shield 14, and the end lip surface 120 of the lower end surface 88 of deposition shield 14. Alternately, the exposed surfaces can further comprise the first opening surface 132 of opening 130, and the mating surface 136 excluding the fastening surface 140 of opening 130.
[0047] In an embodiment of the present invention, the protective barrier 150 can comprise a compound including an oxide of aluminum such as AI2O3. In another embodiment of the present invention, the protective barrier 150 can comprise a mixture of Al203 and Y2O3. In another embodiment of the present invention, the protective barrier 150 can comprise at least one of a Ill-column element (column III of periodic table) and a Lanthanon element. In another embodiment of the present invention, the Ill-column element can comprise at least one of Yttrium, Scandium, and Lanthanum. In another embodiment of the present invention, the Lanthanon element can comprise at least one of Cerium, Dysprosium, and Europium. In another embodiment of the present invention, the compound forming protective barrier 150 can comprise at least one of Yttria (Y2O3), Sc203, Sc2F3, YF3, La2O3, CeO2, Eu2O3, and DyO3.
[0048] In an embodiment of the present invention, the protective barrier 150 formed on deposition shield 14 comprises a minimum thickness, wherein the minimum thickness can be specified as constant across at least one of the exposed surfaces 145. In another embodiment, the minimum thickness can be variable across the exposed surfaces 145. Alternately, the minimum thickness can be constant over a first portion of an exposed surface and variable over a second portion of an exposed surface. For example, a variable thickness can occur on a curved surface, on a corner, or in a hole. For example, the minimum thickness can range from 0.5 micron to 500 micron. Desirably, the minimum thickness can range from 100 micron to 200 micron, and, preferably, the minimum thickness is at least 120 micron. [0049] FIG. 9 presents a method of producing the deposition shield in the plasma processing system described in FIG. 1 according to an embodiment of the present invention. A flow diagram 300 begins in 310 with fabricating the deposition shield 14 (as described above). Fabricating the deposition shield can comprise at least one of machining, casting, polishing, forging, and grinding. For example, each of the elements described above can be machined according to specifications set forth on a mechanical drawing, using conventional techniques including a mill, a lathe, etc. The techniques for machining a component using, for example, a mill or a lathe, are well known to those skilled in the art of machining. The deposition shield can, for example, be fabricated from aluminum. [0050] In 320, the deposition shield is anodized to form a surface anodization layer. For example, when fabricating the deposition shield from aluminum, the surface anodization layer can comprise aluminum oxide (Al203). Methods of anodizing aluminum components are well known to those skilled in the art of surface anodization.
[0051] In 330, the surface anodization layer is removed from the exposed surfaces 145 using standard machining techniques. In an embodiment of the present invention, the exposed surfaces comprise the inner surface of the deposition shield, the upper end surface of the deposition shield, and the end lip surface of the lower end surface of the deposition shield. Alternately, the exposed surfaces can further comprise the first opening surface of the opening, and the mating surface excluding the fastening surface of the opening in the deposition shield. In this step, or in a separate step, additional non-exposed surfaces may also be machined. Such non- exposed surfaces may be machined in order to provide better mechanical or electrical contacts between those parts and the parts with which they are mated. [0052] In 340, a protective barrier is formed on the exposed surfaces 145. A protective barrier comprising, for example Yttria, can be formed using (thermal) spray coating techniques that are well known to those skilled in the art of ceramic spray coatings. In an alternate embodiment, forming the protective barrier can further comprise polishing (or smoothing) the thermal spray coating. For example, polishing the thermal spray coating can comprise the application of sand paper to the sprayed surfaces.
[0053] FIG. 10 presents a method of producing the deposition shield in the plasma processing system described in FIG. 1 according to another embodiment of the present invention. A flow diagram 400 begins in 410 with fabricating the deposition shield 14 (as described above). Fabricating the deposition shield can comprise at least one of machining, casting, polishing, forging, and grinding. For example, each of the elements described above can be machined according to specifications set forth on a mechanical drawing, using conventional techniques including a mill, a lathe, etc. The techniques for machining a component using, for example, a mill or a lathe, are well known to those skilled in the art of machining. The deposition shield can, for example, be fabricated from aluminum.
[0054] In 420, exposed surfaces are masked to prevent the formation of a surface anodization layer thereon. In this step, or in a separate step, additional non-exposed surfaces may be masked. Such non-exposed surfaces may be masked in order to provide better mechanical or electrical contacts between those parts and the parts with which they are mated. Techniques for surface masking and unmasking are well known to those skilled in the art of surface coatings and surface anodization. [0055] In 430, the deposition shield is anodized to form a surface anodization layer on the remaining unmasked surfaces. For example, when fabricating the deposition shield from aluminum, the surface anodization layer can comprise aluminum oxide (AI2O3). Methods of anodizing aluminum components are well known to those skilled in the art of surface anodization.
[0056] In 440, a protective barrier 150 is formed on the exposed surfaces 145. A protective barrier comprising, for example Yttria, can be formed using (thermal) spray coating techniques that are well known to those skilled in the art of ceramic spray coatings. In an alternate embodiment, forming the protective barrier can further comprise polishing (or smoothing) the thermal spray coating. For example, polishing the thermal spray coating can comprise the application of sand paper to the sprayed surfaces.
[0057] FIG. 11 presents a method of producing the deposition shield in the plasma processing system described in FIG. 1 according to another embodiment of the present invention. A flow diagram 500 begins in 510 with fabricating the deposition shield 14 (as described above). Fabricating the deposition shield can comprise at least one of machining, casting, polishing, forging, and grinding. For example, each of the elements described above can be machined according to specifications set forth on a mechanical drawing, using conventional techniques including a mill, a lathe, etc. The techniques for machining a component using, for example, a mill or a lathe, are well known to those skilled in the art of machining. The deposition shield can, for example, be fabricated from aluminum.
[0058] In 520, a protective barrier is formed on exposed surfaces of the deposition shield. A protective barrier comprising, for example Yttria, can be formed using (thermal) spray coating techniques that are well known to those skilled in the art of ceramic spray coatings. In an embodiment of the present invention, the exposed surfaces comprise the inner surface of the deposition shield, the upper end surface of the deposition shield, and the end lip surface of the lower end surface of the deposition shield. Alternately, the exposed surfaces can further comprise the first opening surface of the opening, and the mating surface excluding the fastening surface of the opening in the deposition shield. Alternately, the exposed surfaces comprise all surfaces on the deposition shield. In an alternate embodiment, forming the protective barrier can further comprise polishing (or smoothing) the thermal spray coating. For example, polishing the thermal spray coating can comprise the application of sand paper to the sprayed surfaces.
[0059] The processes of forming a protective barrier 150 on the exposed surfaces 145, described with reference to FIGs. 9-11 can be modified to utilize a combination of machining and masking. In such a modified process, at least one exposed surface 145 is masked to prevent formation of the anodization layer thereon while other exposed surfaces 145 are anodized. The exposed surfaces 145 that are unmasked are then machined, and the exposed surfaces that were masked are unmasked. The protective barrier 150 can then be formed on all the exposed surfaces 145. As described above, additional surfaces that are not exposed surfaces may also be machined during the method (e.g., in order to provide a better mechanical or electrical contact than would be formed with the anodization layer thereon).
[0060] Although only certain exemplary embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims

CLAIMS: What is claimed is:
1. An improved deposition shield for surrounding a process space in a plasma processing system comprising: a cylinder comprising an inner surface, an outer surface, an upper end surface, and a lower end surface, wherein said lower end surface further comprises an end lip surface; and a protective barrier coupled to a plurality of exposed surfaces of said deposition shield, wherein said exposed surfaces comprise said inner surface, said upper end surface, and said end lip surface of said lower end surface.
2. The improved deposition shield as recited in claim 1 , wherein said deposition shield further comprises a plurality of fastening receptors coupled to said upper end surface and said lower end surface of said deposition shield and configured to receive fastening devices in order to couple said deposition shield to said plasma processing system.
3. The improved deposition shield as recited in claim 2, wherein each of said plurality of fastening receptors comprises an entrant region, an entrant cavity, an exit through-hole, and an interior fastening surface.
4. The improved deposition shield as recited in claim 3, wherein said interior fastening surface of each of said plurality of fastening receptors comprises a first entrant surface, a first lip surface, a second entrant surface, a second lip surface, and an exit surface.
5. The improved deposition shield as recited in claim 1 , wherein said deposition shield further comprises an opening in order to access said process space through said deposition shield.
6. The improved deposition shield as recited in claim 5, wherein said opening comprises a first opening surface, a second opening surface, and a mating surface.
7. The improved deposition shield as recited in claim 6, wherein said mating surface comprises at least one threaded hole and a fastening surface coupled thereto.
8. The improved deposition shield as recited in claim 1 , further comprising a metal.
9. The improved deposition shield as recited in claim 8, wherein said metal comprises aluminum.
10. The improved deposition shield as recited in claim 1 , wherein said protective barrier comprises a compound containing at least one of a Ill-column element and a Lanthanon element.
11. The improved deposition shield as recited in claim 10, wherein said Ill- column element comprises at least one of Yttrium, Scandium, and Lanthanum.
12. The improved deposition shield as recited in claim 10, wherein said Lanthanon element comprises at least one of Cerium, Dysprosium, and Europium.
13. The improved deposition shield as recited in claim 1 , wherein said protective barrier comprises at least one of Y2O3, Sc2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, and DyO3.
14. The improved deposition shield as recited in claim 1 , wherein said protective barrier comprises a minimum thickness and said minimum thickness is constant across at least one of said exposed surfaces.
15. The improved deposition shield as recited in claim 1 , wherein said protective barrier comprises a variable thickness and said variable thickness ranging from 0.5 to 500 microns.
16. The improved deposition shield as recited in claim 6, wherein said plurality of exposed surfaces further comprise said first opening surface of said opening, and said mating surface of said opening in said deposition shield.
17. The improved deposition shield as recited in claim 7, wherein said plurality of exposed surfaces further comprise said first opening surface of said opening, and said mating surface excluding said fastening surface of said opening in said deposition shield.
18. The improved deposition shield as recited in claim 17, wherein said plurality of exposed surfaces further comprise said second opening surface of said opening.
19. The improved deposition shield as recited in claim 7, wherein said plurality of exposed surfaces further comprise said first opening surface of said opening, and said mating surface including said fastening surface of said opening in said deposition shield.
20. The improved deposition shield as recited in claim 19, wherein said plurality of exposed surfaces further comprise said second opening surface of said opening.
21. The improved deposition shield as recited in claim 19, wherein said outer surface comprises an anodization layer.
22. The improved deposition shield as recited in claim 19, wherein said second opening surface comprises an anodization layer.
23. The improved deposition shield as recited in claim 19, wherein said mating surface comprises a metallic surface.
24. The improved deposition shield as recited in claim 1 , wherein said cylinder comprises a diameter greater than 200 mm.
25. A method of producing an improved deposition shield for surrounding a process space in a plasma processing system, said method comprising: fabricating said deposition shield, said deposition shield comprising an inner surface, an outer surface, an upper end surface, and a lower end surface, wherein said lower end surface further comprises an end lip surface; and forming a protective barrier on exposed surfaces, said exposed surfaces comprising said inner surface of said deposition shield, said upper end surface of said deposition shield, and said end lip surface of said lower end surface of said deposition shield.
26. The method as recited in claim 25, said method further comprising: anodizing said deposition shield to form a surface anodization layer on said deposition shield; and removing said surface anodization layer on said exposed surfaces.
27. The method as recited in claim 26, wherein said removing comprises at least one of machining, smoothing, polishing, and grinding.
28. The method as recited in claim 25, said method further comprising: masking said exposed surfaces on said deposition shield to prevent formation of a surface anodization layer; anodizing said deposition shield to form a surface anodization layer on the unmasked surfaces of said deposition shield; and unmasking said exposed surfaces.
29. The method as recited in claim 25, wherein said fabricating comprises at least one of machining, coating, masking, unmasking, casting, polishing, forging, and grinding.
30. The method as recited in claim 25, wherein said forming comprises at least one of spraying, heating, and cooling
31. The method as recited in claim 25, said method further comprising smoothing said protective barrier.
32. The method as recited in claim 25, wherein said deposition shield further comprises a plurality of fastening receptors coupled to said upper end surface and said lower end surface of said deposition shield and configured to receive fastening devices in order to couple said deposition shield to said plasma processing system.
33. The method as recited in claim 32, wherein each of said plurality of fastening receptors comprises an entrant region, an entrant cavity, an exit through- hole, and an interior fastening surface.
34. The method as recited in claim 33, wherein said interior fastening surface of each of said plurality of fastening receptors comprises a first entrant surface, a first lip surface, a second entrant surface, a second lip surface, and an exit surface.
35. The method as recited in claim 34, further comprising machining said first entrant surface, said first lip surface, said second entrant surface, said second lip surface, and said exit surface.
36. The method as recited in claim 25, wherein said deposition shield further comprises an opening in order to access said process space through said deposition shield.
37. The method as recited in claim 36, wherein said opening comprises a first opening surface, a second opening surface, and a mating surface.
38. The method as recited in claim 37, wherein said mating surface comprises at least one threaded hole and a fastening surface coupled thereto.
39. The method as recited in claim 38, wherein said plurality of exposed surfaces further comprise said first opening surface of said opening and said fastening surface of said mating surface of said opening.
40. The method as recited in claim 38, wherein said plurality of exposed surfaces further comprises said first opening surface of said opening and said mating surface excluding said fastening surface of said opening.
41. The method as recited in claim 37, wherein said plurality of exposed surfaces further comprise said second opening surface of said opening.
42. The method as recited in claim 25, further comprising a metal.
43. The method as recited in claim 42, wherein said metal comprises aluminum.
44. The method as recited in claim 25, wherein said protective barrier comprises a compound containing at least one of a Ill-column element and a Lanthanon element.
45. The method as recited in claim 44, wherein said Ill-column element comprises at least one of Yttrium, Scandium, and Lanthanum.
46. The method as recited in claim 44, wherein said Lanthanon element comprises at least one of Cerium, Dysprosium, and Europium.
47. The method as recited in claim 25, wherein said protective barrier comprises at least one of Y2O3, Sc2O3, Sc2F3, YF3, La2θ3, CeO2, Eu2O3, and Dyθ3-
48. The improved deposition shield as recited in claim 25, wherein said protective barrier comprises a minimum thickness and said minimum thickness is constant across at least one of said exposed surfaces.
49. The improved deposition shield as recited in claim 25, wherein said protective barrier comprises a variable thickness and said variable thickness ranging from 0.5 to 500 microns.
50. A method of producing an improved deposition shield for surrounding a process space in a plasma processing system, said method comprising the steps: fabricating said deposition shield, said deposition shield comprising an inner surface, an outer surface, an upper end surface, and a lower end surface, wherein said lower end surface further comprises an end lip surface; anodizing said deposition shield to form a surface anodization layer on said deposition shield; machining exposed surfaces on said deposition shield to remove said surface anodization layer, said exposed surfaces comprising said inner surface of said deposition shield, said upper end surface of said deposition shield, and said end lip surface of said lower end surface of said deposition shield; and forming a protective barrier on the exposed surfaces.
51. The method as recited in claim 50, wherein said protective barrier comprises a compound containing at least one of a Ill-column element and a Lanthanon element.
52. The method as recited in claim 50, wherein said protective barrier comprises at least one of Y2O3, Sc2O3, Sc2F3, YF3, La2O3, CeO2, Eu2O3, and DyO3.
53. A method of producing an improved deposition shield for surrounding a process space in a plasma processing system, said method comprising the steps: fabricating said deposition shield, said deposition shield comprising an inner surface, an outer surface, an upper end surface, and a lower end surface, wherein said lower end surface further comprises an end lip surface; masking exposed surfaces on said deposition shield to prevent formation of a surface anodization layer, said exposed surfaces comprising said inner surface of said deposition shield, said upper end surface of said deposition shield, and said end lip surface of said lower end surface of said deposition shield; anodizing said deposition shield to form a surface anodization layer on said deposition shield; and forming a protective barrier on the exposed surfaces.
54. The method as recited in claim 53, wherein said protective barrier comprises a compound containing at least one of a Ill-column element and a Lanthanon element.
55. The method as recited in claim 53, wherein said protective barrier comprises at least one of Y203, Sc2O3, Sc2F3, YF3, La2O3, Ce02, Eu2O3, and DyO3.
PCT/IB2003/004808 2002-09-30 2003-09-29 Improved deposition shield in a plasma processing system,and methods of manufacture of such shield WO2004030426A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2004539380A JP2006501608A (en) 2002-09-30 2003-09-29 Method and apparatus for improved deposition shield in a plasma processing system
AU2003272031A AU2003272031A1 (en) 2002-09-30 2003-09-29 Improved deposition shield in a plasma processing system,and methods of manufacture of such shield

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/259,353 2002-09-30
US10/259,353 US7137353B2 (en) 2002-09-30 2002-09-30 Method and apparatus for an improved deposition shield in a plasma processing system

Publications (2)

Publication Number Publication Date
WO2004030426A2 true WO2004030426A2 (en) 2004-04-08
WO2004030426A3 WO2004030426A3 (en) 2004-12-29

Family

ID=32029490

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/IB2003/004808 WO2004030426A2 (en) 2002-09-30 2003-09-29 Improved deposition shield in a plasma processing system,and methods of manufacture of such shield

Country Status (6)

Country Link
US (2) US7137353B2 (en)
JP (2) JP2006501608A (en)
KR (1) KR100704069B1 (en)
CN (1) CN100466153C (en)
AU (1) AU2003272031A1 (en)
WO (1) WO2004030426A2 (en)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (en) * 1999-12-10 2001-07-07 히가시 데쓰로 Processing apparatus with a chamber having therein a high-etching resistant sprayed film
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
US7291566B2 (en) * 2003-03-31 2007-11-06 Tokyo Electron Limited Barrier layer for a processing element and a method of forming the same
US7241345B2 (en) * 2003-06-16 2007-07-10 Applied Materials, Inc. Cylinder for thermal processing chamber
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7959984B2 (en) * 2004-12-22 2011-06-14 Lam Research Corporation Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060218680A1 (en) * 2005-03-28 2006-09-28 Bailey Andrew D Iii Apparatus for servicing a plasma processing system with a robot
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
CN101010448B (en) * 2005-06-23 2010-09-29 东京毅力科创株式会社 Constitutional member for semiconductor processing apparatus and method for producing same
US7319316B2 (en) 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
US20070215278A1 (en) * 2006-03-06 2007-09-20 Muneo Furuse Plasma etching apparatus and method for forming inner wall of plasma processing chamber
JP2008177479A (en) * 2007-01-22 2008-07-31 Tokyo Electron Ltd Component used for plasma processing apparatus and its manufacturing method
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US20080268281A1 (en) * 2007-04-27 2008-10-30 Quan Bai Shield Components With Enhanced Thermal and Mechanical Stability
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US20090056625A1 (en) * 2007-08-29 2009-03-05 United Microelectronics Corp. Shielding member of processing system
JP5351625B2 (en) * 2009-06-11 2013-11-27 三菱重工業株式会社 Plasma processing equipment
JP5302813B2 (en) * 2009-07-28 2013-10-02 東京エレクトロン株式会社 Deposit control cover and plasma processing apparatus
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
KR101091309B1 (en) * 2009-08-18 2011-12-07 주식회사 디엠에스 Plasma etching device
CN102586724B (en) * 2012-02-28 2014-08-13 南京航空航天大学 Method for diffusing and plating aluminum on surface of titanium-aluminium intermetallic compound
JP6544902B2 (en) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 Plasma processing system
CN104576828B (en) * 2014-12-24 2017-08-25 新奥光伏能源有限公司 The preparation method of heterojunction solar battery and the mould for producing battery
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US11424104B2 (en) * 2017-04-24 2022-08-23 Applied Materials, Inc. Plasma reactor with electrode filaments extending from ceiling
US10563303B2 (en) 2017-05-10 2020-02-18 Applied Materials, Inc. Metal oxy-flouride films based on oxidation of metal flourides
US10786821B2 (en) * 2018-07-31 2020-09-29 Nordson Corporation Systems and methods for coating with shear and moisture sensitive materials
JP7274347B2 (en) * 2019-05-21 2023-05-16 東京エレクトロン株式会社 Plasma processing equipment

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0814495A2 (en) * 1996-06-20 1997-12-29 Applied Materials, Inc. Adjusting DC bias voltage in plasma chamber
EP0841838A1 (en) * 1996-05-27 1998-05-13 Sumitomo Metal Industries, Ltd. Plasma treatment apparatus and plasma treatment method
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
WO1999050886A1 (en) * 1998-03-31 1999-10-07 Lam Research Corporation Contamination controlling method and plasma processing chamber
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same

Family Cites Families (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (en) 1981-09-30 1983-04-05 Sony Corp Preparation of green fluorescent material
JPH065155B2 (en) 1984-10-12 1994-01-19 住友金属工業株式会社 Furnace wall repair device for kiln
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
NO163412B (en) 1988-01-25 1990-02-12 Elkem Technology The plasma torch.
DE69015715T2 (en) 1989-07-11 1995-08-17 Sony Corp Method of heat treating an optical oxide crystal and heat treatment apparatus therefor.
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
GB2242443B (en) 1990-03-28 1994-04-06 Nisshin Flour Milling Co Coated particles of inorganic or metallic materials and processes of producing the same
US5180467A (en) 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
DE4103994A1 (en) 1991-02-11 1992-08-13 Inst Elektroswarki Patona PROTECTIVE COVER OF THE METAL-CERAMIC TYPE FOR ITEMS OF HEAT-RESISTANT ALLOYS
EP0508731B1 (en) 1991-04-09 1996-09-18 The Tokyo Electric Power Co., Inc. Use of an oxide coating to enhance the resistance to oxidation and corrosion of a silicon nitride based gas turbine blade
JP3175189B2 (en) 1991-05-13 2001-06-11 ソニー株式会社 Low pressure CVD equipment
AU4302893A (en) 1992-06-01 1993-12-30 Ice Blast International Ltd. Particle blasting utilizing crystalline ice
JPH06224137A (en) 1992-06-05 1994-08-12 Applied Materials Inc Integrated circuit structure processor having chemical corrosion resistant aluminum oxide protective film on surface of its quartz window which is in contact with corrosive chemical substance
KR100276093B1 (en) 1992-10-19 2000-12-15 히가시 데쓰로 Plasma etching system
US5725960A (en) 1992-12-28 1998-03-10 Nippon Zeon Co., Ltd. Molded articles having hard coat layer and method for producing same
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (en) * 1993-03-31 2002-06-20 히가시 데쓰로 Plasma processing apparatus
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (en) 1994-02-03 2002-07-29 東京エレクトロン株式会社 Surface treatment method and plasma treatment device
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
TW321821B (en) 1994-05-17 1997-12-01 Hitachi Ltd
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
DE9421671U1 (en) 1994-08-26 1996-07-11 Siemens Ag Discharge chamber for a plasma etching system in semiconductor production
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5902763A (en) 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3595608B2 (en) 1995-05-30 2004-12-02 アネルバ株式会社 Vacuum processing apparatus, method for removing deposited film on inner surface of vacuum vessel in vacuum processing apparatus, and method for uniforming film deposition on inner surface of vacuum vessel in vacuum processing apparatus
JP3208044B2 (en) 1995-06-07 2001-09-10 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
TW434745B (en) 1995-06-07 2001-05-16 Tokyo Electron Ltd Plasma processing apparatus
JP3164200B2 (en) 1995-06-15 2001-05-08 住友金属工業株式会社 Microwave plasma processing equipment
US5534751A (en) * 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
DE19529627C1 (en) 1995-08-11 1997-01-16 Siemens Ag Thermally conductive, electrically insulating connection and method for its production
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (en) 1996-02-28 1997-09-09 Nittetsu Hard Kk Formation of thermally sprayed coating
CN1074689C (en) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 Method of producing on substrate of protective coatings with chemical composition and structure gradient across thickness and with top ceramic layer
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5892278A (en) 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US6092486A (en) * 1996-05-27 2000-07-25 Sumimoto Metal Indsutries, Ltd. Plasma processing apparatus and plasma processing method
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JP3241270B2 (en) 1996-06-25 2001-12-25 日本政策投資銀行 Thermoelectric converter
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5882411A (en) 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
DE19710977A1 (en) 1997-03-17 1998-09-24 Clariant Gmbh Illustration gravure ink based on C.I. Pigment Yellow 139
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
KR100226708B1 (en) 1997-06-26 1999-10-15 전주범 Address generator for counting memory of quadrature division band channel equalizer
JP3707229B2 (en) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 Electrophotographic photosensitive member and electrophotographic image forming apparatus using the same
JP3362113B2 (en) 1997-07-15 2003-01-07 日本碍子株式会社 Corrosion-resistant member, wafer mounting member, and method of manufacturing corrosion-resistant member
JPH1136076A (en) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd deposition apparatus and cvd deposition method
JP3779444B2 (en) 1997-07-28 2006-05-31 富士写真フイルム株式会社 Positive photosensitive composition for infrared laser
KR19990008937U (en) 1997-08-13 1999-03-05 이문세 Soundproof wall assembly
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
KR100258984B1 (en) * 1997-12-24 2000-08-01 윤종용 Dry etching apparatus
JP4217299B2 (en) 1998-03-06 2009-01-28 東京エレクトロン株式会社 Processing equipment
KR100265288B1 (en) 1998-04-22 2000-10-02 윤종용 Baffle of etching equipment for fabricating semiconductor device
JP4037956B2 (en) * 1998-04-28 2008-01-23 東海カーボン株式会社 Chamber inner wall protection member
JP3810039B2 (en) 1998-05-06 2006-08-16 キヤノン株式会社 Stage equipment
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (en) 1998-08-31 2008-10-08 東京エレクトロン株式会社 Plasma processing apparatus and detection window
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (en) 1998-10-09 2000-04-10 株式会社協同インターナショナル Method for cleaning film forming apparatus, method for cleaning sputtering target, and cleaning apparatus used for these
JP2000124197A (en) 1998-10-16 2000-04-28 Hitachi Ltd Plasma treatment apparatus
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
TW465017B (en) 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (en) 1999-04-16 2007-05-09 東京エレクトロン株式会社 Processing apparatus and surface treatment method for metal parts
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (en) 1999-07-05 2001-01-26 Mitsubishi Electric Corp Plasma processing apparatus
JP2001031484A (en) 1999-07-22 2001-02-06 Nihon Ceratec Co Ltd Corrosion-resistant composite member
US6387817B1 (en) 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (en) 1999-09-08 2009-06-24 東京エレクトロン株式会社 Processing method
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP2001152307A (en) 1999-11-29 2001-06-05 Nippon Steel Hardfacing Co Ltd Method of forming corrosion resisting combined coating standing long use, and member having the composite coating
JP3510993B2 (en) 1999-12-10 2004-03-29 トーカロ株式会社 Plasma processing container inner member and method for manufacturing the same
KR20010062209A (en) * 1999-12-10 2001-07-07 히가시 데쓰로 Processing apparatus with a chamber having therein a high-etching resistant sprayed film
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
JP3567855B2 (en) 2000-01-20 2004-09-22 住友電気工業株式会社 Wafer holder for semiconductor manufacturing equipment
JP4272786B2 (en) 2000-01-21 2009-06-03 トーカロ株式会社 Electrostatic chuck member and manufacturing method thereof
DE50100469D1 (en) 2000-03-15 2003-09-11 Paul-Eric Preising CLEANING METHOD AND DEVICE FOR HIGH-VOLTAGE SYSTEM PARTS
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (en) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 CVD equipment
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002134481A (en) 2000-10-25 2002-05-10 Taiheiyo Cement Corp Member for vacuum treating apparatus
JP2002151473A (en) * 2000-11-13 2002-05-24 Tokyo Electron Ltd Plasma processing apparatus and its assembling method
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
CN101250680B (en) * 2000-12-12 2013-06-26 东京毅力科创株式会社 Member inside container for plasma treatment, and apparatus for plasma treatment
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6790242B2 (en) 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6805952B2 (en) 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6537429B2 (en) 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6533910B2 (en) 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
EP1358660B1 (en) 2001-02-07 2008-08-13 Hitachi Metals, Ltd. Method of making material alloy for iron-based rare earth magnet
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
TW541586B (en) 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (en) 2001-07-24 2004-05-17 삼성전자주식회사 Dry Etching Apparatus for Manufacturing Semiconductor Devices
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
ATE407458T1 (en) 2001-09-21 2008-09-15 Fujifilm Corp ORGANIC ELECTROLUMINescent DEVICE
KR100440500B1 (en) 2001-12-07 2004-07-15 주식회사 코미코 Ceramic parts production and repair for semiconductor fabrication by plasma spray process
GB2383833A (en) 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US7311797B2 (en) 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) * 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (en) * 2002-08-02 2004-12-03 삼성전자주식회사 Process chamber for using semiconductor fabricating equipment
JP3776856B2 (en) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20040060779A1 (en) 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
TW200423195A (en) 2002-11-28 2004-11-01 Tokyo Electron Ltd Internal member of a plasma processing vessel
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
JP2004241203A (en) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp Treatment method of plasma treatment chamber wall
CN100418187C (en) * 2003-02-07 2008-09-10 东京毅力科创株式会社 Plasma processing device, annular element and plasma processing method
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7291566B2 (en) 2003-03-31 2007-11-06 Tokyo Electron Limited Barrier layer for a processing element and a method of forming the same
CN100495413C (en) 2003-03-31 2009-06-03 东京毅力科创株式会社 A method for adjoining adjacent coatings on a processing element
JP4238882B2 (en) 2006-06-09 2009-03-18 トヨタ自動車株式会社 Ejector system for vehicles

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0841838A1 (en) * 1996-05-27 1998-05-13 Sumitomo Metal Industries, Ltd. Plasma treatment apparatus and plasma treatment method
EP0814495A2 (en) * 1996-06-20 1997-12-29 Applied Materials, Inc. Adjusting DC bias voltage in plasma chamber
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
WO1999050886A1 (en) * 1998-03-31 1999-10-07 Lam Research Corporation Contamination controlling method and plasma processing chamber
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same

Also Published As

Publication number Publication date
JP5165039B2 (en) 2013-03-21
US20040060657A1 (en) 2004-04-01
US20070028839A1 (en) 2007-02-08
KR100704069B1 (en) 2007-04-06
JP2011049173A (en) 2011-03-10
CN100466153C (en) 2009-03-04
US7137353B2 (en) 2006-11-21
AU2003272031A1 (en) 2004-04-19
KR20050061497A (en) 2005-06-22
US8117986B2 (en) 2012-02-21
JP2006501608A (en) 2006-01-12
AU2003272031A8 (en) 2004-04-19
WO2004030426A3 (en) 2004-12-29
CN1685465A (en) 2005-10-19

Similar Documents

Publication Publication Date Title
US7137353B2 (en) Method and apparatus for an improved deposition shield in a plasma processing system
US6798519B2 (en) Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7678226B2 (en) Method and apparatus for an improved bellows shield in a plasma processing system
US6837966B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166166B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system
US7566368B2 (en) Method and apparatus for an improved upper electrode plate in a plasma processing system
US7560376B2 (en) Method for adjoining adjacent coatings on a processing element
US7291566B2 (en) Barrier layer for a processing element and a method of forming the same

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020057005435

Country of ref document: KR

Ref document number: 2004539380

Country of ref document: JP

Ref document number: 20038232448

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020057005435

Country of ref document: KR

122 Ep: pct application non-entry in european phase