WO2004031861A2 - Method for fabrication of diffractive optical elements for maskless lithography - Google Patents

Method for fabrication of diffractive optical elements for maskless lithography Download PDF

Info

Publication number
WO2004031861A2
WO2004031861A2 PCT/US2003/031367 US0331367W WO2004031861A2 WO 2004031861 A2 WO2004031861 A2 WO 2004031861A2 US 0331367 W US0331367 W US 0331367W WO 2004031861 A2 WO2004031861 A2 WO 2004031861A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
lithography
pattern
array
resist area
Prior art date
Application number
PCT/US2003/031367
Other languages
French (fr)
Other versions
WO2004031861A3 (en
Inventor
Dario Gil
Jeffrey T. Hastings
James G. Goodberlet
Rajesh Menon
David J. Carter
Henry I. Smith
Original Assignee
Massachusetts Institute Of Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Massachusetts Institute Of Technology filed Critical Massachusetts Institute Of Technology
Priority to AU2003279127A priority Critical patent/AU2003279127A1/en
Publication of WO2004031861A2 publication Critical patent/WO2004031861A2/en
Publication of WO2004031861A3 publication Critical patent/WO2004031861A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • G03F7/70291Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70275Multiple projection paths, e.g. array of projection systems, microlens projection systems or tandem projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam

Definitions

  • the invention relates to maskless lithography, and relates in particular to maskless lithography using arrays of focusing elements.
  • U.S. Patent No. 5,900,637 discloses a system for maskless lithography that involves the focusing of incident energy from a single energy source onto a substrate as focused beamlets .
  • the arrangement includes micro-mechanical devices with actuatable shutters that turn the focused beams on and off in response to commands from a control computer.
  • the substrate is scanned under the array, while the individual beams are turned on and off as needed by means of the micro-mechanical shutters, one associated with each zone plate.
  • These shutters are disclosed to be located either between the zone plate array and the substrate, or between the zone plate array and the source of radiation.
  • the '637 patent also discloses a lithography system that includes an array of micro-mechanical, deflectable glancing-angle mirrors that may be used to turn individual focused beams on and off.
  • zone plate arrays be very precise and uniform without significant variation.
  • Diffractive elements such as zone plates may be formed using step approximation, which requires aligned lithography and an etch for each level of stepping in forming the diffractive elements .
  • Other diffractive elements may be formed by 3D patterning in which grayscale resist exposures are used, or using a low selectivity reactive ion-etching step. In certain applications, however, the use of individually created zones on zone plates may not be appropriate.
  • a conventional process for fabricating UN and DUN zone plates typically involves defining the area where the diffractive optics would be placed in subsequent steps. Chromium (Cr) is used as the absorber material in this process, but a number of other materials may also be employed. The diffractive optics are then defined, and the resist patterns are then transferred into the fused silica substrate by means of reactive-ion-etching.
  • This and other conventional fabrication procedures requiring multilevel alignment are difficult to generalize to create very large arrays with commercial high-throughput electron-beam-lithography systems. The chief problem arises from the fact that commercial mask makers (both electron-beam- lithography and laser based systems), the only systems with sufficient throughput to write large arrays, are not set-up for multi-level alignment. There remains a need therefore, for an improved method of forming arrays of zone plates or other diffractive elements for a maskless lithography system.
  • the invention provides a method for forming an array of focusing elements for use in a lithography system.
  • the method involves varying an exposure characteristic over an area of a substrate during lithography to provide focusing elements of varying thickness in certain embodiments.
  • the substrate may include hydrogen silsesquioxane, and the thereby formed focusing elements may be formed of SiO 2 and may vary in thickness to provide blazed Fresnel zone plates in accordance with certain embodiments.
  • the method includes the steps of providing a first pattern via lithography in a substrate, depositing a conductive absorber material on the substrate, applying an electrical potential to at least a first portion of the conductive absorber material, leaving a second portion of the conductive material without the electrical potential, and etching the second portion of the conductive material to provide a first pattern on the substrate that is aligned with the first portion of the conductive absorber material.
  • the substrate may be formed of hydrogen silsesquioxane
  • the first pattern may be formed by electron beam lithography and the conductive absorber material may include chromium.
  • the first pattern may include an array of focusing elements for use in a lithography system.
  • Figure 1 shows an illustrative diagrammatic schematic view of the use of different exposure criteria using hydrogen silsesquioxane (HSQ) to form arrays of diffractive elements in accordance with an embodiment of the invention
  • Figure 2 shows an illustrative diagrammatic graphical view of the changes in the thickness of a resist as a result of change in dose delivered by an electron beam to form arrays of diffractive elements in accordance with an embodiment of the invention
  • Figure 3 shows an illustrative diagrammatic graphical view of the rate of development versus the dose delivered in a system using HSQ to form arrays of diffractive elements in accordance with an embodiment of the invention
  • Figure 4 shows an illustrative diagrammatic side view of a portion of an array of diffractive elements in accordance with an embodiment of the invention
  • Figure 5 shoes an illustrative diagrammatic graphical view of a dose required across each blazed portion of the grating shown in Figure 4 in order to achieve a linear slope for each blazed portion of the grating;
  • Figures 6A - 6C show illustrative scanning electron micrographs of blazed structures fabricated using HSQ;
  • Figure 6D shows an illustrative scanning electron micrograph of a cylindrical lens fabricated using HSQ;
  • Figures 7A - 7C show illustrative diagrammatic views of Fresnel zone plates for use in arrays of focusing elements in accordance with further embodiments of the invention
  • Figure 8 shows an illustrative diagrammatic schematic view of an embodiment of a maskless lithography system including diffractive elements made in accordance with an embodiment of the invention
  • Figure 9 shows an illustrative diagrammatic schematic view of another embodiment of a maskless lithography system including diffractive elements made in accordance with an embodiment of the invention.
  • Figure 10 shows an illustrative diagrammatic isometric view of a diffractive optical array in accordance with an embodiment of the invention with a layer of absorbing material surrounding the optics to prevent undesired radiation from reaching the substrate;
  • Figure 11 shows an illustrative diagrammatic side view of a fused silica wafer with a phase zone plate etched into it;
  • Figures 12A - 12E show illustrative diagrammatic views of steps in a fabrication process in accordance with another embodiment of the invention using a self alignment process;
  • Figure 13 shows an illustrative diagrammatic isometric view of an array of diffractive elements after the absorber has been evaporated;
  • Figures 14 A - 14D show scanning electron micrographs demonstrating the high resolution of a process for forming arrays of diffractive elements in accordance with a further embodiment of the invention.
  • Figures 15 A and 15B show arrays of diffractive elements formed in accordance with a another embodiment of the invention involving a self alignment process.
  • the drawings are shown for illustrative purposes and are not to scale.
  • Systems and methods in accordance with different embodiments of the invention may be used to form arrays of a variety of focusing elements, such as arrays Fresnel zone plates .
  • the system provides for the fabrication of binary and blazed phase diffractive optical elements using electron-beam lithography and the negative resist hydrogen silsesquioxane (HSQ) or the use of a method for self-aligned selective chemical etching (e.g., BrushFire lithography) for the fabrication of diffractive optical elements.
  • processes of the invention provide for fabricating binary and blazed phase diffractive optical elements that are capable of achieving high- resolution using only a single lithography step with no etching (even for the case of phase plates).
  • HSQ hydrogen silsesquioxane, manufactured by Dow Corning Corporation of Midland, Michigan
  • the technique disclosed herein bypasses conventional approaches for blazed structures requiring multilevel alignments or reactive-ion-etching transfer schemes.
  • HSQ is not a very sensitive resist for e-beam lithography, its resolution and its glass-like properties make it an optimal choice for fabricating diffractive optical elements that operate at the UN and DUN regime.
  • zone plates and other phase diffractive optical elements With an index of refraction very close to that of fused silica, and a negligible absorption down to 157nm, it is possible to fabricate zone plates and other phase diffractive optical elements in one lithography step without the need for etching. Once the resist is spun to the proper thickness so as to provide the desired phase step for the optic, patterning and development are the only required steps.
  • the dose delivered to each pixel may be controlled, by employing a resist with the appropriate characteristics, it is possible to create three-dimensional profiles in one step without the need for multilevel alignment. This permits the possibility of fabricating blazed diffractive optics that may have efficiencies into the first order in excess of 80% .
  • Processes of the invention permit the use of HSQ to pattern three-dimensional structares.
  • the thickness remaining depends on the electron beam dose, as is depicted schematically in Figure 1 in which the remaining thickness of dose 1 is shown at 1, dose 2 is shown at 2, dose 3 is shown at 3, dose 4 is shown at 4, dose 5 is shown at 5, dose 6 is shown at 6, and dose 7 is shown at 7, each having a higher dose and providing greater thickness of deposit.
  • Figure 2 shows a relationship 10 of the thickness of remaining resist after development versus dose delivered.
  • Figure 3 shows a relationship 12 between the rate of development versus dose delivered.
  • the thickness, therefore, of the remaining HSQ material after development may be controlled using, for example, an exposure voltage of 30kV, development time of 15 min., and a Shipley CD-26 developer.
  • an exposure voltage of 30kV an exposure voltage of 30kV
  • development time 15 min.
  • a Shipley CD-26 developer By knowing the dose necessary to achieve a certain thickness of resist after development, it is possible to fabricate sloped and other three-dimensional structares, such as the one shown at 14 in Figure 4.
  • Figure 5 shows at 16 a relationship between the dose required across each tooth of the blazed grating in Figure 4 to achieve a linear slope. Note that the dose required for a linear slope may not be a linear function.
  • Figures 6 A - 6C show scanning electron micrographs of blazed structures 20,
  • Apodization may also be applied to diffractive elements in lithographic systems of the invention.
  • the utilization of the incident radiation across the diameter of the diffractive focusing element may be varied gradually as a function of radial distance so as to suppress the sidelobes or secondary maxima.
  • the effect of apodization is to suppress the sidelobes or secondary maxima of the focus, as is known in the art.
  • Apodization of a Fresnel zone plate causes a suppression of the sidelobes at the expense of a somewhat wider focal spot.
  • apodization of a blazed Fresnel zone plate 30 may be achieved by reducing the relative thicknesses of the blazed Fresnel zones in the radially outward direction.
  • Apodization of a phase Fresnel zone plate may also be achieved by reducing the relative zone thicknesses as generally shown at 32 in Figure 7B.
  • Apodization of an amplitude Fresnel zone plate 34 may be achieved by reducing the duty cycle within each zone as shown at 34 in Figure 7C.
  • Apodization of mixed Fresnel zone plates may also be employed in accordance with further embodiments of the invention.
  • the arrays of zone plates that may be formed in accordance with various embodiments of the invention may further be used, for example, in other lithography systems such as those disclosed in U.S. Patent No. 5,900,637, the disclosure of which is hereby incorporated by reference.
  • the array of patterns may be used to provide an array of focusing elements in a lithography system as shown in Figure 8 that includes a maskless lithography arrangement 40 in accordance with the invention illustrating the focusing of incident beam 42 from a beam source 44 onto a substrate 46 coated with a resist 48 as focused beamlets 50.
  • the arrangement includes micro- mechanical shutter devices 52 with actuated shutters 54 that tarn the focused beams on and off in response to commands from a control computer 56.
  • the shutter devices 52 may be interposed between the zone-plate array 58, joists 60, stops 62, and the substrate 46.
  • the second beam from the left is indicated as being in the off state.
  • each of the zone plates 64 on the membrane 66 of the array 58 is able to focus a collimated beam 42 to a fine focal spot 68 on the resist-coated substrate
  • FIG. 10 shows is an illustration of a possible writing scheme used in connection with an embodiment of a maskless lithography system 80.
  • the arrangement includes a radiation source 82 and an array of upstream mirrors 84 that are positioned between an array 86 of diffractive elements 88.
  • a serpentine writing scheme is depicted, with the substrate scanned in X and Y by a fast piezoelectric system (not shown), thereby filling in the full pattern. Radiation is readily reflected at glancing angles from a polished surface. Accordingly, an array of micromechanical, deflectable glancing-angle mirrors 84, located upstream, may be used to tarn individual focused beams 90 on and off. Texas Instruments, Inc. of Dallas, Texas has developed a visible-light projection display system that employs an array of deflectable micromechanical mirrors. The technology for fabricating and deflecting such micromechanical mirrors, therefore, is known in the art.
  • the focusing elements may be any of a variety of diffractive and/or refractive elements including those disclosed in U.S. Patent Application Ser. No. 10/624,316 filed July 22, 2003, (the disclosure of which is hereby incorporated by reference), which claims priority to U.S. Provisional Applications Ser. Nos.
  • 60/397,705 and 60/404,514 including, for example, amplitude and/or phase Fresnel zone plates, blazed zone plates, bessel zone plates, photon sieves (e.g., amplitude photon sieves, phase photon sieves, or alternating phase photon sieves), and the diffractive focusing elements may be apodized. These may be microfabricated in large arrays as well, and may be designed to compensate for wavefront characteristics in the radiation output from the source array to achieve, for example, the smallest possible focal spot.
  • An array of individually selectable switches may be employed to control the illumination from a single source or an array of individually selectable sources may be used, such as for example, semiconductor lasers, diode lasers, light emitting diodes, vertical cavity surface emitting lasers etc. as disclosed in U.S. Patent Application Ser.
  • the invention also provides for the fabrication of arrays of diffractive optical elements using a method for self-aligned selective chemical etching (BrushFire-lithography).
  • a method for self-aligned selective chemical etching BrushFire-lithography
  • On the substrate containing the diffractive optics it is often desirable to block all the incident radiation that is not going through the fabricated diffractive optics. This may be accomplished by means of an absorbing layer 100 surrounding the diffractive optics 102 such as a zone plate array on a substrate 104, as illustrated in Figures 10 and 11. It is apparent that in order to define the diffractive optic and create circles of an absorbing material precisely surrounding the optical elements, two lithography steps would conventionally be required. One lithography step would define the circles that would contain the diffractive optics.
  • other processes of the invention may also avoid the need for a second lithography step while achieving perfect alignment between the absorber and the featares of the diffractive optic.
  • the solution arises from the realization that after patterning the diffractive optics, an evaporation of the absorber material onto the substrate can be performed, with the result that the absorber material (a metal in most cases) that lands in the trenches and on top of the featares of the optics is not electrically connected to the metal area surrounding the diffractive elements.
  • the lack of electrical connectivity between the metal inside and outside the zone plates allows for the removal of either the inside metal or the outside one with one single high-resolution wet etch step.
  • the method that can be employed is called the Fulton Dolan Process (also known as BrashFire Lithography) and was originally proposed by T.A. Fulton and G.J.Dolan from Bell Labs in 1983 for the fabrication of commercial photomasks.
  • the Dolan process is a method for selectively controlled chemical etching and has been found to be suitable in certain applications of the present invention for the fabrication of zone plate arrays .
  • a fused silica substrate 120 (e.g., SiO 2 ) is provided on which HSQ 122 is spun to a desired thickness.
  • the thickness of the HSQ may be chosen to provide an appropriate phase step for the diffractive optical element.
  • the HSQ is then patterned to create the desired pattern as shown at 124 in Figure 12C.
  • an absorber material 126 is then evaporated onto the HSQ as shown in Figure 12D.
  • the absorber material e.g., chrome
  • the absorber material is deposited not only on the top surfaces of the HSQ 122, but also in the inside the diffractive element between the rises in the pattern 124.
  • the portion of the absorber material that is outside of the pattern 124 may receive a small electric potential, from which the remaining absorber material will be isolated. All that is needed is a difference in electrical potential and this may be achieved by simply coupling copper leads to the portion of the absorber material that is outside of the pattern. This permits the portion of the absorber within the diffractive element to be removed by a wet etch technique that does not remove the portion of the absorber material that is charged with an electric potential (e.g. , the portion outside the diffractive element).
  • Figures 14A - 14D demonstrates the high resolution of the above process that combines the use of the HSQ process with the charged wet etch technique discussed above.
  • Figure 14A shows an array of rectangular shaped elements 140 before the charged wet etching step
  • Figure 14B shows at 142 the same array of rectangular shaped elements after the charged wet etching process
  • Figure 14C shows an array of small circular shaped elements 144 before the charged wet etching step
  • Figure 14D shows at 146 the same array of small circular shaped elements after the charged wet etching process.
  • Figure 15A shows a scanning electron micrograph of a fabricated diffractive optical element 150 (a zone plate in this case) before the charged wet etching process was applied
  • Figure 15B shows at 152 a scanning electron micrograph of the same fabricated diffractive optical element after the charged wet etching process was applied. As may be seen, perfect alignment may be achieved between the surrounding absorber and the last zone of the zone plate.

Abstract

A method is disclosed for forming an array of focusing elements for use in a lithography system. The method involves varying an exposure characteristic over an area to create a focusing element that varies in thickness in certain embodiments. In further embodiments, the method includes the steps of providing a first pattern via lithography in a substrate, depositing a conductive absorber material on the substrate, applying an electrical potential to at least a first portion of the conductive absorber material, leaving a second portion of the conductive material without the electrical potential, and etching the second portion of the conductive material to provide a first pattern on the substrate that is aligned with the first portion of the conductive absorber material.

Description

SYSTEM AND METHOD FOR FABRICATION AND REPLICATION OF DIFFRACTIVE OPTICAL ELEMENTS FOR MASKLESS LITHOGRAPHY
PRIORITY This application claims priority to U.S. Provisional Application Ser. No.
60/415,720 filed October 3, 2002. This invention was made with support from the United States government under Grant No. DAAD19-01-1-0330, and the United States government has certain rights to the invention.
BACKGROUND OF THE INVENTION
The invention relates to maskless lithography, and relates in particular to maskless lithography using arrays of focusing elements.
U.S. Patent No. 5,900,637 discloses a system for maskless lithography that involves the focusing of incident energy from a single energy source onto a substrate as focused beamlets . The arrangement includes micro-mechanical devices with actuatable shutters that turn the focused beams on and off in response to commands from a control computer. To write a pattern, the substrate is scanned under the array, while the individual beams are turned on and off as needed by means of the micro-mechanical shutters, one associated with each zone plate. These shutters are disclosed to be located either between the zone plate array and the substrate, or between the zone plate array and the source of radiation. The '637 patent also discloses a lithography system that includes an array of micro-mechanical, deflectable glancing-angle mirrors that may be used to turn individual focused beams on and off.
Such lithography systems, however, require that zone plate arrays be very precise and uniform without significant variation. Diffractive elements such as zone plates may be formed using step approximation, which requires aligned lithography and an etch for each level of stepping in forming the diffractive elements . Other diffractive elements may be formed by 3D patterning in which grayscale resist exposures are used, or using a low selectivity reactive ion-etching step. In certain applications, however, the use of individually created zones on zone plates may not be appropriate.
For example, a conventional process for fabricating UN and DUN zone plates typically involves defining the area where the diffractive optics would be placed in subsequent steps. Chromium (Cr) is used as the absorber material in this process, but a number of other materials may also be employed. The diffractive optics are then defined, and the resist patterns are then transferred into the fused silica substrate by means of reactive-ion-etching. This and other conventional fabrication procedures requiring multilevel alignment are difficult to generalize to create very large arrays with commercial high-throughput electron-beam-lithography systems. The chief problem arises from the fact that commercial mask makers (both electron-beam- lithography and laser based systems), the only systems with sufficient throughput to write large arrays, are not set-up for multi-level alignment. There remains a need therefore, for an improved method of forming arrays of zone plates or other diffractive elements for a maskless lithography system.
SUMMARY OF THE INVENTION
The invention provides a method for forming an array of focusing elements for use in a lithography system. The method involves varying an exposure characteristic over an area of a substrate during lithography to provide focusing elements of varying thickness in certain embodiments. The substrate may include hydrogen silsesquioxane, and the thereby formed focusing elements may be formed of SiO2 and may vary in thickness to provide blazed Fresnel zone plates in accordance with certain embodiments.
In accordance with a further embodiment, the method includes the steps of providing a first pattern via lithography in a substrate, depositing a conductive absorber material on the substrate, applying an electrical potential to at least a first portion of the conductive absorber material, leaving a second portion of the conductive material without the electrical potential, and etching the second portion of the conductive material to provide a first pattern on the substrate that is aligned with the first portion of the conductive absorber material. In further embodiments, the substrate may be formed of hydrogen silsesquioxane, the first pattern may be formed by electron beam lithography and the conductive absorber material may include chromium. In further embodiments, the first pattern may include an array of focusing elements for use in a lithography system. BRBEF DESCRIPTION OF THE DRAWINGS
The following description may be further understood with reference to the accompanying drawings in which:
Figure 1 shows an illustrative diagrammatic schematic view of the use of different exposure criteria using hydrogen silsesquioxane (HSQ) to form arrays of diffractive elements in accordance with an embodiment of the invention;
Figure 2 shows an illustrative diagrammatic graphical view of the changes in the thickness of a resist as a result of change in dose delivered by an electron beam to form arrays of diffractive elements in accordance with an embodiment of the invention; Figure 3 shows an illustrative diagrammatic graphical view of the rate of development versus the dose delivered in a system using HSQ to form arrays of diffractive elements in accordance with an embodiment of the invention;
Figure 4 shows an illustrative diagrammatic side view of a portion of an array of diffractive elements in accordance with an embodiment of the invention; Figure 5 shoes an illustrative diagrammatic graphical view of a dose required across each blazed portion of the grating shown in Figure 4 in order to achieve a linear slope for each blazed portion of the grating;
Figures 6A - 6C show illustrative scanning electron micrographs of blazed structures fabricated using HSQ; Figure 6D shows an illustrative scanning electron micrograph of a cylindrical lens fabricated using HSQ;
Figures 7A - 7C show illustrative diagrammatic views of Fresnel zone plates for use in arrays of focusing elements in accordance with further embodiments of the invention; Figure 8 shows an illustrative diagrammatic schematic view of an embodiment of a maskless lithography system including diffractive elements made in accordance with an embodiment of the invention;
Figure 9 shows an illustrative diagrammatic schematic view of another embodiment of a maskless lithography system including diffractive elements made in accordance with an embodiment of the invention;
Figure 10 shows an illustrative diagrammatic isometric view of a diffractive optical array in accordance with an embodiment of the invention with a layer of absorbing material surrounding the optics to prevent undesired radiation from reaching the substrate;
Figure 11 shows an illustrative diagrammatic side view of a fused silica wafer with a phase zone plate etched into it; Figures 12A - 12E show illustrative diagrammatic views of steps in a fabrication process in accordance with another embodiment of the invention using a self alignment process;
Figure 13 shows an illustrative diagrammatic isometric view of an array of diffractive elements after the absorber has been evaporated; Figures 14 A - 14D show scanning electron micrographs demonstrating the high resolution of a process for forming arrays of diffractive elements in accordance with a further embodiment of the invention; and
Figures 15 A and 15B show arrays of diffractive elements formed in accordance with a another embodiment of the invention involving a self alignment process. The drawings are shown for illustrative purposes and are not to scale.
DETAILED DESCRIPTION OF THE ILLUSTRATED EMBODIMENTS
Systems and methods in accordance with different embodiments of the invention may be used to form arrays of a variety of focusing elements, such as arrays Fresnel zone plates . In various embodiments , the system provides for the fabrication of binary and blazed phase diffractive optical elements using electron-beam lithography and the negative resist hydrogen silsesquioxane (HSQ) or the use of a method for self-aligned selective chemical etching (e.g., BrushFire lithography) for the fabrication of diffractive optical elements. In certain embodiments, processes of the invention provide for fabricating binary and blazed phase diffractive optical elements that are capable of achieving high- resolution using only a single lithography step with no etching (even for the case of phase plates). This technique requires the use of the negative resist HSQ (hydrogen silsesquioxane, manufactured by Dow Corning Corporation of Midland, Michigan), which is sensitive at both e-beam and x-ray wavelengths. The technique disclosed herein bypasses conventional approaches for blazed structures requiring multilevel alignments or reactive-ion-etching transfer schemes. Although HSQ is not a very sensitive resist for e-beam lithography, its resolution and its glass-like properties make it an optimal choice for fabricating diffractive optical elements that operate at the UN and DUN regime. With an index of refraction very close to that of fused silica, and a negligible absorption down to 157nm, it is possible to fabricate zone plates and other phase diffractive optical elements in one lithography step without the need for etching. Once the resist is spun to the proper thickness so as to provide the desired phase step for the optic, patterning and development are the only required steps.
Moreover, because in e-beam lithography the dose delivered to each pixel may be controlled, by employing a resist with the appropriate characteristics, it is possible to create three-dimensional profiles in one step without the need for multilevel alignment. This permits the possibility of fabricating blazed diffractive optics that may have efficiencies into the first order in excess of 80% .
Processes of the invention permit the use of HSQ to pattern three-dimensional structares. For a given initial thickness of HSQ, the thickness remaining depends on the electron beam dose, as is depicted schematically in Figure 1 in which the remaining thickness of dose 1 is shown at 1, dose 2 is shown at 2, dose 3 is shown at 3, dose 4 is shown at 4, dose 5 is shown at 5, dose 6 is shown at 6, and dose 7 is shown at 7, each having a higher dose and providing greater thickness of deposit. Figure 2 shows a relationship 10 of the thickness of remaining resist after development versus dose delivered. Figure 3 shows a relationship 12 between the rate of development versus dose delivered. The thickness, therefore, of the remaining HSQ material after development may be controlled using, for example, an exposure voltage of 30kV, development time of 15 min., and a Shipley CD-26 developer. By knowing the dose necessary to achieve a certain thickness of resist after development, it is possible to fabricate sloped and other three-dimensional structares, such as the one shown at 14 in Figure 4. Figure 5 shows at 16 a relationship between the dose required across each tooth of the blazed grating in Figure 4 to achieve a linear slope. Note that the dose required for a linear slope may not be a linear function. Figures 6 A - 6C show scanning electron micrographs of blazed structures 20,
22 and 24 respectively fabricated with HSQ. Note that it is possible with this process to fabricate both linearly sloped structures 20, 22 and 24 as well as more complicated forms, such as the cylindrical lens 26 shown in Figure 6D.
Apodization may also be applied to diffractive elements in lithographic systems of the invention. The utilization of the incident radiation across the diameter of the diffractive focusing element may be varied gradually as a function of radial distance so as to suppress the sidelobes or secondary maxima. The effect of apodization is to suppress the sidelobes or secondary maxima of the focus, as is known in the art. Apodization of a Fresnel zone plate causes a suppression of the sidelobes at the expense of a somewhat wider focal spot.
As generally shown in Figure 7A, apodization of a blazed Fresnel zone plate 30 may be achieved by reducing the relative thicknesses of the blazed Fresnel zones in the radially outward direction. Apodization of a phase Fresnel zone plate may also be achieved by reducing the relative zone thicknesses as generally shown at 32 in Figure 7B. Apodization of an amplitude Fresnel zone plate 34 may be achieved by reducing the duty cycle within each zone as shown at 34 in Figure 7C. Apodization of mixed Fresnel zone plates may also be employed in accordance with further embodiments of the invention.
The arrays of zone plates that may be formed in accordance with various embodiments of the invention may further be used, for example, in other lithography systems such as those disclosed in U.S. Patent No. 5,900,637, the disclosure of which is hereby incorporated by reference. For example, the array of patterns may be used to provide an array of focusing elements in a lithography system as shown in Figure 8 that includes a maskless lithography arrangement 40 in accordance with the invention illustrating the focusing of incident beam 42 from a beam source 44 onto a substrate 46 coated with a resist 48 as focused beamlets 50. The arrangement includes micro- mechanical shutter devices 52 with actuated shutters 54 that tarn the focused beams on and off in response to commands from a control computer 56. The shutter devices 52 may be interposed between the zone-plate array 58, joists 60, stops 62, and the substrate 46. The second beam from the left is indicated as being in the off state.
As shown, each of the zone plates 64 on the membrane 66 of the array 58 is able to focus a collimated beam 42 to a fine focal spot 68 on the resist-coated substrate
46, which is supported on a positioning stage 70. To write a pattern, the substrate is scanned under the array, while the individual beamlets 50 are turned on and off as needed by means of the micromechanical shutters 52, one associated with each zone plate. These shutters may be located either between the zone plate array and the substrate, i.e. , down-stream, as illustrated in Figure 8, or between the zone plate array and the source of radiation, i.e., up-stream, as shown in Figure 9. Figure 9 shows is an illustration of a possible writing scheme used in connection with an embodiment of a maskless lithography system 80. The arrangement includes a radiation source 82 and an array of upstream mirrors 84 that are positioned between an array 86 of diffractive elements 88. A serpentine writing scheme is depicted, with the substrate scanned in X and Y by a fast piezoelectric system (not shown), thereby filling in the full pattern. Radiation is readily reflected at glancing angles from a polished surface. Accordingly, an array of micromechanical, deflectable glancing-angle mirrors 84, located upstream, may be used to tarn individual focused beams 90 on and off. Texas Instruments, Inc. of Dallas, Texas has developed a visible-light projection display system that employs an array of deflectable micromechanical mirrors. The technology for fabricating and deflecting such micromechanical mirrors, therefore, is known in the art.
There are various strategies through which the scanning and writing may be achieved. One is to employ a square array of zone plates, with each zone plate writing only within its unit cell as discussed above. The scanning in this case would be serpentine, as depicted at 92 in Figure 9, with the positioning stage and hence the substrate 94 moving only a distance equal to the unit cell edge dimension in X and Y until all pixels within the unit cell are addressed, and either written (i.e., shutter open) at a focal spot 96 or not (i.e., shutter closed). An alternative to a this step-and-scan strategy, is a linear-scan strategy similar to that described by M. Feldman, OS A Proceedings on Soft-X-ray Projection Lithography, Eds. A. M. Hawryluk and R. H. Stalen (Opt. Soc. Amer., Washington, D.C.) 18, 207 (1993), incorporated herein by reference. In Feldman' s scheme, a close-packed array of zone plates would be azimuthally rotated relative to the scanning direction in such a way that all pixels can be addressed when the substrate is scanned along one direction only. The focusing elements may be any of a variety of diffractive and/or refractive elements including those disclosed in U.S. Patent Application Ser. No. 10/624,316 filed July 22, 2003, (the disclosure of which is hereby incorporated by reference), which claims priority to U.S. Provisional Applications Ser. Nos. 60/397,705 and 60/404,514, including, for example, amplitude and/or phase Fresnel zone plates, blazed zone plates, bessel zone plates, photon sieves (e.g., amplitude photon sieves, phase photon sieves, or alternating phase photon sieves), and the diffractive focusing elements may be apodized. These may be microfabricated in large arrays as well, and may be designed to compensate for wavefront characteristics in the radiation output from the source array to achieve, for example, the smallest possible focal spot.
An array of individually selectable switches may be employed to control the illumination from a single source or an array of individually selectable sources may be used, such as for example, semiconductor lasers, diode lasers, light emitting diodes, vertical cavity surface emitting lasers etc. as disclosed in U.S. Patent Application Ser.
No. 10/628,809 filed July 28, 2003 (the disclosure of which is hereby incorporated by reference), which claims priority to U.S. Patent Application Ser. No. 60/400, 812.
In further embodiments, the invention also provides for the fabrication of arrays of diffractive optical elements using a method for self-aligned selective chemical etching (BrushFire-lithography). On the substrate containing the diffractive optics, it is often desirable to block all the incident radiation that is not going through the fabricated diffractive optics. This may be accomplished by means of an absorbing layer 100 surrounding the diffractive optics 102 such as a zone plate array on a substrate 104, as illustrated in Figures 10 and 11. It is apparent that in order to define the diffractive optic and create circles of an absorbing material precisely surrounding the optical elements, two lithography steps would conventionally be required. One lithography step would define the circles that would contain the diffractive optics. A second step, after proper alignment, would define the optics. In certain embodiments, other processes of the invention may also avoid the need for a second lithography step while achieving perfect alignment between the absorber and the featares of the diffractive optic. The solution arises from the realization that after patterning the diffractive optics, an evaporation of the absorber material onto the substrate can be performed, with the result that the absorber material (a metal in most cases) that lands in the trenches and on top of the featares of the optics is not electrically connected to the metal area surrounding the diffractive elements. Rather that having to perform a second lithography step requiring nanometer level alignment so as to remove the absorber that is on the zones, the lack of electrical connectivity between the metal inside and outside the zone plates allows for the removal of either the inside metal or the outside one with one single high-resolution wet etch step. The method that can be employed is called the Fulton Dolan Process (also known as BrashFire Lithography) and was originally proposed by T.A. Fulton and G.J.Dolan from Bell Labs in 1983 for the fabrication of commercial photomasks. The Dolan process is a method for selectively controlled chemical etching and has been found to be suitable in certain applications of the present invention for the fabrication of zone plate arrays .
As shown in Figures 12A and 12B, a fused silica substrate 120 (e.g., SiO2) is provided on which HSQ 122 is spun to a desired thickness. The thickness of the HSQ, for example, may be chosen to provide an appropriate phase step for the diffractive optical element. The HSQ is then patterned to create the desired pattern as shown at 124 in Figure 12C. After patterning the elements in HSQ, an absorber material 126 is then evaporated onto the HSQ as shown in Figure 12D. The absorber material (e.g., chrome) is deposited not only on the top surfaces of the HSQ 122, but also in the inside the diffractive element between the rises in the pattern 124. As further shown in Figure 13, because the absorber material that is outside of the pattern 124 is not in electrical contact with the absorber material within the diffractive element (both on top of and between each of the rises) the portion of the absorber material that is outside of the pattern may receive a small electric potential, from which the remaining absorber material will be isolated. All that is needed is a difference in electrical potential and this may be achieved by simply coupling copper leads to the portion of the absorber material that is outside of the pattern. This permits the portion of the absorber within the diffractive element to be removed by a wet etch technique that does not remove the portion of the absorber material that is charged with an electric potential (e.g. , the portion outside the diffractive element). The result, as shown in Figure 12E, is that the absorber material is precisely aligned with the diffractive element. Figures 14A - 14D demonstrates the high resolution of the above process that combines the use of the HSQ process with the charged wet etch technique discussed above. In particular, Figure 14A shows an array of rectangular shaped elements 140 before the charged wet etching step, and Figure 14B shows at 142 the same array of rectangular shaped elements after the charged wet etching process. Figure 14C shows an array of small circular shaped elements 144 before the charged wet etching step, and Figure 14D shows at 146 the same array of small circular shaped elements after the charged wet etching process.
Figure 15A shows a scanning electron micrograph of a fabricated diffractive optical element 150 (a zone plate in this case) before the charged wet etching process was applied, and Figure 15B shows at 152 a scanning electron micrograph of the same fabricated diffractive optical element after the charged wet etching process was applied. As may be seen, perfect alignment may be achieved between the surrounding absorber and the last zone of the zone plate.
Those skilled in the art will appreciate that numerous modifications and variations may be made to the above disclosed embodiments without departing from the spirit and scope of the invention. What is claimed is:

Claims

1. A method of forming an array of focusing elements for use in a lithography system, said method comprising the steps of: providing a substrate; conducting lithography on said substrate at a first location using a first set of exposure characteristics to form a first resist area; and conducting lithography on said substrate at a second location using a second set of exposure characteristics to form a second resist area, said second resist area having thickness that is different than said first resist area.
2. The method as claimed in claim 1, wherein said substrate is formed of hydrogen silsesquioxane.
3. The method as claimed in claim 1, wherein said step of conducting lithography on said substrate at said first location involves the use of electron beam lithography.
4. The method as claimed in claim 1, wherein said step of conducting lithography on said substrate at said first location involves the use of x-ray lithography.
5. The method as claimed in claim 1, wherein said first resist area and said second resist area include SiO2.
6. The method as claimed in claim 1, wherein said first resist area and said second resist area are transparent.
7. The method as claimed in claim 1, wherein said first resist area and said second resist area form at least part of a Fresnel zone plate.
8. The method as claimed in claim 1, wherein said first resist area and said second resist area form at least part of a blazed zone plate.
9. The method as claimed in claim 1 , wherein first resist area and said second resist area form at least part of an apodized zone plate.
10. A method of forming an array of focusing elements for use in a maskless lithography system, said method comprising the steps of providing a substrate including hydrogen silsesquioxane, and providing a first pattern via lithography in said substrate, said first pattern including focusing elements for said maskless lithography system.
11. The method as claimed in claim 10, wherein said step of providing a first pattern via lithography in said substrate involves the use of at least one of electron beam lithography or x-ray lithography.
12. The method as claimed in claim 10, wherein said first pattern provides at least one blazed focusing element.
13. A method of forming an array of focusing elements for use in a lithography system, said method comprising the steps of: providing a first pattern via lithography in a substrate; depositing a conductive absorber material on said substrate; applying an electrical potential to at least a first portion of said conductive absorber material, leaving a second portion of said conductive material with a different electrical potential; and etching said second portion of said conductive material to provide a first pattern on said substrate that is aligned with said first portion of said conductive absorber material.
14. The method as claimed in claim 13, wherein said substrate is formed of hydrogen silsesquioxane.
15. The method as claimed in claim 13, wherein said step of providing a first pattern via at least one of electron beam lithography or x-ray lithography.
16. The method as claimed in claim 13, wherein said conductive absorber material is chromium.
17. The method as claimed in claim 13, wherein said first pattern includes an array of optical elements.
18. The method as claimed in claim 13, wherein said first pattern includes an array of blazed zone plates.
19. A method of forming an array of focusing elements for use in a lithography system, said method comprising the steps of: providing a first pattern via lithography in a substrate including hydrogen silsesquioxane; depositing a conductive absorber material on said substrate; applying an electrical potential to at least a first electrically continuous portion of said conductive absorber material, leaving a second electrically discontinuous portion of said conductive material with a different electrical potential; and wet etching said second portion of said conductive material to provide a first pattern on said substrate that is aligned with said first portion of said conductive absorber material, said first pattern including an array of focusing elements for the lithography system.
20. The method as claimed in claim 19, wherein said step of providing said first pattern on said substrate involves electron beam lithography.
PCT/US2003/031367 2002-10-03 2003-10-02 Method for fabrication of diffractive optical elements for maskless lithography WO2004031861A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003279127A AU2003279127A1 (en) 2002-10-03 2003-10-02 Method for fabrication of diffractive optical elements for maskless lithography

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US41572002P 2002-10-03 2002-10-03
US60/415,720 2002-10-03

Publications (2)

Publication Number Publication Date
WO2004031861A2 true WO2004031861A2 (en) 2004-04-15
WO2004031861A3 WO2004031861A3 (en) 2004-12-23

Family

ID=32069902

Family Applications (2)

Application Number Title Priority Date Filing Date
PCT/US2003/031343 WO2004031867A2 (en) 2002-10-03 2003-10-02 System and method for holographic fabrication and replication of diffractive optical elements for maskless lithography
PCT/US2003/031367 WO2004031861A2 (en) 2002-10-03 2003-10-02 Method for fabrication of diffractive optical elements for maskless lithography

Family Applications Before (1)

Application Number Title Priority Date Filing Date
PCT/US2003/031343 WO2004031867A2 (en) 2002-10-03 2003-10-02 System and method for holographic fabrication and replication of diffractive optical elements for maskless lithography

Country Status (3)

Country Link
US (2) US7348104B2 (en)
AU (2) AU2003279122A1 (en)
WO (2) WO2004031867A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013040748A1 (en) * 2011-09-20 2013-03-28 中国科学院微电子研究所 Sub-wavelength extreme ultraviolet metal transmission grating and manufacturing method thereof

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2262126C1 (en) * 2004-08-20 2005-10-10 Иванова Наталия Викторовна Method for producing image on material, sensitive to used type of emission, method for producing binary hologram (variants) and method for producing image with use of hologram
US20070048628A1 (en) * 2005-09-01 2007-03-01 Mackey Jeffrey L Plasmonic array for maskless lithography
KR100709762B1 (en) * 2005-12-22 2007-04-23 주식회사 대우일렉트로닉스 Optical multiplexer and method for manufacturing thereof, method and apparatus for recording multiplexed optical information, method and apparatus for reproducing multiplexed optical information
US7538858B2 (en) * 2006-01-11 2009-05-26 Micron Technology, Inc. Photolithographic systems and methods for producing sub-diffraction-limited features
US7368744B2 (en) * 2006-02-17 2008-05-06 Asml Netherlands B.V. Photon sieve for optical systems in micro-lithography
FR2957686A1 (en) * 2010-03-19 2011-09-23 Commissariat Energie Atomique PHOTOREPETEUR FOR LITHOGRAPHY IN EXTREME ULTRA-VIOLET
WO2018014045A2 (en) * 2016-07-15 2018-01-18 Light Field Lab, Inc. Method of calibration for holographic energy directing systems
US10663657B2 (en) 2016-07-15 2020-05-26 Light Field Lab, Inc. Selective propagation of energy in light field and holographic waveguide arrays
US10466597B2 (en) * 2017-11-01 2019-11-05 Texas Instruments Incorporated Methods and apparatus to control grayscale photolithography
EP3737980A4 (en) 2018-01-14 2021-11-10 Light Field Lab, Inc. Systems and methods for transverse energy localization in energy relays using ordered structures
CA3088376A1 (en) 2018-01-14 2019-07-18 Light Field Lab, Inc. System and methods for rendering data from a 3d environment
CN112105968A (en) 2018-01-14 2020-12-18 光场实验室公司 Holographic diffractive optical encoding system
EP3737982B1 (en) 2018-01-14 2024-01-10 Light Field Lab, Inc. Energy field three-dimensional printing system
US11822110B2 (en) 2018-02-21 2023-11-21 University Of Utah Research Foundation Diffractive optic for holographic projection
KR20210034585A (en) 2018-07-25 2021-03-30 라이트 필드 랩 인코포레이티드 Amusement park equipment based on light field display system
US10904479B2 (en) 2019-03-12 2021-01-26 Light Field Lab, Inc. Video communication including holographic content
US11212514B2 (en) 2019-03-25 2021-12-28 Light Field Lab, Inc. Light field display system for cinemas
US11428933B2 (en) 2019-05-13 2022-08-30 Light Field Lab, Inc. Light field display system for performance events
WO2021029859A1 (en) 2019-08-09 2021-02-18 Light Field Lab, Inc. Light field display system based digital signage system
CA3148816A1 (en) 2019-08-26 2021-03-04 Light Field Lab, Inc. Light field display system for sporting events
US11669012B2 (en) * 2020-02-21 2023-06-06 Applied Materials, Inc. Maskless lithography method to fabricate topographic substrate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2132789A (en) * 1982-11-24 1984-07-11 Western Electric Co Method of pattern generation
US5549934A (en) * 1994-05-17 1996-08-27 Lsi Logic Corporation Process of curing hydrogen silsesquioxane coating to form silicon oxide layer
US5900637A (en) * 1997-05-30 1999-05-04 Massachusetts Institute Of Technology Maskless lithography using a multiplexed array of fresnel zone plates
US20020019305A1 (en) * 1996-10-31 2002-02-14 Che-Kuang Wu Gray scale all-glass photomasks
US6410213B1 (en) * 1998-06-09 2002-06-25 Corning Incorporated Method for making optical microstructures having profile heights exceeding fifteen microns

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2132879A (en) 1982-10-06 1984-07-18 Robinson Limited D A Improvements relating to articles of footwear
US5161059A (en) * 1987-09-21 1992-11-03 Massachusetts Institute Of Technology High-efficiency, multilevel, diffractive optical elements
GR1002163B (en) 1995-03-09 1996-03-04 Idryma Technologias Kai Erevna Etching of optical microstructures and uses
US5794023A (en) * 1996-05-31 1998-08-11 International Business Machines Corporation Apparatus utilizing a variably diffractive radiation element
US6088505A (en) * 1996-06-10 2000-07-11 Holographic Lithography Systems, Inc. Holographic patterning method and tool for production environments
US6107000A (en) * 1996-12-17 2000-08-22 Board Of Regents - University Of California - San Diego Method for producing micro-optic elements with gray scale mask
US6815363B2 (en) * 2000-08-11 2004-11-09 The Regents Of The University Of California Method for nanomachining high aspect ratio structures
US6960773B2 (en) * 2002-07-22 2005-11-01 Massachusetts Institute Of Technology System and method for maskless lithography using an array of improved diffractive focusing elements

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2132789A (en) * 1982-11-24 1984-07-11 Western Electric Co Method of pattern generation
US5549934A (en) * 1994-05-17 1996-08-27 Lsi Logic Corporation Process of curing hydrogen silsesquioxane coating to form silicon oxide layer
US20020019305A1 (en) * 1996-10-31 2002-02-14 Che-Kuang Wu Gray scale all-glass photomasks
US5900637A (en) * 1997-05-30 1999-05-04 Massachusetts Institute Of Technology Maskless lithography using a multiplexed array of fresnel zone plates
US6410213B1 (en) * 1998-06-09 2002-06-25 Corning Incorporated Method for making optical microstructures having profile heights exceeding fifteen microns

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
BRUNGER W ET AL: "Low energy lithography; energy control and variable energy exposure" MICROELECTRONIC ENGINEERING, ELSEVIER PUBLISHERS BV., AMSTERDAM, NL, vol. 27, no. 1, 1 February 1995 (1995-02-01), pages 135-138, XP004025049 ISSN: 0167-9317 *
DELFT VAN F C M J M ET AL: "Hydrogen silsesquioxane/novolak bilayer resist for high aspect ratio nanoscale electron-beam lithography" JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART B, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 18, no. 6, November 2000 (2000-11), pages 3419-3423, XP002217131 ISSN: 1071-1023 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013040748A1 (en) * 2011-09-20 2013-03-28 中国科学院微电子研究所 Sub-wavelength extreme ultraviolet metal transmission grating and manufacturing method thereof
US9442230B2 (en) 2011-09-20 2016-09-13 Institute of Microelectronics, Chinese Academy of Sciences Sub-wavelength extreme ultraviolet metal transmission grating and manufacturing method thereof

Also Published As

Publication number Publication date
WO2004031867A3 (en) 2004-09-23
WO2004031861A3 (en) 2004-12-23
US20040131946A1 (en) 2004-07-08
US7160673B2 (en) 2007-01-09
AU2003279127A1 (en) 2004-04-23
US20040135100A1 (en) 2004-07-15
WO2004031867A2 (en) 2004-04-15
AU2003279127A8 (en) 2004-04-23
AU2003279122A1 (en) 2004-04-23
AU2003279122A8 (en) 2004-04-23
US7348104B2 (en) 2008-03-25

Similar Documents

Publication Publication Date Title
US7348104B2 (en) System and method for fabrication and replication of diffractive optical elements for maskless lithography
US5900637A (en) Maskless lithography using a multiplexed array of fresnel zone plates
US7304318B2 (en) System and method for maskless lithography using an array of sources and an array of focusing elements
US6498685B1 (en) Maskless, microlens EUV lithography system
US6162577A (en) Method for extreme ultraviolet lithography
US5621498A (en) Projection exposure apparatus
US7271877B2 (en) Method and apparatus for maskless photolithography
Kley Continuous profile writing by electron and optical lithography
US6960773B2 (en) System and method for maskless lithography using an array of improved diffractive focusing elements
US6107000A (en) Method for producing micro-optic elements with gray scale mask
US6373553B1 (en) Photo-lithographic method to print a line-space pattern with a pitch equal to half the pitch of the mask
US7760435B2 (en) Method of fabricating tridimensional micro- and nanostructures as well as optical element assembly having a tridimensional convex structure obtained by the method
CN112596347B (en) Multiple exposure method for digital mask projection lithography
US6613498B1 (en) Modulated exposure mask and method of using a modulated exposure mask
Gil et al. Lithographic patterning and confocal imaging with zone plates
JP3905081B2 (en) Lithographic apparatus and device manufacturing method
US20060186355A1 (en) Phase-shift masked zone plate array lithography
US7705965B2 (en) Backside lithography and backside immersion lithography
Carter et al. Zone-plate array lithography (ZPAL): a new maskless approach
JP2004177956A (en) Method for manufacturing optical element, lithographic apparatus, and device manufacturing method
Vladimirsky et al. Fabrication of free-standing x-ray transmission gratings and zone plates
Lee et al. Low Cost High Quality Fabrication Methods and CAD for Diffractive Optics and Computer Holograms Compatible with Micro-Electronics and Micro-Mechanics Fabrication
JP2004029074A (en) Aperture rate distribution mask

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP