WO2004032224A1 - Method and apparatus for controlling a fabrication process based on a measured electrical characteristic - Google Patents

Method and apparatus for controlling a fabrication process based on a measured electrical characteristic Download PDF

Info

Publication number
WO2004032224A1
WO2004032224A1 PCT/US2003/029037 US0329037W WO2004032224A1 WO 2004032224 A1 WO2004032224 A1 WO 2004032224A1 US 0329037 W US0329037 W US 0329037W WO 2004032224 A1 WO2004032224 A1 WO 2004032224A1
Authority
WO
WIPO (PCT)
Prior art keywords
operating
parameter
controller
electrical performance
feature
Prior art date
Application number
PCT/US2003/029037
Other languages
French (fr)
Inventor
Robert J. Chong
Jin Wang
Original Assignee
Advanced Micro Devices, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices, Inc. filed Critical Advanced Micro Devices, Inc.
Priority to AU2003270675A priority Critical patent/AU2003270675A1/en
Priority to KR1020057005288A priority patent/KR101165791B1/en
Priority to GB0505102A priority patent/GB2410377B/en
Priority to JP2004541555A priority patent/JP5214091B2/en
Priority to DE10393371T priority patent/DE10393371T5/en
Publication of WO2004032224A1 publication Critical patent/WO2004032224A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Definitions

  • TECHNICAL FIELD This invention relates generally to the field of semiconductor device manufacturing and, more particularly, to a method and apparatus for controlling a fabrication process based on a measured electrical characteristic.
  • a set of processing steps is performed on a lot of wafers using a variety of processing tools, including photolithography steppers, etch tools, deposition tools, polishing tools, rapid thermal processing tools, implantation tools, etc.
  • processing tools including photolithography steppers, etch tools, deposition tools, polishing tools, rapid thermal processing tools, implantation tools, etc.
  • the technologies underlying semiconductor processing tools have attracted increased attention over the last several years, resulting in substantial refinements.
  • many of the processing tools that are currently commercially available suffer certain deficiencies.
  • such tools often lack advanced process data monitoring capabilities, such as the ability to provide historical parametric data in a user-friendly format, as well as event logging, real-time graphical display of both current processing parameters and the processing parameters of the entire run, and remote, i.e., local site and worldwide, monitoring.
  • One technique for improving the operation of semiconductor processing line includes using a factory wide control system to automatically control the operation of the various processing tools.
  • the manufacturing tools communicate with a manufacturing framework or a network of processing modules. Each manufacturing tool is generally connected to an equipment interface.
  • the equipment interface is connected to a machine interface which facilitates communications between the manufacturing tool and the manufacturing framework.
  • the machine interface can generally be part of an advanced process control (APC) system.
  • APC advanced process control
  • the APC system initiates a control script based upon a manufacturing model, which can be a software program that automatically retrieves the data needed to execute a manufacturing process.
  • a manufacturing model can be a software program that automatically retrieves the data needed to execute a manufacturing process.
  • semiconductor devices are staged through multiple manufacturing tools for multiple processes, generating data relating to the quality of the processed semiconductor devices.
  • various events may take place that affect the performance of the devices being fabricated. That is, variations in the fabrication process steps result in device performance variations. Factors, such as feature critical dimensions, doping levels, contact resistance, particle contamination, etc., all may potentially affect the end performance of the device.
  • Various tools in the processing line are controlled in accordance with performance models to reduce processing variation.
  • Commonly controlled tools include photolithography steppers, polishing tools, etching tools, and deposition tools.
  • Pre-processing and/or postprocessing metrology data is supplied to process controllers for the tools.
  • Operating recipe parameters, such as processing time, are calculated by the process controllers based on the performance model and the metrology information to attempt to achieve post-processing results as close to a target value as possible. Reducing variation in this manner leads to increased throughput, reduced cost, higher device performance, etc., all of which equate to increased profitability.
  • Target values for the various processes performed are generally based on design values for the devices being fabricated.
  • a particular process layer may have a target thickness.
  • Operating recipes for deposition tools and/or polishing tools may be automatically controlled to reduce variation about the target thickness.
  • the critical dimensions of a transistor gate electrode may have an associated target value.
  • the operating recipes of photolithography tools and/or etch tools may be automatically controlled to achieve the target critical dimensions.
  • electrical measurements that determine the performance of the fabricated devices are not conducted until relatively late in the fabrication process, and sometimes not until the final test stage. This lag between the fabrication of the devices and the measurement of their performance characteristics makes it difficult to automatically control the fabrication processes to achieve the performance goals.
  • the electrical performance characteristics (e.g., speed, contact resistance, power consumption, etc.) of the devices manufactured are indirectly controlled by controlling the physical characteristics of the devices based on the design values determined for the dimensions and materials for the features. Variations in the actual device characteristics from the target values cause corresponding variation in the electrical performance characteristics. In some cases, a plurality of sources of variation may combine in an additive fashion to cause the electrical performance characteristics of the completed devices to be degraded or entirely unacceptable.
  • the target values are typically static.
  • one or more of the fabrication processes may have difficulty reliably meeting its target.
  • Various factors, such as tool cleanliness, age of consumable items, etc. can affect the performance and controllability of a tool. This variation from target deleteriously affects the electrical performance characteristics of the completed devices in a manner that is not readily accounted for by indirect control.
  • the present invention is directed to overcoming, or at least reducing the effects of, one or more of the problems set forth above.
  • One aspect of the present invention is seen in a method that includes performing at least one process for forming a feature of a semiconductor device in accordance with an operating recipe.
  • An electrical performance characteristic of the feature is measured.
  • the measured electrical performance characteristic is compared to a target value for the electrical performance characteristic.
  • At least one parameter of the operating recipe is determined based on the comparison.
  • a system including a process tool, a metrology tool, and a controller.
  • the process tool is configured to perform at least one process for forming a feature of a semiconductor device in accordance with an operating recipe.
  • the metrology tool is configured to measure an electrical performance characteristic of the feature.
  • the controller is configured to compare the measured electrical performance characteristic to a target value for the electrical performance characteristic and determine at least one parameter of the operating recipe based on the comparison.
  • Figure 1 is a simplified block diagram of a manufacturing system in accordance with one illustrative embodiment of the present invention
  • Figure 2 is a simplified block diagram of a portion of the manufacturing system of Figure 1;
  • Figure 3A through 3D are cross section views of an illustrative device being manufactured by the manufacturing system of Figure 1;
  • Figure 4 is a simplified block diagram of an alternative embodiment of the portion of the manufacturing system of Figure 2; and Figure 5 is a simplified flow diagram of a method for controlling a fabrication process based on a measured electrical performance characteristic.
  • FIG. 1 a simplified block diagram of an illustrative manufacturing system 10 is provided.
  • the manufacturing system 10 is adapted to fabricate semiconductor devices.
  • the invention is described as it may be implemented in a semiconductor fabrication facility, the invention is not so limited and may be applied to other manufacturing environments.
  • the techniques described herein may be applied to a variety of workpieces or manufactured items, including, but not limited to, microprocessors, memory devices, digital signal processors, application specific integrated circuits (ASICs), or other similar devices.
  • the techniques may also be applied to workpieces or manufactured items other than semiconductor devices.
  • a network 20 interconnects various components of the manufacturing system 10, allowing them to exchange information.
  • the illustrative manufacturing system 10 includes a plurality of tools 30-80. Each of the tools 30-80 may be coupled to a computer (not shown) for interfacing with the network 20.
  • the tools 30-80 are grouped into sets of like tools, as denoted by lettered suffixes.
  • the set of tools 30A-30C represent tools of a certain type, such as a chemical mechanical planarization tool. A particular wafer or lot of wafers progresses through the tools 30-80 as it is being manufactured, with each tool 30-80 performing a specific function in the process flow.
  • Exemplary processing tools for a semiconductor device fabrication environment include metrology tools, photolithography steppers, etch tools, deposition tools, polishing tools, rapid thermal processing tools, implantation tools, etc.
  • the tools 30-80 are illustrated in a rank and file grouping for illustrative purposes only. In an actual implementation, the tools 30-80 may be arranged in any physical order or grouping. Additionally, the connections between the tools in a particular grouping are meant to represent connections to the network 20, rather than interconnections between the tools 30-80.
  • a manufacturing execution system (MES) server 90 directs high level operation of the manufacturing system 10.
  • the MES server 90 monitors the status of the various entities in the manufacturing system 10 (i.e., lots, tools 30-80) and controls the flow of articles of manufacture (e.g., lots of semiconductor wafers) through the process flow.
  • a database server 100 is provided for storing data related to the status of the various entities and articles of manufacture in the process flow.
  • the database server 100 may store information in one or more data stores 110.
  • the data may include pre-process and post-process metrology data, tool states, lot priorities, etc.
  • An exemplary information exchange and process control framework suitable for use in the manufacturing system 10 is an Advanced Process Control (APC) framework, such as may be implemented using the Catalyst system offered by KLA-Tencor, Inc.
  • the Catalyst system uses Semiconductor Equipment and Materials International (SEMI) Computer Integrated Manufacturing (CIM) Framework compliant system technologies and is based the Advanced Process Control (APC) Framework.
  • SEMI Semiconductor Equipment and Materials International
  • CIM Computer Integrated Manufacturing
  • API Advanced Process Control
  • CIM SEMI E81-0699 - Provisional Specification for CIM Framework Domain Architecture
  • APC SEMI E93-0999 - Provisional Specification for CIM Framework Advanced Process Control Component
  • the manufacturing system 10 also includes an electrical parameter controller 140 executing on a workstation 150.
  • the electrical parameter controller 140 receives feedback data regarding an electrical performance parameter of a fabricated device (e.g, from one of the tools 30-80 operating as a metrology tool) and determines one or more operating recipe parameters of one or more of the tools 30-80 operating as process tools.
  • Exemplary measured electrical performance parameters may include contact resistance, line resistance, drive current, power consumption, etc.
  • the term electrical performance characteristic refers to an electrical measurement that indicates the performance of the feature.
  • the invention is described as it may be used to control a contact resistance parameter associated with a contact feature, however, the application of the invention is not so limited, as it may be applied to other electrical performance parameters.
  • the various entities depicted in Figure 1 are shown as being separate, one or more of them may be integrated into a single unit.
  • Process tools 200, 210, 220, 230 processes wafers 240 to form contact openings thereon.
  • Each process tool 200, 210, 220, 230 implements an operating recipe.
  • the functions of the tools 200, 210, 220, 230 in forming contacts are described in reference to Figures 3 A through 3D.
  • the process tool 200 is an etch tool 200 configured to etch a contract opening 300 in an insulative layer 310 (e.g., TEOS, low-k dielectric) to contact an underlying conductive layer 320 (e.g., salicide, polysilicon), as shown in Figure 3A.
  • an insulative layer 310 e.g., TEOS, low-k dielectric
  • conductive layer 320 e.g., salicide, polysilicon
  • the width of the contact opening 300 is typically governed by the width of the corresponding opening formed in a photoresist layer through which the contact opening is etched
  • the operating recipe parameters of the etch tool 200 e.g., etch time, plasma power, pressure, gas concentration
  • the contact resistance of the completed contact decreases.
  • the process tool 210 is a deposition tool 210 configured to line the contact opening 300.
  • the deposition tool 210 forms a barrier layer 330 (e.g., titanium, tantalum, tantalum nitride, titanium nitride, or some combination of these) to line the contact opening 300 and a seed layer 340 (e.g., copper for a copper fill or polysilicon for a tungsten fill) over the barrier layer 330.
  • the barrier layer 330 helps to reduce electromigration of the material used to fill the contract opening 300 (e.g., copper) into the insulative layer 310.
  • the portion of the barrier layer 330 at the bottom of the contact opening 300 is removed (i.e., using other process steps not described) prior to forming the seed layer 340, although this feature may not be present in all embodiments.
  • the seed layer 340 provides a base for a subsequent plating process to fill the contact opening.
  • the particular makeup of the barrier and layers 340, and the ratio of the thicknesses of the components affect the contact resistance of the completed. For example, in a barrier layer 330 including titanium and titanium nitride reducing the thickness of the titanium nitride relative to that of the titanium (i.e., while maintaining a fixed combined thickness) reduces the contact resistance.
  • the process tool 220 is a plating tool 220 configured to fill (e.g., by electroplating or electroless plating) the contact opening with a conductive layer 350 (e.g., copper), as shown in Figure 3C.
  • a conductive layer 350 e.g., copper
  • Various plating parameters such as temperature, solution concentrations, applied voltage, plating time, etc. affect the physical properties of the conductive layer (e.g., grain size), thereby affecting the contact resistance of the contact opening. Generally, a smaller grain size equates to reduced contact resistance.
  • the process tool 230 is a polishing tool 230 configured to remove portions of the conductive layer 350 extending beyond the contact opening 300, as shown in Figure 3D.
  • Polishing parameters such as polish time, downforce, polishing pad speed, polishing arm oscillation magnitude and frequency, slurry chemical composition, temperature, etc., effect the amount of material removed. If a portion 360 of the conductive layer 350 within the contact opening 300 is removed (i.e., a phenomenon referred to as dishing), the contact resistance is increased.
  • the manufacturing system 10 further includes a metrology tool 250 configured to measure an electrical performance parameter of a feature formed on the wafer 240.
  • the metrology tool 250 is configured to measure the contact resistance of the completed contact 370 (shown in Figure 3D).
  • the metrology tool 250 provides the measured electrical performance parameter (e.g., contact resistance) to the electrical parameter controller 140.
  • various operating recipe parameters may affect the contact resistance of the completed contact 370.
  • the electrical parameter controller 140 interfaces with one or more of the process tools 200, 210, 220, 230 to determine one or more of the operating recipe parameters based on the measured feedback.
  • the electrical parameter controller 140 may use a control model of the controlled process tool(s) 200, 210, 220, 230 to determine the operating recipe parameter(s).
  • the control model may be developed empirically using commonly known linear or non-linear techniques.
  • the control model may be a relatively simple equation based model (e.g., linear, exponential, weighted average, etc.) or a more complex model, such as a state space model, a finite impulse response (FIR) model, a neural network model, a principal component analysis (PCA) model, or a projection to latent structures (PLS) model.
  • FIR finite impulse response
  • PCA principal component analysis
  • PLS projection to latent structures
  • the electrical parameter controller 140 may determine operating recipe parameters to reduce variations in the contact resistance of the completed contacts 370 by comparing the measured electrical performance parameter to a target value for the electrical performance parameter.
  • the particular control scenario depends on the particular type of process tool 30-80 being controlled.
  • the feedback data collected by the metrology tool 250 may be used to update the control model(s) employed by the electrical parameter controller 140.
  • Other feedback data collected by other metrology tools (not shown) regarding the physical characteristics of the contacts 370 (e.g., contact opening width, grain size, planarity, etc.) may also be used to update the control model.
  • the individual process tools 200, 210, 220, 230 may have their own process controllers 202, 212, 222, 232 that control the operating recipe parameters based on feedback data collected regarding the physical characteristics of the contacts 370.
  • the electrical parameter controller 140 may interface with these process controllers 202, 212, 222, 232 to influence the contact resistance at the contact level.
  • the electrical parameter controller 140 and the process controllers 202, 212, 222, 232 are shown as separate entities, one or more of them may be combined into a single entity, depending on the particular implementation.
  • the process controllers 202, 212, 222, 232 may control their associated process tools 200, 210, 220,
  • the electrical parameter controller 140 could coordinate its control actions with that of one of the process controllers 202, 212, 222, 232 based on the measured contact resistance feedback.
  • the electrical parameter controller 140 may provide an offset to the base target value employed by the process controller 202, 212, 222, 232.
  • the electrical parameter controller 140 may provide an offset to the base operating recipe parameter determined by the process controller 202, 212, 222, 232.
  • the electrical parameter controller 140 could add an offset to the base etch time determined by the process controller 202 for the etch tool 200 to increase the size of the contact opening, further affecting a decrease in the contact resistance for subsequently processed wafers 240.
  • the electrical parameter controller 140 could change the base target value for the width of the contact opening 300, and the process controller 202 would determine new operating recipe parameters to affect the change. Similar scenarios may be implemented for the other operating recipe parameters that affect the contact resistance (i.e., or other controlled electrical performance parameter) as described above for the various process tools 200, 210, 220, 230.
  • Exemplary electrical performance characteristics that may be controlled for a transistor device include polysilicon sheet resistance or gate voltage.
  • the processes that may be controlled based on the electrical performance measurement feedback include implantation, etching to define gate width, suicide formation, deposition for gate stack layer thicknesses and makeup (e.g., polysilicon dopants).
  • FIG. 5 a simplified flow diagram of a method for controlling a fabrication process based on a measured electrical performance characteristic in accordance with another illustrative embodiment of the present invention is shown.
  • at least one process for forming a feature of a semiconductor device is performed in accordance with an operating recipe.
  • an electrical performance characteristic of the feature is measured.
  • the measured electrical performance characteristic is compared to a target value for the electrical performance characteristic.
  • at least one parameter of the operating recipe is determined based on the comparison.
  • the electrical parameter controller 140 interfaces with one or more of the process tools 200, 210, 220, 230 used to form the contacts 370, it may control the process at the module level (i.e., the contact 370), as opposed to the feature level. In other words, the electrical parameter controller 140 directly controls the contact resistance. Variations introduced in the various processing steps may be accounted for without imposing a drift from the desired contact resistance values.

Abstract

A method includes performing at least one process for forming a feature of a semiconductor device in accordance with an operating recipe. An electrical performance characteristic of the feature is measured. The measured electrical performance characteristic is compared to a target value for the electrical performance characteristic. At least one parameter of the operating recipe is determined based on the comparison. A system (10, 100) includes a process tool (30-80, 200, 210, 220, 230), a metrology tool (30-80, 250), and a controller (140). The process tool (30-80, 200, 210, 220, 230) is configured to perform at least one process for forming a feature of a semiconductor device in accordance with an operating recipe. The metrology tool (30-80, 250) is configured to measure an electrical performance characteristic of the feature. The controller (140) is configured to compare the measured electrical performance characteristic to a target value for the electrical performance characteristic and determine at least one parameter of the operating recipe based on the comparison.

Description

METHOD AND APPARATUS FOR CONTROLLING A FABRICATION PROCESS BASED ON A MEASURED ELECTRICAL CHARACTERISTIC
TECHNICAL FIELD This invention relates generally to the field of semiconductor device manufacturing and, more particularly, to a method and apparatus for controlling a fabrication process based on a measured electrical characteristic.
BACKGROUND ART There is a constant drive within the semiconductor industry to increase the quality, reliability and throughput of integrated circuit devices, e.g., microprocessors, memory devices, and the like. This drive is fueled by consumer demands for higher quality computers and electronic devices that operate more reliably. These demands have resulted in a continual improvement in the manufacture of semiconductor devices, e.g., transistors, as well as in the manufacture of integrated circuit devices incoφorating such transistors. Additionally, reducing the defects in the manufacture of the components of a typical transistor also lowers the overall cost per transistor as well as the cost of integrated circuit devices incorporating such transistors.
Generally, a set of processing steps is performed on a lot of wafers using a variety of processing tools, including photolithography steppers, etch tools, deposition tools, polishing tools, rapid thermal processing tools, implantation tools, etc. The technologies underlying semiconductor processing tools have attracted increased attention over the last several years, resulting in substantial refinements. However, despite the advances made in this area, many of the processing tools that are currently commercially available suffer certain deficiencies. In particular, such tools often lack advanced process data monitoring capabilities, such as the ability to provide historical parametric data in a user-friendly format, as well as event logging, real-time graphical display of both current processing parameters and the processing parameters of the entire run, and remote, i.e., local site and worldwide, monitoring. These deficiencies can engender non-optimal control of critical processing parameters, such as throughput, accuracy, stability and repeatability, processing temperatures, mechanical tool parameters, and the like. This variability manifests itself as within-run disparities, run-to-run disparities and tool-to-tool disparities that can propagate into deviations in product quality and performance, whereas an ideal monitoring and diagnostics system for such tools would provide a means of monitoring this variability, as well as providing means for optimizing control of critical parameters. One technique for improving the operation of semiconductor processing line includes using a factory wide control system to automatically control the operation of the various processing tools. The manufacturing tools communicate with a manufacturing framework or a network of processing modules. Each manufacturing tool is generally connected to an equipment interface. The equipment interface is connected to a machine interface which facilitates communications between the manufacturing tool and the manufacturing framework. The machine interface can generally be part of an advanced process control (APC) system. The APC system initiates a control script based upon a manufacturing model, which can be a software program that automatically retrieves the data needed to execute a manufacturing process. Often, semiconductor devices are staged through multiple manufacturing tools for multiple processes, generating data relating to the quality of the processed semiconductor devices. During the fabrication process various events may take place that affect the performance of the devices being fabricated. That is, variations in the fabrication process steps result in device performance variations. Factors, such as feature critical dimensions, doping levels, contact resistance, particle contamination, etc., all may potentially affect the end performance of the device. Various tools in the processing line are controlled in accordance with performance models to reduce processing variation. Commonly controlled tools include photolithography steppers, polishing tools, etching tools, and deposition tools. Pre-processing and/or postprocessing metrology data is supplied to process controllers for the tools. Operating recipe parameters, such as processing time, are calculated by the process controllers based on the performance model and the metrology information to attempt to achieve post-processing results as close to a target value as possible. Reducing variation in this manner leads to increased throughput, reduced cost, higher device performance, etc., all of which equate to increased profitability.
Target values for the various processes performed are generally based on design values for the devices being fabricated. For example, a particular process layer may have a target thickness. Operating recipes for deposition tools and/or polishing tools may be automatically controlled to reduce variation about the target thickness. In another example, the critical dimensions of a transistor gate electrode may have an associated target value. The operating recipes of photolithography tools and/or etch tools may be automatically controlled to achieve the target critical dimensions.
In some cases, electrical measurements that determine the performance of the fabricated devices are not conducted until relatively late in the fabrication process, and sometimes not until the final test stage. This lag between the fabrication of the devices and the measurement of their performance characteristics makes it difficult to automatically control the fabrication processes to achieve the performance goals.
Typically, the electrical performance characteristics (e.g., speed, contact resistance, power consumption, etc.) of the devices manufactured are indirectly controlled by controlling the physical characteristics of the devices based on the design values determined for the dimensions and materials for the features. Variations in the actual device characteristics from the target values cause corresponding variation in the electrical performance characteristics. In some cases, a plurality of sources of variation may combine in an additive fashion to cause the electrical performance characteristics of the completed devices to be degraded or entirely unacceptable.
Typically, there may be more than one set of design or target values that can be used to achieve a particular electrical performance characteristic goal. However, because an indirect method of controlling the electrical performance characteristics is employed, the target values are typically static. In some situations, one or more of the fabrication processes may have difficulty reliably meeting its target. Various factors, such as tool cleanliness, age of consumable items, etc., can affect the performance and controllability of a tool. This variation from target deleteriously affects the electrical performance characteristics of the completed devices in a manner that is not readily accounted for by indirect control.
The present invention is directed to overcoming, or at least reducing the effects of, one or more of the problems set forth above.
DISCLOSURE OF INVENTION One aspect of the present invention is seen in a method that includes performing at least one process for forming a feature of a semiconductor device in accordance with an operating recipe. An electrical performance characteristic of the feature is measured. The measured electrical performance characteristic is compared to a target value for the electrical performance characteristic. At least one parameter of the operating recipe is determined based on the comparison.
Another aspect of the present invention is seen in a system including a process tool, a metrology tool, and a controller. The process tool is configured to perform at least one process for forming a feature of a semiconductor device in accordance with an operating recipe. The metrology tool is configured to measure an electrical performance characteristic of the feature. The controller is configured to compare the measured electrical performance characteristic to a target value for the electrical performance characteristic and determine at least one parameter of the operating recipe based on the comparison. BRIEF DESCRIPTION OF THE DRAWINGS
The invention may be understood by reference to the following description taken in conjunction with the accompanying drawings, in which like reference numerals identify like elements, and in which:
Figure 1 is a simplified block diagram of a manufacturing system in accordance with one illustrative embodiment of the present invention; Figure 2 is a simplified block diagram of a portion of the manufacturing system of Figure 1; and
Figure 3A through 3D are cross section views of an illustrative device being manufactured by the manufacturing system of Figure 1;
Figure 4 is a simplified block diagram of an alternative embodiment of the portion of the manufacturing system of Figure 2; and Figure 5 is a simplified flow diagram of a method for controlling a fabrication process based on a measured electrical performance characteristic.
While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof have been shown by way of example in the drawings and are herein described in detail. It should be understood, however, that the description herein of specific embodiments is not intended to limit the invention to the particular forms disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims.
MODE(S) FOR CARRYING OUT THE INVENTION
Illustrative embodiments of the invention are described below. In the interest of clarity, not all features of an actual implementation are described in this specification. It will of course be appreciated that in the development of any such actual embodiment, numerous implementation-specific decisions must be made to achieve the developers' specific goals, such as compliance with system-related and business-related constraints, which will vary from one implementation to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking for those of ordinary skill in the art having the benefit of this disclosure.
Referring to Figure 1, a simplified block diagram of an illustrative manufacturing system 10 is provided. In the illustrated embodiment, the manufacturing system 10 is adapted to fabricate semiconductor devices. Although the invention is described as it may be implemented in a semiconductor fabrication facility, the invention is not so limited and may be applied to other manufacturing environments. The techniques described herein may be applied to a variety of workpieces or manufactured items, including, but not limited to, microprocessors, memory devices, digital signal processors, application specific integrated circuits (ASICs), or other similar devices. The techniques may also be applied to workpieces or manufactured items other than semiconductor devices.
A network 20 interconnects various components of the manufacturing system 10, allowing them to exchange information. The illustrative manufacturing system 10 includes a plurality of tools 30-80. Each of the tools 30-80 may be coupled to a computer (not shown) for interfacing with the network 20. The tools 30-80 are grouped into sets of like tools, as denoted by lettered suffixes. For example, the set of tools 30A-30C represent tools of a certain type, such as a chemical mechanical planarization tool. A particular wafer or lot of wafers progresses through the tools 30-80 as it is being manufactured, with each tool 30-80 performing a specific function in the process flow. Exemplary processing tools for a semiconductor device fabrication environment include metrology tools, photolithography steppers, etch tools, deposition tools, polishing tools, rapid thermal processing tools, implantation tools, etc. The tools 30-80 are illustrated in a rank and file grouping for illustrative purposes only. In an actual implementation, the tools 30-80 may be arranged in any physical order or grouping. Additionally, the connections between the tools in a particular grouping are meant to represent connections to the network 20, rather than interconnections between the tools 30-80.
A manufacturing execution system (MES) server 90 directs high level operation of the manufacturing system 10. The MES server 90 monitors the status of the various entities in the manufacturing system 10 (i.e., lots, tools 30-80) and controls the flow of articles of manufacture (e.g., lots of semiconductor wafers) through the process flow. A database server 100 is provided for storing data related to the status of the various entities and articles of manufacture in the process flow. The database server 100 may store information in one or more data stores 110. The data may include pre-process and post-process metrology data, tool states, lot priorities, etc.
Portions of the invention and corresponding detailed description are presented in terms of software, or algorithms and symbolic representations of operations on data bits within a computer memory. These descriptions and representations are the ones by which those of ordinary skill in the art effectively convey the substance of their work to others of ordinary skill in the art. An algorithm, as the term is used here, and as it is used generally, is conceived to be a self-consistent sequence of steps leading to a desired result. The steps are those requiring physical manipulations of physical quantities. Usually, though not necessarily, these quantities take the form of optical, electrical, or magnetic signals capable of being stored, transferred, combined, compared, and otherwise manipulated. It has proven convenient at times, principally for reasons of common usage, to refer to these signals as bits, values, elements, symbols, characters, terms, numbers, or the like.
It should be borne in mind, however, that all of these and similar terms are to be associated with the appropriate physical quantities and are merely convenient labels applied to these quantities. Unless specifically stated otherwise, or as is apparent from the discussion, terms such as "processing" or "computing" or "calculating" or "determining" or "displaying" or the like, refer to the action and processes of a computer system, or similar electronic computing device, that manipulates and transforms data represented as physical, electronic quantities within the computer system's registers and memories into other data similarly represented as physical quantities within the computer system memories or registers or other such information storage, transmission or display devices. An exemplary information exchange and process control framework suitable for use in the manufacturing system 10 is an Advanced Process Control (APC) framework, such as may be implemented using the Catalyst system offered by KLA-Tencor, Inc. The Catalyst system uses Semiconductor Equipment and Materials International (SEMI) Computer Integrated Manufacturing (CIM) Framework compliant system technologies and is based the Advanced Process Control (APC) Framework. CIM (SEMI E81-0699 - Provisional Specification for CIM Framework Domain Architecture) and APC (SEMI E93-0999 - Provisional Specification for CIM Framework Advanced Process Control Component) specifications are publicly available from SEMI, which is headquartered in Mountain View, CA.
The processing and data storage functions are distributed amongst the different computers or workstations in Figure 1 to provide general independence and central information storage. Of course, different numbers of computers and different arrangements may be used without departing from the spirit and scope of the instant invention.
The manufacturing system 10 also includes an electrical parameter controller 140 executing on a workstation 150. As described in greater detail below, the electrical parameter controller 140 receives feedback data regarding an electrical performance parameter of a fabricated device (e.g, from one of the tools 30-80 operating as a metrology tool) and determines one or more operating recipe parameters of one or more of the tools 30-80 operating as process tools. Exemplary measured electrical performance parameters may include contact resistance, line resistance, drive current, power consumption, etc. In general, the term electrical performance characteristic refers to an electrical measurement that indicates the performance of the feature. For purposes of illustration, the invention is described as it may be used to control a contact resistance parameter associated with a contact feature, however, the application of the invention is not so limited, as it may be applied to other electrical performance parameters. Although the various entities depicted in Figure 1 are shown as being separate, one or more of them may be integrated into a single unit.
Referring now to Figure 2, a simplified block diagram of a portion of the manufacturing system 10 of Figure 1 is provided. Process tools 200, 210, 220, 230 (e.g., selected form the tools 30-80) processes wafers 240 to form contact openings thereon. Each process tool 200, 210, 220, 230 implements an operating recipe. The functions of the tools 200, 210, 220, 230 in forming contacts are described in reference to Figures 3 A through 3D.
In the illustrated embodiment, the process tool 200 is an etch tool 200 configured to etch a contract opening 300 in an insulative layer 310 (e.g., TEOS, low-k dielectric) to contact an underlying conductive layer 320 (e.g., salicide, polysilicon), as shown in Figure 3A. While the width of the contact opening 300 is typically governed by the width of the corresponding opening formed in a photoresist layer through which the contact opening is etched, the operating recipe parameters of the etch tool 200 (e.g., etch time, plasma power, pressure, gas concentration) do have an effect on the width of the contact opening 300. As the width of the contact opening increases, the contact resistance of the completed contact decreases.
The process tool 210 is a deposition tool 210 configured to line the contact opening 300. As seen in Figure 3B, the deposition tool 210 forms a barrier layer 330 (e.g., titanium, tantalum, tantalum nitride, titanium nitride, or some combination of these) to line the contact opening 300 and a seed layer 340 (e.g., copper for a copper fill or polysilicon for a tungsten fill) over the barrier layer 330. The barrier layer 330 helps to reduce electromigration of the material used to fill the contract opening 300 (e.g., copper) into the insulative layer 310. In the illustrated embodiment, the portion of the barrier layer 330 at the bottom of the contact opening 300 is removed (i.e., using other process steps not described) prior to forming the seed layer 340, although this feature may not be present in all embodiments. The seed layer 340 provides a base for a subsequent plating process to fill the contact opening. The particular makeup of the barrier and layers 340, and the ratio of the thicknesses of the components affect the contact resistance of the completed. For example, in a barrier layer 330 including titanium and titanium nitride reducing the thickness of the titanium nitride relative to that of the titanium (i.e., while maintaining a fixed combined thickness) reduces the contact resistance.
The process tool 220 is a plating tool 220 configured to fill (e.g., by electroplating or electroless plating) the contact opening with a conductive layer 350 (e.g., copper), as shown in Figure 3C. Various plating parameters, such as temperature, solution concentrations, applied voltage, plating time, etc. affect the physical properties of the conductive layer (e.g., grain size), thereby affecting the contact resistance of the contact opening. Generally, a smaller grain size equates to reduced contact resistance.
The process tool 230, is a polishing tool 230 configured to remove portions of the conductive layer 350 extending beyond the contact opening 300, as shown in Figure 3D. Polishing parameters, such as polish time, downforce, polishing pad speed, polishing arm oscillation magnitude and frequency, slurry chemical composition, temperature, etc., effect the amount of material removed. If a portion 360 of the conductive layer 350 within the contact opening 300 is removed (i.e., a phenomenon referred to as dishing), the contact resistance is increased.
The manufacturing system 10 further includes a metrology tool 250 configured to measure an electrical performance parameter of a feature formed on the wafer 240. In the illustrated embodiment, the metrology tool 250 is configured to measure the contact resistance of the completed contact 370 (shown in Figure 3D). The metrology tool 250 provides the measured electrical performance parameter (e.g., contact resistance) to the electrical parameter controller 140. As described above, various operating recipe parameters may affect the contact resistance of the completed contact 370. The electrical parameter controller 140 interfaces with one or more of the process tools 200, 210, 220, 230 to determine one or more of the operating recipe parameters based on the measured feedback.
The electrical parameter controller 140 may use a control model of the controlled process tool(s) 200, 210, 220, 230 to determine the operating recipe parameter(s). The control model may be developed empirically using commonly known linear or non-linear techniques. The control model may be a relatively simple equation based model (e.g., linear, exponential, weighted average, etc.) or a more complex model, such as a state space model, a finite impulse response (FIR) model, a neural network model, a principal component analysis (PCA) model, or a projection to latent structures (PLS) model. The specific implementation of the model may vary depending on the modeling technique selected. Using the control model, the electrical parameter controller 140 may determine operating recipe parameters to reduce variations in the contact resistance of the completed contacts 370 by comparing the measured electrical performance parameter to a target value for the electrical performance parameter. The particular control scenario depends on the particular type of process tool 30-80 being controlled.
The feedback data collected by the metrology tool 250 may be used to update the control model(s) employed by the electrical parameter controller 140. Other feedback data collected by other metrology tools (not shown) regarding the physical characteristics of the contacts 370 (e.g., contact opening width, grain size, planarity, etc.) may also be used to update the control model.
In another embodiment, shown in Figure 4, the individual process tools 200, 210, 220, 230 may have their own process controllers 202, 212, 222, 232 that control the operating recipe parameters based on feedback data collected regarding the physical characteristics of the contacts 370. The electrical parameter controller 140 may interface with these process controllers 202, 212, 222, 232 to influence the contact resistance at the contact level. Although the electrical parameter controller 140 and the process controllers 202, 212, 222, 232 are shown as separate entities, one or more of them may be combined into a single entity, depending on the particular implementation. The process controllers 202, 212, 222, 232 may control their associated process tools 200, 210, 220,
230 based on their established base target values and collected feedback, and the electrical parameter controller 140 could coordinate its control actions with that of one of the process controllers 202, 212, 222, 232 based on the measured contact resistance feedback. For example, in one embodiment, the electrical parameter controller 140 may provide an offset to the base target value employed by the process controller 202, 212, 222, 232. In another embodiment, the electrical parameter controller 140 may provide an offset to the base operating recipe parameter determined by the process controller 202, 212, 222, 232.
For the operating parameter adjustment case, if the measured contact resistance were too high, the electrical parameter controller 140 could add an offset to the base etch time determined by the process controller 202 for the etch tool 200 to increase the size of the contact opening, further affecting a decrease in the contact resistance for subsequently processed wafers 240.
In another implementation employing the target adjustment embodiment, the electrical parameter controller 140 could change the base target value for the width of the contact opening 300, and the process controller 202 would determine new operating recipe parameters to affect the change. Similar scenarios may be implemented for the other operating recipe parameters that affect the contact resistance (i.e., or other controlled electrical performance parameter) as described above for the various process tools 200, 210, 220, 230.
Exemplary electrical performance characteristics that may be controlled for a transistor device include polysilicon sheet resistance or gate voltage. The processes that may be controlled based on the electrical performance measurement feedback include implantation, etching to define gate width, suicide formation, deposition for gate stack layer thicknesses and makeup (e.g., polysilicon dopants). Turning now to Figure 5, a simplified flow diagram of a method for controlling a fabrication process based on a measured electrical performance characteristic in accordance with another illustrative embodiment of the present invention is shown. In block 500, at least one process for forming a feature of a semiconductor device is performed in accordance with an operating recipe. In block 510, an electrical performance characteristic of the feature is measured. In block 520, the measured electrical performance characteristic is compared to a target value for the electrical performance characteristic. In block 530, at least one parameter of the operating recipe is determined based on the comparison.
Because the electrical parameter controller 140 interfaces with one or more of the process tools 200, 210, 220, 230 used to form the contacts 370, it may control the process at the module level (i.e., the contact 370), as opposed to the feature level. In other words, the electrical parameter controller 140 directly controls the contact resistance. Variations introduced in the various processing steps may be accounted for without imposing a drift from the desired contact resistance values.
The particular embodiments disclosed above are illustrative only, as the invention may be modified and practiced in different but equivalent manners apparent to those skilled in the art having the benefit of the teachings herein. Furthermore, no limitations are intended to the details of construction or design herein shown, other than as described in the claims below. It is therefore evident that the particular embodiments disclosed above may be altered or modified and all such variations are considered within the scope and spirit of the invention. Accordingly, the protection sought herein is as set forth in the claims below.

Claims

1. A method, comprising: performing at least one process for forming a feature of a semiconductor device in accordance with an operating recipe; measuring an electrical performance characteristic of the feature; comparing the measured electrical performance characteristic to the target value for the electrical performance characteristic; and determining at least one parameter of the operating recipe based on the comparison.
2. The method of claim 1, further comprising: performing a plurality of processes to form the feature, each process having an operating recipe; and determining at least one operating parameter of the operating recipes for a subset of the plurality of processes based on the comparison.
3. The method of claim 1, further comprising: determining a base value for the operating recipe parameter; and determining an offset to the base value based on the comparison.
4. The method of claim 1, wherein the process has a base target value associated with a physical characteristic of the feature, and the method further comprises: determining an offset to the base target value based on the; and determining the at least one parameter of the operating recipe based on the base target value and the offset.
5. The method of claim 2, wherein the plurality of processes comprises a process for forming a contact opening in an insulative layer in accordance with a first operating recipe, a process for forming a first process layer lining the contact opening in accordance with a second operating recipe, a process for forming a second process layer over the first process layer in accordance with a third operating recipe, a process for forming a conductive layer to substantially fill the contact opening in accordance with a fourth operating recipe, and process for polishing the conductive layer to remove a portion of the conductive layer extending beyond the contact opening in accordance with a fifth operating recipe, the measured electrical performance characteristic further comprises a contact resistance of the contact, and determining the at least one operating parameter of the operating recipes for a subset of the plurality of processes further comprises determining at least one parameter of at least one of the first, second, third, fourth, and fifth operating recipes based on the comparison.
6. A system (10, 100), comprising: a process tool (30-80, 200, 210, 220, 230) configured to perform at least one process for forming a feature of a semiconductor device in accordance with an operating recipe; a metrology tool (30-80, 250) configured to measure an electrical performance characteristic of the feature; and a controller (140) configured to compare the measured electrical performance characteristic to a target value for the electrical performance characteristic and determine at least one parameter of the operating recipe based on the comparison.
7. The system (10, 100) of claim 6, further comprising a plurality of process tools (30-80, 200,
210, 220, 230) configured to perform a plurality of processes to form the feature, each process tool having an operating recipe, wherein the controller is configured to determine at least one operating parameter of the operating recipes for a subset of the plurality of processes based on the comparison.
8. The system (10, 100) of claim 6, wherein the controller (140) comprises a first controller
(140) and the system further comprises a second controller (202, 212, 222. 232) associated with the process tool (30-80, 200, 210, 220, 230) and configured to determine a base value for the operating recipe parameter, and wherein the first controller (140) is configured to determine an offset to the base value based on the comparison.
9. The system (10, 100) of claim 6, wherein the controller (140) comprises a first controller
(140) and the system (10, 100) further comprises a second controller (202, 210, 222, 232) configured to control the process tool (30-80, 200, 210, 220, 230) in accordance with a base target value associated with a physical characteristic of the feature, and the first controller (140) is further configured to determine an offset to the base target value based on the comparison and the second controller (202, 210, 222, 232) is configured to determine the at least one parameter of the operating recipe based on the base target value and the offset.
10. The system (10, 100) of claim 12, wherein the feature comprises a transistor and the electrical performance characteristic further comprises at least one of a polysilicon sheet resistance parameter and a gate voltage parameter.
PCT/US2003/029037 2002-09-30 2003-09-19 Method and apparatus for controlling a fabrication process based on a measured electrical characteristic WO2004032224A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
AU2003270675A AU2003270675A1 (en) 2002-09-30 2003-09-19 Method and apparatus for controlling a fabrication process based on a measured electrical characteristic
KR1020057005288A KR101165791B1 (en) 2002-09-30 2003-09-19 Method and apparatus for controlling a fabrication process based on a measured electrical characteristic
GB0505102A GB2410377B (en) 2002-09-30 2003-09-19 Method and apparatus for controlling a fabrication process based on a measured electrical characteristic
JP2004541555A JP5214091B2 (en) 2002-09-30 2003-09-19 Method and apparatus for controlling a manufacturing process based on electrical characteristics determined by measurement
DE10393371T DE10393371T5 (en) 2002-09-30 2003-09-19 A method and apparatus for controlling a manufacturing process based on a measured electrical property

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/262,620 2002-09-30
US10/262,620 US6912437B2 (en) 2002-09-30 2002-09-30 Method and apparatus for controlling a fabrication process based on a measured electrical characteristic

Publications (1)

Publication Number Publication Date
WO2004032224A1 true WO2004032224A1 (en) 2004-04-15

Family

ID=32068258

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/029037 WO2004032224A1 (en) 2002-09-30 2003-09-19 Method and apparatus for controlling a fabrication process based on a measured electrical characteristic

Country Status (9)

Country Link
US (1) US6912437B2 (en)
JP (1) JP5214091B2 (en)
KR (1) KR101165791B1 (en)
CN (1) CN100345270C (en)
AU (1) AU2003270675A1 (en)
DE (1) DE10393371T5 (en)
GB (1) GB2410377B (en)
TW (1) TWI327644B (en)
WO (1) WO2004032224A1 (en)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102004009516B4 (en) * 2004-02-27 2010-04-22 Advanced Micro Devices, Inc., Sunnyvale Method and system for controlling a product parameter of a circuit element
US7117059B1 (en) * 2005-04-18 2006-10-03 Promos Technologies Inc. Run-to-run control system and operating method of the same
KR100735012B1 (en) * 2006-01-23 2007-07-03 삼성전자주식회사 Methodology for estimating statistical distribution characteristics of product parameters
DE102007035833B3 (en) * 2007-07-31 2009-03-12 Advanced Micro Devices, Inc., Sunnyvale Advanced automatic deposition profile targeting and control through the use of advanced polishing endpoint feedback
US8338192B2 (en) * 2008-05-13 2012-12-25 Stmicroelectronics, Inc. High precision semiconductor chip and a method to construct the semiconductor chip
US8606379B2 (en) * 2008-09-29 2013-12-10 Fisher-Rosemount Systems, Inc. Method of generating a product recipe for execution in batch processing
US8224475B2 (en) * 2009-03-13 2012-07-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for advanced process control
US8112168B2 (en) * 2009-07-29 2012-02-07 Texas Instruments Incorporated Process and method for a decoupled multi-parameter run-to-run controller
US20110195636A1 (en) * 2010-02-11 2011-08-11 United Microelectronics Corporation Method for Controlling Polishing Wafer
KR101121858B1 (en) * 2010-04-27 2012-03-21 주식회사 하이닉스반도체 Method of manufacturing a semiconductor device
US8832634B2 (en) * 2012-09-05 2014-09-09 Lsi Corporation Integrated circuit characterization based on measured and static apparent resistances
JP2014053505A (en) * 2012-09-07 2014-03-20 Toshiba Corp Semiconductor device manufacturing method, semiconductor wafer and semiconductor device manufacturing apparatus
US9405289B2 (en) 2012-12-06 2016-08-02 Tokyo Electron Limited Method and apparatus for autonomous identification of particle contamination due to isolated process events and systematic trends
US9879968B2 (en) * 2014-10-23 2018-01-30 Caterpillar Inc. Component measurement system having wavelength filtering
TWI553436B (en) * 2015-06-10 2016-10-11 A control system that monitors and obtains production information through a remote mobile device
KR20170136225A (en) 2016-06-01 2017-12-11 엘에스산전 주식회사 Simulation apparatus
US11346882B2 (en) * 2017-11-03 2022-05-31 Tokyo Electron Limited Enhancement of yield of functional microelectronic devices
US11244873B2 (en) * 2018-10-31 2022-02-08 Tokyo Electron Limited Systems and methods for manufacturing microelectronic devices
CN113053767B (en) * 2021-03-09 2022-09-06 普迪飞半导体技术(上海)有限公司 Method, device, equipment and medium for determining thickness of titanium nitride layer in gate structure
US11868119B2 (en) 2021-09-24 2024-01-09 Tokyo Electron Limited Method and process using fingerprint based semiconductor manufacturing process fault detection

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5998226A (en) * 1998-04-02 1999-12-07 Lsi Logic Corporation Method and system for alignment of openings in semiconductor fabrication
JP2000182917A (en) * 1998-12-15 2000-06-30 Toshiba Corp Method and system for manufacturing semiconductor device, computer readable recording medium having control program for semiconductor manufacturing system recorded thereon and data recording medium used for controlling semiconductor device manufacturing system

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0706209A3 (en) * 1994-10-06 1996-12-27 Applied Materials Inc Sheet resistance measurement
US5935877A (en) * 1995-09-01 1999-08-10 Applied Materials, Inc. Etch process for forming contacts over titanium silicide
JP3727103B2 (en) * 1996-04-05 2005-12-14 三菱電機株式会社 Test method for semiconductor devices
JPH10173021A (en) * 1996-12-12 1998-06-26 Mitsubishi Electric Corp Method and apparatus for analyzing manufacturing line
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
US5969273A (en) 1998-02-12 1999-10-19 International Business Machines Corporation Method and apparatus for critical dimension and tool resolution determination using edge width
JP4006081B2 (en) * 1998-03-19 2007-11-14 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
JP3230483B2 (en) * 1998-03-27 2001-11-19 日本電気株式会社 Method for testing life of gate insulating film in semiconductor device
JP2000012638A (en) * 1998-06-22 2000-01-14 Hitachi Ltd Manufacture of semiconductor integrated circuit device
US6298470B1 (en) * 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
JP2003502771A (en) * 1999-06-22 2003-01-21 ブルックス オートメーション インコーポレイテッド Run-to-run controller used for microelectronics fabrication
US6284622B1 (en) * 1999-10-25 2001-09-04 Advanced Micro Devices, Inc. Method for filling trenches
JP3910324B2 (en) * 1999-10-26 2007-04-25 ファブソリューション株式会社 Semiconductor manufacturing equipment
JP2002203881A (en) * 2000-12-28 2002-07-19 Shin Etsu Handotai Co Ltd Method for evaluating reliability characteristics of oxide film of mos semiconductor device on semiconductor wafer
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5998226A (en) * 1998-04-02 1999-12-07 Lsi Logic Corporation Method and system for alignment of openings in semiconductor fabrication
JP2000182917A (en) * 1998-12-15 2000-06-30 Toshiba Corp Method and system for manufacturing semiconductor device, computer readable recording medium having control program for semiconductor manufacturing system recorded thereon and data recording medium used for controlling semiconductor device manufacturing system
US6505090B1 (en) * 1998-12-15 2003-01-07 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method, manufacturing system, support system and recording medium storing program of and data for the manufacture method

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
"NON-DESTRUCTIVE DETECTION OF PARTIAL METALLIZATION FILL IN VIA INTERCONNECTS", IBM TECHNICAL DISCLOSURE BULLETIN, IBM CORP. NEW YORK, US, vol. 40, no. 1, 1997, pages 153, XP000686131, ISSN: 0018-8689 *
TURNER T: "ELECTRICAL MEASUREMENT OF IC DEVICE CDS AND ALIGNMENT", SOLID STATE TECHNOLOGY, COWAN PUBL.CORP. WASHINGTON, US, vol. 41, no. 6, 1 June 1998 (1998-06-01), pages 115 - 116,118, XP000751818, ISSN: 0038-111X *
ULLAN M ET AL: "A digital test structure for simultaneous bird's beak length and misalignment measurement in polysilicon emitter bipolar technologies", MICROELECTRONIC TEST STRUCTURES, 1997. ICMTS 1997. PROCEEDINGS. IEEE INTERNATIONAL CONFERENCE ON MONTEREY, CA, USA 17-20 MARCH 1997, NEW YORK, NY, USA,IEEE, US, 17 March 1997 (1997-03-17), pages 25 - 30, XP010223453, ISBN: 0-7803-3243-1 *

Also Published As

Publication number Publication date
DE10393371T5 (en) 2005-10-20
AU2003270675A1 (en) 2004-04-23
CN1685495A (en) 2005-10-19
GB2410377B (en) 2006-08-16
TWI327644B (en) 2010-07-21
JP2006501674A (en) 2006-01-12
KR20050055729A (en) 2005-06-13
US6912437B2 (en) 2005-06-28
US20040093110A1 (en) 2004-05-13
JP5214091B2 (en) 2013-06-19
KR101165791B1 (en) 2012-07-17
TW200408807A (en) 2004-06-01
GB0505102D0 (en) 2005-04-20
CN100345270C (en) 2007-10-24
GB2410377A (en) 2005-07-27

Similar Documents

Publication Publication Date Title
US6912437B2 (en) Method and apparatus for controlling a fabrication process based on a measured electrical characteristic
US6678570B1 (en) Method and apparatus for determining output characteristics using tool state data
US7067333B1 (en) Method and apparatus for implementing competing control models
WO2006041543A1 (en) Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
US8185230B2 (en) Method and apparatus for predicting device electrical parameters during fabrication
US6917849B1 (en) Method and apparatus for predicting electrical parameters using measured and predicted fabrication parameters
US7289867B1 (en) Automated integrated circuit device manufacturing facility using distributed control
US6630360B2 (en) Advanced process control (APC) of copper thickness for chemical mechanical planarization (CMP) optimization
US6721616B1 (en) Method and apparatus for determining control actions based on tool health and metrology data
US6687561B1 (en) Method and apparatus for determining a sampling plan based on defectivity
EP1797486A2 (en) Method and system for dynamically controlling metrology work in progress
US6665623B1 (en) Method and apparatus for optimizing downstream uniformity
US6785586B1 (en) Method and apparatus for adaptively scheduling tool maintenance
US6745086B1 (en) Method and apparatus for determining control actions incorporating defectivity effects
WO2006031263A1 (en) Method and system for calibrating integrated metrology systems and stand-alone metrology systems that acquire wafer state data
US6701206B1 (en) Method and system for controlling a process tool
US6907369B1 (en) Method and apparatus for modifying design constraints based on observed performance
US6725121B1 (en) Method and apparatus for using a dynamic control model to compensate for a process interrupt
US6895295B1 (en) Method and apparatus for controlling a multi-chamber processing tool
US6732007B1 (en) Method and apparatus for implementing dynamic qualification recipes
US6937914B1 (en) Method and apparatus for controlling process target values based on manufacturing metrics
US7020535B1 (en) Method and apparatus for providing excitation for a process controller
WO2006022945A1 (en) Method and system for prioritizing material to clear exception conditions
US20040088068A1 (en) Method and apparatus for providing first-principles feed-forward manufacturing control
US7103439B1 (en) Method and apparatus for initializing tool controllers based on tool event data

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SC SD SE SG SK SL TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
ENP Entry into the national phase

Ref document number: 0505102

Country of ref document: GB

Kind code of ref document: A

Free format text: PCT FILING DATE = 20030919

WWE Wipo information: entry into national phase

Ref document number: 1020057005288

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2004541555

Country of ref document: JP

Ref document number: 20038233703

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020057005288

Country of ref document: KR

RET De translation (de og part 6b)

Ref document number: 10393371

Country of ref document: DE

Date of ref document: 20051020

Kind code of ref document: P

WWE Wipo information: entry into national phase

Ref document number: 10393371

Country of ref document: DE

122 Ep: pct application non-entry in european phase
REG Reference to national code

Ref country code: DE

Ref legal event code: 8607