WO2004049432A3 - Sealing porous structures - Google Patents
Sealing porous structures Download PDFInfo
- Publication number
- WO2004049432A3 WO2004049432A3 PCT/US2003/040061 US0340061W WO2004049432A3 WO 2004049432 A3 WO2004049432 A3 WO 2004049432A3 US 0340061 W US0340061 W US 0340061W WO 2004049432 A3 WO2004049432 A3 WO 2004049432A3
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- self
- pores
- structures
- ald
- saturating
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
- H01L21/7681—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/7682—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76825—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76828—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76844—Bottomless liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76861—Post-treatment or after-treatment not introducing additional chemical elements into the layer
- H01L21/76864—Thermal treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76873—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2221/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
- H01L2221/10—Applying interconnections to be used for carrying current between separate components within a device
- H01L2221/1005—Formation and after-treatment of dielectrics
- H01L2221/1042—Formation and after-treatment of dielectrics the dielectric comprising air gaps
- H01L2221/1047—Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Abstract
Priority Applications (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
AU2003300972A AU2003300972A1 (en) | 2002-11-22 | 2003-11-21 | Sealing porous structures |
DE10393738T DE10393738T5 (en) | 2002-11-22 | 2003-11-21 | Sealing of porous structures |
JP2004554778A JP4825422B2 (en) | 2002-11-22 | 2003-11-21 | Method for manufacturing an integrated circuit comprising a porous insulating layer having a plurality of trenches extending from an upper surface of the insulating layer |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/303,293 US6759325B2 (en) | 2000-05-15 | 2002-11-22 | Sealing porous structures |
US10/303,293 | 2002-11-22 |
Publications (2)
Publication Number | Publication Date |
---|---|
WO2004049432A2 WO2004049432A2 (en) | 2004-06-10 |
WO2004049432A3 true WO2004049432A3 (en) | 2004-12-02 |
Family
ID=32392417
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2003/040061 WO2004049432A2 (en) | 2002-11-22 | 2003-11-21 | Sealing porous structures |
Country Status (5)
Country | Link |
---|---|
US (1) | US6759325B2 (en) |
JP (1) | JP4825422B2 (en) |
AU (1) | AU2003300972A1 (en) |
DE (1) | DE10393738T5 (en) |
WO (1) | WO2004049432A2 (en) |
Families Citing this family (151)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6974766B1 (en) | 1998-10-01 | 2005-12-13 | Applied Materials, Inc. | In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application |
US6727169B1 (en) * | 1999-10-15 | 2004-04-27 | Asm International, N.V. | Method of making conformal lining layers for damascene metallization |
US7494927B2 (en) | 2000-05-15 | 2009-02-24 | Asm International N.V. | Method of growing electrical conductors |
US6759325B2 (en) * | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
JP3920590B2 (en) * | 2000-06-19 | 2007-05-30 | 株式会社東芝 | Manufacturing method of semiconductor device |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US7101795B1 (en) | 2000-06-28 | 2006-09-05 | Applied Materials, Inc. | Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer |
US7732327B2 (en) | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
US6936538B2 (en) | 2001-07-16 | 2005-08-30 | Applied Materials, Inc. | Method and apparatus for depositing tungsten after surface treatment to improve film characteristics |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7405158B2 (en) | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US6951804B2 (en) * | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
US6596643B2 (en) * | 2001-05-07 | 2003-07-22 | Applied Materials, Inc. | CVD TiSiN barrier for copper integration |
US7211144B2 (en) | 2001-07-13 | 2007-05-01 | Applied Materials, Inc. | Pulsed nucleation deposition of tungsten layers |
JP2005518088A (en) * | 2001-07-16 | 2005-06-16 | アプライド マテリアルズ インコーポレイテッド | Formation of tungsten composite film |
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US20090004850A1 (en) | 2001-07-25 | 2009-01-01 | Seshadri Ganguli | Process for forming cobalt and cobalt silicide materials in tungsten contact applications |
US8110489B2 (en) | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
TW589684B (en) * | 2001-10-10 | 2004-06-01 | Applied Materials Inc | Method for depositing refractory metal layers employing sequential deposition techniques |
US7780785B2 (en) | 2001-10-26 | 2010-08-24 | Applied Materials, Inc. | Gas delivery apparatus for atomic layer deposition |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
WO2003044242A2 (en) * | 2001-11-16 | 2003-05-30 | Applied Materials, Inc. | Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors |
US7081271B2 (en) | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US6998014B2 (en) | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
US6911391B2 (en) | 2002-01-26 | 2005-06-28 | Applied Materials, Inc. | Integration of titanium and titanium nitride layers |
US6833161B2 (en) | 2002-02-26 | 2004-12-21 | Applied Materials, Inc. | Cyclical deposition of tungsten nitride for metal oxide gate electrode |
US6972267B2 (en) | 2002-03-04 | 2005-12-06 | Applied Materials, Inc. | Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor |
KR100465063B1 (en) * | 2002-04-01 | 2005-01-06 | 주식회사 하이닉스반도체 | Method for manufacturing metal interconnection layer of semiconductor device |
US6846516B2 (en) * | 2002-04-08 | 2005-01-25 | Applied Materials, Inc. | Multiple precursor cyclical deposition system |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US20040009665A1 (en) * | 2002-06-04 | 2004-01-15 | Applied Materials, Inc. | Deposition of copper films |
US7041335B2 (en) * | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
JP2004103971A (en) * | 2002-09-12 | 2004-04-02 | Hitachi High-Technologies Corp | Method and apparatus for damascene processing, and damascene structure |
US7311862B2 (en) * | 2002-10-28 | 2007-12-25 | Cabot Microelectronics Corporation | Method for manufacturing microporous CMP materials having controlled pore size |
US7262133B2 (en) * | 2003-01-07 | 2007-08-28 | Applied Materials, Inc. | Enhancement of copper line reliability using thin ALD tan film to cap the copper line |
US20040175926A1 (en) * | 2003-03-07 | 2004-09-09 | Advanced Micro Devices, Inc. | Method for manufacturing a semiconductor component having a barrier-lined opening |
US7186656B2 (en) * | 2004-05-21 | 2007-03-06 | Molecular Imprints, Inc. | Method of forming a recessed structure employing a reverse tone process |
JP4823690B2 (en) * | 2003-06-16 | 2011-11-24 | 東京エレクトロン株式会社 | Film-forming method and semiconductor device manufacturing method |
KR100513801B1 (en) * | 2003-07-24 | 2005-09-13 | 주식회사 하이닉스반도체 | Method for manufacturing semiconductor device with flowable dielectric for gapfilling |
JP2005050903A (en) * | 2003-07-30 | 2005-02-24 | Toshiba Corp | Semiconductor device and its manufacturing method |
KR20060054387A (en) * | 2003-08-04 | 2006-05-22 | 에이에스엠 아메리카, 인코포레이티드 | Surface preparation prior to deposition on germanium |
US7052990B2 (en) * | 2003-09-03 | 2006-05-30 | Infineon Technologies Ag | Sealed pores in low-k material damascene conductive structures |
US7256499B1 (en) * | 2003-10-02 | 2007-08-14 | Advanced Micro Devices, Inc. | Ultra low dielectric constant integrated circuit system |
US7018917B2 (en) * | 2003-11-20 | 2006-03-28 | Asm International N.V. | Multilayer metallization |
US7157373B2 (en) | 2003-12-11 | 2007-01-02 | Infineon Technologies Ag | Sidewall sealing of porous dielectric materials |
US20050252449A1 (en) | 2004-05-12 | 2005-11-17 | Nguyen Son T | Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system |
US8323754B2 (en) | 2004-05-21 | 2012-12-04 | Applied Materials, Inc. | Stabilization of high-k dielectric materials |
US20050287826A1 (en) * | 2004-06-29 | 2005-12-29 | Abell Thomas J | Method of sealing low-k dielectrics and devices made thereby |
US7238382B2 (en) * | 2004-07-30 | 2007-07-03 | Tokyo Electron Limited | Method and system for characterizing porous materials |
TW200611990A (en) * | 2004-08-16 | 2006-04-16 | Du Pont | Atomic layer deposition of copper using surface-activating agents |
US7223704B2 (en) | 2004-08-27 | 2007-05-29 | Infineon Technologies Ag | Repair of carbon depletion in low-k dielectric films |
US7205244B2 (en) * | 2004-09-21 | 2007-04-17 | Molecular Imprints | Patterning substrates employing multi-film layers defining etch-differential interfaces |
US7163900B2 (en) * | 2004-11-01 | 2007-01-16 | Infineon Technologies Ag | Using polydentate ligands for sealing pores in low-k dielectrics |
US7678682B2 (en) * | 2004-11-12 | 2010-03-16 | Axcelis Technologies, Inc. | Ultraviolet assisted pore sealing of porous low k dielectric films |
WO2006058034A2 (en) * | 2004-11-22 | 2006-06-01 | Intermolecular, Inc. | Molecular self-assembly in substrate processing |
TW200634982A (en) * | 2005-02-22 | 2006-10-01 | Asm Inc | Plasma pre-treating surfaces for atomic layer deposition |
US8025922B2 (en) | 2005-03-15 | 2011-09-27 | Asm International N.V. | Enhanced deposition of noble metals |
US7608549B2 (en) * | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
US7666773B2 (en) * | 2005-03-15 | 2010-02-23 | Asm International N.V. | Selective deposition of noble metal thin films |
US7256131B2 (en) * | 2005-07-19 | 2007-08-14 | Molecular Imprints, Inc. | Method of controlling the critical dimension of structures formed on a substrate |
US7736697B2 (en) * | 2005-08-08 | 2010-06-15 | E. I. Du Pont De Nemours And Company | Atomic layer deposition of tantalum-containing films using surface-activating agents and novel tantalum complexes |
US7776394B2 (en) * | 2005-08-08 | 2010-08-17 | E.I. Du Pont De Nemours And Company | Atomic layer deposition of metal-containing films using surface-activating agents |
US7394154B2 (en) * | 2005-09-13 | 2008-07-01 | International Business Machines Corporation | Embedded barrier for dielectric encapsulation |
EP1764837B1 (en) * | 2005-09-14 | 2009-08-05 | STMicroelectronics S.r.l. | Method of manufacturing a phase change memory device having a uniform heater height |
US7785658B2 (en) * | 2005-10-07 | 2010-08-31 | Asm Japan K.K. | Method for forming metal wiring structure |
US20070082130A1 (en) * | 2005-10-07 | 2007-04-12 | Asm Japan K.K. | Method for foming metal wiring structure |
TW200737307A (en) | 2005-11-04 | 2007-10-01 | Applied Materials Inc | Apparatus and process for plasma-enhanced atomic layer deposition |
US7960838B2 (en) * | 2005-11-18 | 2011-06-14 | United Microelectronics Corp. | Interconnect structure |
US7564136B2 (en) * | 2006-02-24 | 2009-07-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integration scheme for Cu/low-k interconnects |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
JP5045000B2 (en) * | 2006-06-20 | 2012-10-10 | 東京エレクトロン株式会社 | Film forming apparatus, gas supply apparatus, film forming method, and storage medium |
KR100705936B1 (en) * | 2006-06-30 | 2007-04-13 | 주식회사 하이닉스반도체 | Method for forming bitline of semiconductor device |
US7435484B2 (en) * | 2006-09-01 | 2008-10-14 | Asm Japan K.K. | Ruthenium thin film-formed structure |
US7521379B2 (en) | 2006-10-09 | 2009-04-21 | Applied Materials, Inc. | Deposition and densification process for titanium nitride barrier layers |
US7611751B2 (en) * | 2006-11-01 | 2009-11-03 | Asm America, Inc. | Vapor deposition of metal carbide films |
KR100806128B1 (en) * | 2006-12-11 | 2008-02-22 | 삼성전자주식회사 | Metal wiring structure for a semiconductor device and method of forming the same |
US8017182B2 (en) | 2007-06-21 | 2011-09-13 | Asm International N.V. | Method for depositing thin films by mixed pulsed CVD and ALD |
KR101544198B1 (en) | 2007-10-17 | 2015-08-12 | 한국에이에스엠지니텍 주식회사 | Method of depositing ruthenium film |
US7655564B2 (en) | 2007-12-12 | 2010-02-02 | Asm Japan, K.K. | Method for forming Ta-Ru liner layer for Cu wiring |
KR101423670B1 (en) * | 2008-01-15 | 2014-07-28 | 삼성디스플레이 주식회사 | Method for manufacturing metal line and method for manufacturing display panel having the metal line |
US7799674B2 (en) | 2008-02-19 | 2010-09-21 | Asm Japan K.K. | Ruthenium alloy film for copper interconnects |
US8084104B2 (en) | 2008-08-29 | 2011-12-27 | Asm Japan K.K. | Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition |
US8491967B2 (en) | 2008-09-08 | 2013-07-23 | Applied Materials, Inc. | In-situ chamber treatment and deposition process |
US20100062149A1 (en) | 2008-09-08 | 2010-03-11 | Applied Materials, Inc. | Method for tuning a deposition rate during an atomic layer deposition process |
US8133555B2 (en) | 2008-10-14 | 2012-03-13 | Asm Japan K.K. | Method for forming metal film by ALD using beta-diketone metal complex |
US9379011B2 (en) | 2008-12-19 | 2016-06-28 | Asm International N.V. | Methods for depositing nickel films and for making nickel silicide and nickel germanide |
US8329569B2 (en) | 2009-07-31 | 2012-12-11 | Asm America, Inc. | Deposition of ruthenium or ruthenium dioxide |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
TWI529808B (en) | 2010-06-10 | 2016-04-11 | Asm國際股份有限公司 | Method for selectively depositing film on substrate |
JP5567926B2 (en) * | 2010-07-29 | 2014-08-06 | ルネサスエレクトロニクス株式会社 | Manufacturing method of semiconductor device |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US8871617B2 (en) | 2011-04-22 | 2014-10-28 | Asm Ip Holding B.V. | Deposition and reduction of mixed metal oxide thin films |
US9112003B2 (en) | 2011-12-09 | 2015-08-18 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
SG2013083654A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Methods for depositing films on sensitive substrates |
US20140273463A1 (en) * | 2013-03-15 | 2014-09-18 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits that include a sealed sidewall in a porous low-k dielectric layer |
US8962473B2 (en) | 2013-03-15 | 2015-02-24 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming hybrid diffusion barrier layer and semiconductor device thereof |
US9012278B2 (en) | 2013-10-03 | 2015-04-21 | Asm Ip Holding B.V. | Method of making a wire-based semiconductor device |
US9502365B2 (en) * | 2013-12-31 | 2016-11-22 | Texas Instruments Incorporated | Opening in a multilayer polymeric dielectric layer without delamination |
TWI739285B (en) | 2014-02-04 | 2021-09-11 | 荷蘭商Asm Ip控股公司 | Selective deposition of metals, metal oxides, and dielectrics |
US9214334B2 (en) * | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
US9613852B2 (en) * | 2014-03-21 | 2017-04-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and method making the same |
US10047435B2 (en) | 2014-04-16 | 2018-08-14 | Asm Ip Holding B.V. | Dual selective deposition |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9490145B2 (en) | 2015-02-23 | 2016-11-08 | Asm Ip Holding B.V. | Removal of surface passivation |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9793108B2 (en) * | 2015-06-25 | 2017-10-17 | Applied Material, Inc. | Interconnect integration for sidewall pore seal and via cleanliness |
US10428421B2 (en) | 2015-08-03 | 2019-10-01 | Asm Ip Holding B.V. | Selective deposition on metal or metallic surfaces relative to dielectric surfaces |
US10566185B2 (en) | 2015-08-05 | 2020-02-18 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US10121699B2 (en) | 2015-08-05 | 2018-11-06 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US9607842B1 (en) | 2015-10-02 | 2017-03-28 | Asm Ip Holding B.V. | Methods of forming metal silicides |
US10695794B2 (en) | 2015-10-09 | 2020-06-30 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10343186B2 (en) | 2015-10-09 | 2019-07-09 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10814349B2 (en) | 2015-10-09 | 2020-10-27 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US20170117144A1 (en) * | 2015-10-22 | 2017-04-27 | Applied Materials, Inc. | Chemical Infiltration into Porous Dielectric Films |
US9981286B2 (en) | 2016-03-08 | 2018-05-29 | Asm Ip Holding B.V. | Selective formation of metal silicides |
US10204782B2 (en) | 2016-04-18 | 2019-02-12 | Imec Vzw | Combined anneal and selective deposition process |
KR102182550B1 (en) | 2016-04-18 | 2020-11-25 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming induced self-assembly layer on a substrate |
US11081342B2 (en) | 2016-05-05 | 2021-08-03 | Asm Ip Holding B.V. | Selective deposition using hydrophobic precursors |
US10373820B2 (en) | 2016-06-01 | 2019-08-06 | Asm Ip Holding B.V. | Deposition of organic films |
US10453701B2 (en) | 2016-06-01 | 2019-10-22 | Asm Ip Holding B.V. | Deposition of organic films |
US9805974B1 (en) | 2016-06-08 | 2017-10-31 | Asm Ip Holding B.V. | Selective deposition of metallic films |
US9803277B1 (en) | 2016-06-08 | 2017-10-31 | Asm Ip Holding B.V. | Reaction chamber passivation and selective deposition of metallic films |
US10014212B2 (en) | 2016-06-08 | 2018-07-03 | Asm Ip Holding B.V. | Selective deposition of metallic films |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US11430656B2 (en) | 2016-11-29 | 2022-08-30 | Asm Ip Holding B.V. | Deposition of oxide thin films |
JP2018107227A (en) * | 2016-12-26 | 2018-07-05 | ソニーセミコンダクタソリューションズ株式会社 | Semiconductor device, method of manufacturing the same, and solid-state imaging element |
US11094535B2 (en) | 2017-02-14 | 2021-08-17 | Asm Ip Holding B.V. | Selective passivation and selective deposition |
US11501965B2 (en) | 2017-05-05 | 2022-11-15 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of metal oxide thin films |
CN110651064B (en) | 2017-05-16 | 2022-08-16 | Asm Ip 控股有限公司 | Selective PEALD of oxides on dielectrics |
US9947582B1 (en) | 2017-06-02 | 2018-04-17 | Asm Ip Holding B.V. | Processes for preventing oxidation of metal thin films |
US10900120B2 (en) | 2017-07-14 | 2021-01-26 | Asm Ip Holding B.V. | Passivation against vapor deposition |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
JP7146690B2 (en) | 2018-05-02 | 2022-10-04 | エーエスエム アイピー ホールディング ビー.ブイ. | Selective layer formation using deposition and removal |
JP2020056104A (en) | 2018-10-02 | 2020-04-09 | エーエスエム アイピー ホールディング ビー.ブイ. | Selective passivation and selective deposition |
US11965238B2 (en) | 2019-04-12 | 2024-04-23 | Asm Ip Holding B.V. | Selective deposition of metal oxides on metal surfaces |
US11139163B2 (en) | 2019-10-31 | 2021-10-05 | Asm Ip Holding B.V. | Selective deposition of SiOC thin films |
KR102095710B1 (en) * | 2019-11-05 | 2020-04-01 | 주식회사 유진테크 머티리얼즈 | Method of depositing thin films using protective material |
TW202140832A (en) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | Selective deposition of silicon oxide on metal surfaces |
TW202140833A (en) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | Selective deposition of silicon oxide on dielectric surfaces relative to metal surfaces |
TW202204658A (en) | 2020-03-30 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | Simultaneous selective deposition of two different materials on two different surfaces |
CN112614855A (en) * | 2020-12-07 | 2021-04-06 | 长江存储科技有限责任公司 | Preparation method of semiconductor etched hole inner film layer and three-dimensional memory structure |
KR102366555B1 (en) * | 2021-01-05 | 2022-02-23 | 주식회사 이지티엠 | Method of selective formation of thin film |
JP2023055111A (en) * | 2021-10-05 | 2023-04-17 | 東京エレクトロン株式会社 | Method and device for forming titanium film |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20010054769A1 (en) * | 2000-05-15 | 2001-12-27 | Ivo Raaijmakers | Protective layers prior to alternating layer deposition |
US20020055256A1 (en) * | 2000-11-09 | 2002-05-09 | Qing-Tang Jiang | Reducing copper line resistivity by smoothing trench and via sidewalls |
WO2003005438A2 (en) * | 2001-07-02 | 2003-01-16 | Dow Corning Corporation | Improved metal barrier behavior by sic:h deposition on porous materials |
US20030143839A1 (en) * | 2000-05-15 | 2003-07-31 | Ivo Raaijmakers | Sealing porous structures |
Family Cites Families (28)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
SE393967B (en) | 1974-11-29 | 1977-05-31 | Sateko Oy | PROCEDURE AND PERFORMANCE OF LAYING BETWEEN THE STORAGE IN A LABOR PACKAGE |
FI57975C (en) | 1979-02-28 | 1980-11-10 | Lohja Ab Oy | OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY |
US5769950A (en) | 1985-07-23 | 1998-06-23 | Canon Kabushiki Kaisha | Device for forming deposited film |
US4761269A (en) | 1986-06-12 | 1988-08-02 | Crystal Specialties, Inc. | Apparatus for depositing material on a substrate |
US4747367A (en) | 1986-06-12 | 1988-05-31 | Crystal Specialties, Inc. | Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition |
US5071670A (en) | 1990-06-11 | 1991-12-10 | Kelly Michael A | Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means |
US5306666A (en) | 1992-07-24 | 1994-04-26 | Nippon Steel Corporation | Process for forming a thin metal film by chemical vapor deposition |
FI100409B (en) | 1994-11-28 | 1997-11-28 | Asm Int | Method and apparatus for making thin films |
FI97731C (en) | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Method and apparatus for making thin films |
JPH08213343A (en) | 1995-01-31 | 1996-08-20 | Sony Corp | Semiconductor device and manufacture thereof |
JPH0922896A (en) | 1995-07-07 | 1997-01-21 | Toshiba Corp | Method of selective forming of metal film |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
KR100236069B1 (en) | 1996-12-26 | 1999-12-15 | 김영환 | Capacitor and manufacturing method thereof |
US5879459A (en) | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
JPH10256363A (en) * | 1997-03-13 | 1998-09-25 | Sony Corp | Semiconductor device and its manufacture |
US6351039B1 (en) * | 1997-05-28 | 2002-02-26 | Texas Instruments Incorporated | Integrated circuit dielectric and method |
US5904565A (en) | 1997-07-17 | 1999-05-18 | Sharp Microelectronics Technology, Inc. | Low resistance contact between integrated circuit metal levels and method for same |
TW439151B (en) | 1997-12-31 | 2001-06-07 | Samsung Electronics Co Ltd | Method for forming conductive layer using atomic layer deposition process |
US6303523B2 (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
KR100275738B1 (en) | 1998-08-07 | 2000-12-15 | 윤종용 | Method for producing thin film using atomatic layer deposition |
US6144050A (en) | 1998-08-20 | 2000-11-07 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with strontium barrier film and process for making same |
US6291876B1 (en) | 1998-08-20 | 2001-09-18 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with composite atomic barrier film and process for making same |
US6077775A (en) | 1998-08-20 | 2000-06-20 | The United States Of America As Represented By The Secretary Of The Navy | Process for making a semiconductor device with barrier film formation using a metal halide and products thereof |
KR100287180B1 (en) * | 1998-09-17 | 2001-04-16 | 윤종용 | Method for manufacturing semiconductor device including metal interconnection formed using interface control layer |
KR100327328B1 (en) * | 1998-10-13 | 2002-05-09 | 윤종용 | Method for forming dielectric layer of capacitor having partially different thickness in the layer |
JP2000150647A (en) | 1998-11-11 | 2000-05-30 | Sony Corp | Wiring structure and its manufacture |
US6391785B1 (en) * | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
US6444495B1 (en) * | 2001-01-11 | 2002-09-03 | Honeywell International, Inc. | Dielectric films for narrow gap-fill applications |
-
2002
- 2002-11-22 US US10/303,293 patent/US6759325B2/en not_active Expired - Lifetime
-
2003
- 2003-11-21 WO PCT/US2003/040061 patent/WO2004049432A2/en active Application Filing
- 2003-11-21 DE DE10393738T patent/DE10393738T5/en not_active Withdrawn
- 2003-11-21 JP JP2004554778A patent/JP4825422B2/en not_active Expired - Lifetime
- 2003-11-21 AU AU2003300972A patent/AU2003300972A1/en not_active Abandoned
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20010054769A1 (en) * | 2000-05-15 | 2001-12-27 | Ivo Raaijmakers | Protective layers prior to alternating layer deposition |
US20030143839A1 (en) * | 2000-05-15 | 2003-07-31 | Ivo Raaijmakers | Sealing porous structures |
US20020055256A1 (en) * | 2000-11-09 | 2002-05-09 | Qing-Tang Jiang | Reducing copper line resistivity by smoothing trench and via sidewalls |
WO2003005438A2 (en) * | 2001-07-02 | 2003-01-16 | Dow Corning Corporation | Improved metal barrier behavior by sic:h deposition on porous materials |
Non-Patent Citations (4)
Title |
---|
BESLING ET AL.: "Atomic Layer Deposition of Barriers for Interconnect", PROCEEDINGS OF THE IEEE 2002 INTERNATIONAL INTERCONNECT TECHNOLOGY CONFERENCE, 3 June 2002 (2002-06-03) - 5 June 2002 (2002-06-05), pages 288 - 291, XP001191093 * |
BEYER G ET AL: "Development of sub-10-nm atomic layer deposition barriers for Cu/low-k interconnects", MICROELECTRONIC ENGINEERING, ELSEVIER PUBLISHERS BV., AMSTERDAM, NL, vol. 64, no. 1-4, October 2002 (2002-10-01), pages 233 - 245, XP004381191, ISSN: 0167-9317 * |
SATTA A ET AL: "Enhancement of ALCVD TiN growth on Si-O-C and alpha-SiC:H films by O2-based plasma treatments", MICROELECTRONIC ENGINEERING, ELSEVIER PUBLISHERS BV., AMSTERDAM, NL, vol. 60, no. 1-2, January 2002 (2002-01-01), pages 59 - 69, XP004313048, ISSN: 0167-9317 * |
TRAVALY Y ET AL: "Impact of material/process interactions on the properties of a porous CVD-O3 low-k dielectric film", MICROELECTRONIC ENGINEERING, ELSEVIER PUBLISHERS BV., AMSTERDAM, NL, vol. 64, no. 1-4, October 2002 (2002-10-01), pages 367 - 374, XP004381206, ISSN: 0167-9317 * |
Also Published As
Publication number | Publication date |
---|---|
JP2006507677A (en) | 2006-03-02 |
US20030143839A1 (en) | 2003-07-31 |
JP4825422B2 (en) | 2011-11-30 |
US6759325B2 (en) | 2004-07-06 |
AU2003300972A1 (en) | 2004-06-18 |
WO2004049432A2 (en) | 2004-06-10 |
DE10393738T5 (en) | 2006-01-12 |
AU2003300972A8 (en) | 2004-06-18 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
WO2004049432A3 (en) | Sealing porous structures | |
TW200634982A (en) | Plasma pre-treating surfaces for atomic layer deposition | |
JP5554806B2 (en) | Method for depositing a layer on a substrate with a plurality of openings | |
TW478105B (en) | Conformal lining layers for damascene metallization | |
KR20220132493A (en) | Method of selective deposition for forming fully self-aligned vias | |
JP2017505548A (en) | Flowable carbon film by FCVD hardware using remote plasma PECVD | |
TWI478281B (en) | Interconnect structure and method of manufacturing a damascene structure | |
TW201715071A (en) | Method for forming aluminum nitride-based film by PEALD | |
US7928006B2 (en) | Structure for a semiconductor device and a method of manufacturing the same | |
TWI576459B (en) | Methods for depositing manganese and manganese nitrides | |
WO2003029515A3 (en) | Formation of composite tungsten films | |
JP2003531474A5 (en) | Conformal lining layer for damascene metallization | |
WO2003026005A3 (en) | Formation of refractory metal nitrides using chemisorption techniques | |
WO2004064147A3 (en) | Integration of ald/cvd barriers with porous low k materials | |
WO2006037933A3 (en) | Method for providing mixed stacked structures, with various insulating zones and/or electrically conducting zones vertically localized | |
CN108206158A (en) | The Apparatus and method for of cobalt filling metallization | |
TWI257144B (en) | Method of fabricating inlaid structure | |
WO2008011403A3 (en) | New scheme for copper filling in vias and trenches | |
TW200929384A (en) | Vapor deposition of tungsten materials | |
TW365047B (en) | Manufacturing method for simultaneously forming trenches of different depths | |
TW200618072A (en) | Methods for forming semiconductor devices with tungsten contacts | |
JP2004111924A (en) | Method for forming metal film, semiconductor device, and method for manufacturing same | |
WO2008010941A3 (en) | Improved methods for atomic layer deposition | |
WO2005031841A3 (en) | Methods of filling gaps and methods of depositing materials using high density plasma chemical vapor deposition | |
US20100210116A1 (en) | Methods of forming vapor thin films and semiconductor integrated circuit devices including the same |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AK | Designated states |
Kind code of ref document: A2 Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW |
|
AL | Designated countries for regional patents |
Kind code of ref document: A2 Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application | ||
WWE | Wipo information: entry into national phase |
Ref document number: 2004554778 Country of ref document: JP |
|
122 | Ep: pct application non-entry in european phase |