WO2004050369A9 - Dead nozzle compensation - Google Patents

Dead nozzle compensation

Info

Publication number
WO2004050369A9
WO2004050369A9 PCT/AU2003/001616 AU0301616W WO2004050369A9 WO 2004050369 A9 WO2004050369 A9 WO 2004050369A9 AU 0301616 W AU0301616 W AU 0301616W WO 2004050369 A9 WO2004050369 A9 WO 2004050369A9
Authority
WO
WIPO (PCT)
Prior art keywords
shows
sopec
data
printhead
page
Prior art date
Application number
PCT/AU2003/001616
Other languages
French (fr)
Other versions
WO2004050369A1 (en
Inventor
Simon Robert Walmsley
Pulver Mark Jackson
Richard Thomas Plunkett
Gary Shipton
Kia Silverbrook
Paul Lapstun
Original Assignee
Silverbrook Res Pty Ltd
Simon Robert Walmsley
Pulver Mark Jackson
Richard Thomas Plunkett
Gary Shipton
Kia Silverbrook
Paul Lapstun
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from AU2002953135A external-priority patent/AU2002953135A0/en
Priority claimed from AU2002953134A external-priority patent/AU2002953134A0/en
Application filed by Silverbrook Res Pty Ltd, Simon Robert Walmsley, Pulver Mark Jackson, Richard Thomas Plunkett, Gary Shipton, Kia Silverbrook, Paul Lapstun filed Critical Silverbrook Res Pty Ltd
Priority to EP03812106A priority Critical patent/EP1572463B1/en
Priority to AU2003302611A priority patent/AU2003302611B2/en
Priority to DE60336677T priority patent/DE60336677D1/en
Priority to AT03812106T priority patent/ATE504446T1/en
Priority to DK03812106.7T priority patent/DK1572463T3/en
Priority to CA002508141A priority patent/CA2508141C/en
Publication of WO2004050369A1 publication Critical patent/WO2004050369A1/en
Publication of WO2004050369A9 publication Critical patent/WO2004050369A9/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/71Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information
    • G06F21/73Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information by creating or determining hardware identification, e.g. serial numbers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/04505Control methods or devices therefor, e.g. driver circuits, control circuits aiming at correcting alignment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/04508Control methods or devices therefor, e.g. driver circuits, control circuits aiming at correcting other parameters
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/0451Control methods or devices therefor, e.g. driver circuits, control circuits for detecting failure, e.g. clogging, malfunctioning actuator
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/04528Control methods or devices therefor, e.g. driver circuits, control circuits aiming at warming up the head
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/04541Specific driving circuit
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/04543Block driving
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/04563Control methods or devices therefor, e.g. driver circuits, control circuits detecting head temperature; Ink temperature
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/04573Timing; Delays
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/015Ink jet characterised by the jet generation process
    • B41J2/04Ink jet characterised by the jet generation process generating single droplets or particles on demand
    • B41J2/045Ink jet characterised by the jet generation process generating single droplets or particles on demand by pressure, e.g. electromechanical transducers
    • B41J2/04501Control methods or devices therefor, e.g. driver circuits, control circuits
    • B41J2/04586Control methods or devices therefor, e.g. driver circuits, control circuits controlling heads of a type not covered by groups B41J2/04575 - B41J2/04585, or of an undefined type
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/50Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems
    • G06F21/55Detecting local intrusion or implementing counter-measures
    • G06F21/554Detecting local intrusion or implementing counter-measures involving event detection and direct action
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/50Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems
    • G06F21/57Certifying or maintaining trusted computer platforms, e.g. secure boots or power-downs, version controls, system software checks, secure updates or assessing vulnerabilities
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/50Monitoring users, programs or devices to maintain the integrity of platforms, e.g. of processors, firmware or operating systems
    • G06F21/57Certifying or maintaining trusted computer platforms, e.g. secure boots or power-downs, version controls, system software checks, secure updates or assessing vulnerabilities
    • G06F21/575Secure boot
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/60Protecting data
    • G06F21/64Protecting data integrity, e.g. using checksums, certificates or signatures
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/71Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/71Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information
    • G06F21/74Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure computing or processing of information operating in dual or compartmented mode, i.e. at least one secure mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/70Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer
    • G06F21/78Protecting specific internal or peripheral components, in which the protection of a component leads to protection of the entire computer to assure secure storage of data
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/125Discriminating pulses
    • H03K5/1252Suppression or limitation of noise or interference
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N1/00Scanning, transmission or reproduction of documents or the like, e.g. facsimile transmission; Details thereof
    • H04N1/40Picture signal circuits
    • H04N1/405Halftoning, i.e. converting the picture signal of a continuous-tone original into a corresponding signal showing only two levels
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2202/00Embodiments of or processes related to ink-jet or thermal heads
    • B41J2202/01Embodiments of or processes related to ink-jet heads
    • B41J2202/20Modules
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S707/00Data processing: database and file management or data structures
    • Y10S707/99931Database or file accessing
    • Y10S707/99933Query processing, i.e. searching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S707/00Data processing: database and file management or data structures
    • Y10S707/99931Database or file accessing
    • Y10S707/99939Privileged access
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49401Fluid pattern dispersing device making, e.g., ink jet

Definitions

  • the present invention relates to techniques for compensating for one or more dead nozzles in a multi- nozzle printhead.
  • the invention has primarily been developed for use with a printhead comprising one or more printhead modules constructed using microelectromechanical systems (MEMS) techniques, and will be described with reference to this application. However, it will be appreciated that the invention can be applied to other types of printing technologies in which analogous problems are faced.
  • MEMS microelectromechanical systems
  • the quality of a joint region between adjacent printhead modules relies on factors including a precision with which the abutting ends of each module can be manufactured, the accuracy with which they can be aligned when assembled into a single printhead, and other more practical factors such as management of ink channels behind the nozzles. It will be appreciated that the difficulties include relative vertical displacement of the printhead modules with respect to each other.
  • printhead controllers are usually dedicated application specific integrated circuits (ASICs) designed for specific use with a single type of printhead module, that is used by itself rather than with other modules. It would be desirable to provide a way in which different lengths and types of printhead modules could be accounted for using a single printer controller.
  • ASICs application specific integrated circuits
  • Printer controllers face other difficulties when two or more printhead modules are involved, especially if it is desired to send dot data to each of the printheads directly (rather than via a single printhead connected to the controller).
  • One concern is that data delivered to different length controllers at the same rate will cause the shorter of the modules to be ready for printing before any longer modules.
  • the issue may not be of importance, but for large length differences, the result is that the bandwidth of a shared memory from which the dot data is supplied to the modules is effectively left idle once one of the modules is full and the remaining module or modules is still being filled. It would be desirable to provide a way of improving memory bandwidth usage in a system comprising a plurality of printhead modules of uneven length.
  • any printing system that mcludes multiple nozzles on a printhead or printhead module, there is the possibility of one or more of the nozzles failing in the field, or being inoperative due to manufacturing defect.
  • the printhead also outputs fixative on a per-nozzle basis, it is also desirable that the fixative is provided in such a way that dead nozzles are compensated for.
  • a printer controller can take the form of an integrated circuit, comprising a processor and one or more peripheral hardware units for implementing specific data manipulation functions. A number of these units and the processor may need access to a common resource such as memory.
  • One way of arbitrating between multiple access requests for a common resource is timeslot arbitration, in which access to the resource is guaranteed to a particular requestor during a predetermined timeslot.
  • Timeslot arbitration does not take into account these differences, which may result in accesses being performed in a less efficient manner than might otherwise be the case. It would be desirable to provide a timeslot arbitration scheme that improved this efficiency as compared with prior art timeslot arbitration schemes.
  • a cache miss in which an attempt to load data or an instruction from a cache fails results in a memory access followed by a cache update. It is often desirable when updating the cache" in this way to update data other than that which was actually missed.
  • a typical example would be a cache miss for a byte resulting in an entire word or line of the cache associated with that byte being updated.
  • this can have the effect of tying up bandwidth between the memory (or a memory manager) and the processor where the bandwidth is such that several cycles are required to transfer the entire word or line to the cache. It would be desirable to provide a mechanism for updating a cache that improved cache update speed and/or efficiency.
  • One form of attacking a secure chip is to induce (usually by increasing) a clock speed that takes the logic outside its rated operating frequency.
  • One way of doing this is to reduce the temperature of the integrated circuit, which can cause the clock to race. Above a certain frequency, some logic will start malfunctioning. In some cases, the malfunction can be such that information on the chip that would otherwise be secure may become available to an external connection. It would be desirable to protect an integrated circuit from such attacks.
  • a power failure can result in unintentional behaviour. For example, if an address or data becomes unreliable due to falling voltage supplied to the circuit but there is still sufficient power to cause a write, incorrect data can be written. Even worse, the data (incorrect or not) could be written to the wrong memory. The problem is exacerbated with multiword writes. It would be desirable to provide a mechanism for reducing or preventing spurious writes when power to an integrated circuit is failing.
  • the memory includes a key or some other form of security information that allows the integrated circuit to communicate with another entity (such as another integrated circuit, for example) in a secure manner. It would be particularly advantageous to prevent attacks involving direct probing of memory addresses by physically investigating the chip (as distinct from electronic or logical attacks via manipulation of signals and power supplied to the integrated circuit).
  • Another desideratum would be the ability of two or more entities, such as integrated circuits, to communicate with each other in a secure manner. It would also be desirable to provide a mechanism for secure communication between a first entity and a second entity, where the two entities, whilst capable of some form of secure communication, are not able to establish such communication between themselves.
  • ink quality can be a major issue, since the attributes of inks used by a given printhead can be quite specific. Use of incorrect ink can result in anything from ⁇ firing or poor performance to damage or destruction of the printhead. It would therefore be desirable to provide a system that enables authentication of the correct ink being used, as well as providing various support systems secure enabling refilling of ink cartridges.
  • a symmetric encryption algorithm is one where:
  • K 2 can be derived from K b and
  • Ki can be derived from K 2 .
  • K K 2 .
  • Ki K 2 .
  • K The security of these algorithms rests very much in the key K.
  • K allows anyone to encrypt or decrypt. Consequently K must remain a secret for the duration of the value of M.
  • M may be a wartime message "My current position is grid position 123-456". Once the war is over the value of M is greatly reduced, and if K is made public, the knowledge of the combat unit's position may be of no relevance whatsoever.
  • the security of the particular symmetric algorithm is a function of two things: the strength of the algorithm and the length of the key.
  • An asymmetric encryption algorithm is one where:
  • Symmetric and asymmetric schemes both suffer from a difficulty in allowing establishment of multiple relationships between one entity and a two or more others, without the need to provide multiple sets of keys. For example, if a main entity wants to establish secure communications with two or more additional entities, it will need to maintain a different key for each of the additional entities. For practical reasons, it is desirable to avoid generating and storing large numbers of keys. To reduce key numbers, two or more of the entities may use the same key to communicate with the main entity. However, this means that the main entity cannot be sure which of the entities it is communicating with. Similarly, messages from the main entity to one of the entities can be decrypted by any of the other entities with the same key. It would be desirable if a mechanism could be provided to allow secure communication between a main entity and one or more other entities that overcomes at least some of the shortcomings of prior art.
  • first entity In a system where a first entity is capable of secure communication of some form, it may be desirable to establish a relationship with another entity without providing the other entity with any info ⁇ nation related the first entity's security features.
  • the security features might include a key or a cryptographic function. It would be desirable to provide a mechanism for enabling secure communications between a first and second entity when they do not share the requisite secret function, key or other relationship to enable them to establish trust.
  • a method of compensatmg for an inoperative nozzle in a printhead comprising the step of: (a) mapping dot data intended for the inoperative nozzle into one or more operative nozzles of the printhead.
  • step (a) includes the substep of mapping the dot data intended for the inoperative nozzle into a nozzle that will print a dot on print media close to a position at which the inoperative nozzle would have printed a dot had it been operative.
  • step (a) includes the substep of mapping the dot data intended for the inoperative nozzle into a nozzle that will print a dot on print media immediately adjacent a position at which the inoperative nozzle would have printed a dot had it been operative.
  • step (a) includes the substeps of:
  • the dot data is remapped to one of the operative nozzles that will print a dot on print media closest to that which would have been printed by the inoperative nozzle.
  • the dot data is remapped alternately to operative nozzles that will print a dot on print media either side of that which would have been printed by the inoperative nozzle.
  • the dot data is remapped randomly, pseudo-randomly, or arbitrarily to operative nozzles that will print a dot on print media either side of that which would have been printed by the inoperative nozzle.
  • the printhead including a plurality of sets of the nozzles for printing a corresponding plurality of channels of dot data, wherein step (a) includes the substep of mapping the dot data intended for the inoperative nozzle into one or more operative nozzles from the same set.
  • step (a) includes the substep of mapping the dot data into one or more operative nozzles that will print a dot on print media close to a position at which the inoperative nozzle would have printed a dot had it been operative.
  • step (a) includes the substep of mapping the dot data intended for the inoperative nozzle into one or more operative nozzles including at least one nozzle from a different one of the sets.
  • step (a) includes the substeps of: determining which combination of one or more available operative nozzles near the inoperative nozzle will minimise perceived error in an image that the dot data forms part of, the determination being performed on the basis of a color model; and mapping the dot data intended for the inoperative nozzle to that combination of one or more operative nozzles.
  • the inoperative nozzle is associated with a black print channel, and wherein step (a) mcludes remapping the dot data intended for the inoperative nozzle into a plurality of operative nozzles in other color channels to produce a process black output at or adjacent a location on print media where the inoperative nozzle would have deposited a droplet of a black printing substance in accordance with the dot data.
  • a plurality of dot data intended for a corresponding plurality of inoperative nozzles are mapped to operative nozzles.
  • a printer controller configured to implement the method of the first aspect.
  • a printer controller configured to implement the method of the first aspect to a printhead comprising a plurality of the nozzles.
  • a method for outputting a portion of a dither matrix stored in a memory comprising the step of: (b) determining a start position and an end position in the memory; (c) reading a plurality of dither values of the dither matrix from the memory, commencing at the start position; and (d) outputting a portion of the plurality of dither values read in step (b)
  • two or more dither matrices are stored in the memory.
  • a plurality of dither values are read from at least two of the dither matrices with a single read.
  • the matrices can be different sizes.
  • each read from the memory reads at least one, and preferably two or more, lines from one or more dither matrices.
  • the method can also be embodied in hardware.
  • the memory is configurable to store different dither matrices for different color channels. It is particularly preferred that a single read of the memory loads a full line for two or more dither matrices into a dither buffer. Typically, each dither matrix will be for a different color channel.
  • a printer controller for supplying dot data to a printhead in a predetermined order, the printhead comprising at least a first printhead module having a plurality of rows of printing nozzles, the printer controller being configured to order and time the supply of the dot data to the first printhead module such that a relative skew between adjacent rows of printing nozzles on the at least one printhead module, in a direction normal to a direction of printing, is at least partially compensated for.
  • the printer controller is configured to at least partially compensate for the relative skew between adjacent rows in each of a plurality of sets of the adjacent rows.
  • the printer controller is configured to compensate for the skew by introducing a relative delay into the dot data destined for at least one of the rows of printing nozzles. More preferably, the printhead is configured to print the dots at a predetermined spacing across its width, and the delay introduced by the printer controller equates to an integral multiple of the spacing.
  • the printhead defines a printable region between printing boundaries. Nozzles of at least one of the rows of at least one of the at least one printhead modules are positioned outside the printable region due to the skew between adjacent rows of the nozzles on the at least one printhead module.
  • the printer controller is configured to introduce a relative delay into the dot data supplied to at least one of the rows such that the nozzles outside the printable region do not print.
  • the at least one printhead module includes at least one pair of adjacent rows of the nozzles such that each row of the pair is configured to print the same ink.
  • the printhead is configured to provide the dot data to the pair of adjacent rows such that the dot data is shifted serially through the first of the rows then through the second of the rows, until the dot data has been supplied to all the nozzles.
  • the printhead is configured to provide the dot data to the pair of adjacent rows such that the dot data is shifted serially through the first of the rows in a first direction then looped back through the second of the rows in a second direction opposite the first, until the dot data has been supplied to all the nozzles.
  • the printhead is configured to print a series of printhead-width rows of the dots, and wherein the first and second rows are configured to print odd and even dots, respectively, of the printhead-width rows, the printhead controller being configured to supply the one or more first rows with odd dot data and the one or more second rows with even dot data.
  • the printhead has a plurality of the pairs of rows.
  • the printer controller is configured to supply the dot data such that any relative skew between the first and second rows of each pair of rows, in a direction normal to a direction of printing, is at least partially compensated for.
  • each printhead module is configured to print a plurality of independent inks, and the nozzles in each row are configured to print in one of the inks.
  • the printhead controller being configured to supply each of the inks to at least one row of at least one of the printhead modules.
  • the printer controller being configured to order and time the supply of the dot data to the compensate for the unequal length.
  • the printer controller is configured to at least partially compensate for any relative skew between adjacent rows of the nozzles on adjacent ones of the printhead modules.
  • the printer controller is selectively configurable to compensate at least partially for a plurality of potential relative skews.
  • the controller is configured to compensate at least partly for a fixed amount of the skew.
  • the invention comprises the printer engine comprising a printer controller according to the first aspect and a printhead, wherein the nozzles of the printhead are disposed in a printable region between printing boundaries of the printhead.
  • the printhead includes at least one logical nozzle located outside the printable zone that can accept data but is not capable of printing.
  • the logical nozzles are arranged to introduce a relative delay into the dot data supplied to at least one of the rows, such that dot data is supplied to the correct nozzles for printing.
  • a printer controller for supplying dot data to a printhead in a predetermined order, the printhead comprising at least first and second printhead modules, each comprising a plurality of printing nozzles and being disposed adjacent each other such that a printing width of the printhead is wider than a printing width of either of the printhead modules, the printer controller being configurable during or after manufacture to order and time supply of the dot data to the printhead modules such that any relative displacement between the printhead nozzles in a direction normal to the printhead printing width is at least partially compensated for.
  • the printer controller is configurable to provide compensation for any of a plurality of different amounts of the relative displacement.
  • each of the printhead modules comprises a plurality of parallel rows of the printing nozzles
  • the printhead is configured such that each of the rows of each printhead module has a corresponding row in each of the other printhead modules.
  • the printer controller is controllable to introduce a relative delay into the dot data supplied to one or more of the rows, thereby to provide the compensation.
  • the delay introduced by the printer controller equates to an integral multiple of the spacing during printing.
  • a printer controller for supplying dot data to a printhead in a predeteirnined order, the printhead comprising at least first and second printhead modules, each of which comprises a plurality of printing nozzles and being disposed adjacent each other such that a printing width of the printhead is wider than a printing width of either of the printhead modules, the printer controller being configured to order and time supply of the dot data to the printhead modules in accordance with their respective widths, such that a difference in relative widths of the printhead modules is at least partially compensated for.
  • each of the printhead modules comprises a plurality of rows of the printing nozzles, the controller being configured to supply the dot data to the rows of nozzles in serial form. More preferably, each of the printhead modules comprises one or more parallel pairs of the rows, the controller being configured to serially supply the data to a first of each of the rows of nozzles in the or each pair of rows, the data being serially clocked through the first row of the or each pair of rows, then through a second row of the or each pair or rows, until all printhead nozzles have received their respective data.
  • the data is clocked through the second row in a direction substantially opposite to that in which it was clocked through the first row.
  • a printer controller for supplying dot data to a printhead comprising at least one printhead module, the printer controller being configurable to supply the dot data to a selectable one of a plurality of potential printhead module types, each having a different number of nozzles for receiving the dot data.
  • the printer controller includes non-volatile memory for storing at least one parameter value, the at least one parameter value determining which of the potential printhead types the printer controller has been configured to supply the dot data to.
  • the printer controller is configurable to supply the dot data to the printhead module on the basis of one or more printer module widths indicated by the at least one parameter.
  • the printer controller is configurable to supply the dot data to a plurality of the printhead modules, on the basis of one or more widths of the printhead modules indicated by the at least one parameter.
  • a method of accounting for dead nozzle remapping in a multi-nozzle printhead including remapping a fixative intended for a dot to be printed by the dead nozzle.
  • the remapping includes remapping the fixative to an operative nozzle to which dot data intended for the dead nozzle for printing at or adjacent a position at which the dead nozzle would have printed.
  • the remapping includes preventing output of fixative onto the position where the dead nozzle would have printed a dot had it been operative.
  • a method for arbitrating between a plurality of access requests issued in relation to a resource by a plurality of requestors wherein each request can be one of at least two types, a first of the types having a higher latency associated with its performance than at least some of the other types, the method including the steps of: (e) receiving a plurality of the access requests; (the requests are not placed anywhere, they are simply received)
  • step (g) includes the substep of performing the access request indicated by the lookahead pointer immediately after the access request indicated by the current pointer is performed.
  • step (g) includes the substep of performing the access request indicated by the lookahead pointer immediately after the access request indicated by the current pointer is performed.
  • the number of timeslots between the timeslot indicated by the lookahead pointer and the timeslot indicated by the current pointer takes into account a latency difference between performing an access request of the first type and at least one of the other access request types.
  • an integrated circuit including: a plurality of operative units, each of which is capable of issuing a request for access to a memory accessible by the integrated circuit; and an timeslot arbitrator for arbitrating between requests issued by the operative units for access to the memory, wherein each request can be one of at least two types, a first of the types having a higher latency associated with its performance than at least some of the other types, the timeslot arbitrator being configured to: (h) receive a plurality of the access requests; (i) maintain a current pointer that points to a current timeslot in a timeslot list, and at least one lookahead pointer that points to a future timeslot in the timeslot list; and
  • the first type of access request is a memory write request.
  • the integrated circuit includes a memory interface unit operatively connected with, and under the control of, the timeslot arbitrator, and wherein the memory interface is operatively connected to: one or more of the operative units via one or more communications buses, and the memory via a memory bus of greater width than the communications buses.
  • the number of timeslots between the timeslot indicated by the lookahead pointer and the timeslot indicated by the current pointer takes into account a latency difference between performing an access request of the first type and at least one of access request types.
  • a method of arbitrating between access requests from a plurality of requestors for access to a resource, wherein at least one of the requestors is defined as higher priority access to the resource comprising the steps of:
  • the at least one requestor requires lower latency access to the resource than at least one of the other requestors from which access requests can be received.
  • the at least one requestor is a processor and/or the resource is a memory.
  • step (1) includes the substep of performing the access request from the requestor immediately following completion of any current access request being reformed.
  • step (1) is performed such that a frequency of the at least one requestor being granted preferential performance of its access requests is limited within a time period. More preferably, early performance of access requests from the at least one requestor is restricted to a maximum number of times within a predetermined number of timeslots.
  • each request can also be one of at least two types, a first of the types having a higher latency associated with its performance than at least some of the other types, the method including the steps of: (m) receiving a plurality of the access requests;
  • a method of updating a cache in an integrated circuit comprising: the cache a processor connected to the cache via a cache bus; a memory interface connected to the cache via a first bus and to the processor via a second bus, the first bus being wider than the second bus or the cache bus; and memory connected to the memory interface via a memory bus; the method comprising the steps of: (p) following a cache miss, using the processor to issue a request for first data via a first address, the first data being that associated with the cache miss;
  • the processor is configured to attempt a cache update with the first data upon receiving it from the memory interface, the method further including the step of preventing the attempted cache update by the processor from being successful, thereby preventing interference with the cache update of steps (s) and/or (t).
  • steps (r), (s), and (t) are performed substantially simultaneously.
  • steps (s) and (t) are performed by the memory interface.
  • steps (s) and (t) are performed in response to the processor attempting to update the cache following step (r). More preferably, the memory interface is configured to monitor the processor to determine when it attempts to update the cache following step (r).
  • an integrated circuit comprising a processor, an onboard system clock for generating a clock signal, and clock trim circuitry, the integrated circuit being configured to: (u) receive an external signal;
  • (v) determine either the number of cycles of the clock signal during a predetermined number of cycles of the external signal, or the number of cycles of the external signal during a predetermined number of cycles of the clock signal;
  • (x) use the trim value to control the internal clock frequency.
  • the integrated circuit is configured to, between steps (v) and (w): output the result of the determination of step (v); and receive the trim value from an external source.
  • the integrated circuit includes non-volatile memory, and (w) includes storing the trim value in the memory.
  • the memory is flash RAM.
  • step (x) includes loading the trim value from the memory into a register and using the trim value in the register to control a frequency of the internal clock.
  • the trim value is deteimined and stored permanently in the integrated circuit. More preferably, the circuit includes one or more fuses that are intentionally blown following step (w), thereby preventing the stored trim value from subsequently being changed.
  • the system clock further includes a voltage controlled oscillator (VCO), an output frequency of which is controlled by the trim value.
  • VCO voltage controlled oscillator
  • the integrated circuit further includes a digital to analog convertor configured to convert the trim value to a voltage and supply the voltage to an input of the VCO, thereby to control the output frequency of the VCO.
  • the integrated circuit is configured to operate under conditions in which the signal for which the number of cycles is being determined is at a considerably higher frequency than the other signal.
  • the mtegrated circuit is configured to operate when a ratio of the number of cycles determined in step (v) and the predetermined number of cycles is greater than about 2. It is particularly preferred that the ratio is greater than about 4.
  • the integrated circuit is disposed in a package having an external pin for receiving the external signal. More preferably, the pin is a serial communication pin configurable for serial communication when the trim value is not being set.
  • the trim value was also deteimined on the basis of a compensation factor that took into account a temperature of the integrated circuit when the number of cycles are being determined.
  • the trim value received was determined by the external source, the external source having determined the trim value including a compensation factor based on a temperature of the integrated circuit when the number of cycles are being determined.
  • the trim value is determined by performing a number of iterations of determining the number of cycles, and averaging the determined number.
  • an integrated circuit comprising a processor, non-volatile memory, an input for receiving power from a power supply and a power detection unit, wherein the integrated circuit is configured to enable multi-word writes to the non-volatile memory, the power detection unit being configured to: monitor a quality of power supplied to the input; in the event the quality of the power drops below a predetermined threshold, preventing subsequent words in any multi-word write currently being performed from being written to the memory.
  • the integrated circuit is configured to prevent any further writes of any type to the memory once the quality is determined to have dropped below the threshold.
  • the quality is a voltage.
  • the memory is flash memory.
  • the power detection unit is configured to provide a reset signal to at least some other circuits on the integrated circuit once any current writes have been finished.
  • an integrated circuit comprising a processor, a memory that the processor can access, a memory access unit for controlling accesses to the memory, an input for receiving power for the integrated circuit from an external power source, and a power detection unit, the power detection unit being configured to: monitor a quality of power supplied to the input; in the event the quality of the power drops below a predeteimined threshold, disabling a power supply to circuitry for use in writing to the memory, such that the memory access unit's ability to alter data in the memory is disabled prior to address or data values to be written to the memory becoming unreliable due to failing power.
  • the memory is flash memory and the power supply is one or more charge pump circuits. More preferably, a voltage output by the power supply falls fast enough that the voltage supplied to the flash memory becomes too low to enable a change in contents of the flash memory before the voltage levels of the address or data values become invalid.
  • the integrated circuit is configured to cause a reset of at least some of the circuitry on the integrated circuit following disabling of the power supply.
  • the integrated circuit is programmed or designed to have a variable delay between disabling of the power supply and causing the reset.
  • an integrated circuit comprising a processor and memory, the memory storing a set of data representing program code and/or an operating value, wherein each bit of the data is stored as a bit/inverse-bit pair in corresponding pairs of physically adjacent bit cells in the memory.
  • the integrated circuit further includes a memory management unit configured to receive a request for the set of data and to test, during processing of the request, whether the respective pairs of physically adjacent bit-cells that correspond to the set of data contain bit/inverse-bit pairs, thereby to confirm the validity of the set of data as stored in the memory.
  • the memory management unit is configured to store sets of data as sets of bit/inverse-bit pairs in the memory.
  • the integrated circuit is selectively operable in either of first and second modes, wherein: in the first mode, the memory management unit is configured to receive and process a request for the set of data, and to test, during processing of the request, whether the respective pairs of physically adjacent bit-cells corresponding to the set of data contain bit/inverse-bit pairs, thereby to confirm the validity of the set of data as stored in the memory; and in the second mode, the memory management unit is configured to receive and process a request for data stored in the memory, without testing whether pairs of physically adjacent bit-cells contain bit/inverse-bit pairs.
  • the memory management unit in the first mode, is configured to store a set of data associated with a memory write request as a corresponding set of bit/inverse-bit pairs, each of the bit/inverse-bit pairs being physically adjacent each other; and in the second mode, the memory management unit is configured to store a set of data associated with a memory write request as the set of data without corresponding inverse-bits.
  • the integrated circuit is configured to boot into the first mode by default.
  • the integrated circuit is configured to implement a defensive action in the event the test fails.
  • the defensive action includes resetting the integrated circuit.
  • the defensive reaction includes returning second data other than that the subject of the test.
  • the second data is a string of identical digits.
  • the defensive reaction is different depending upon whether the set of data represents program code or an operating value.
  • the integrated circuit is configured to replace the failed value with a substitute value.
  • the substitute value is selected to disrupt a program running on the integrated circuit.
  • the substitute causes at least some circuitry on the integrated circuit to reset.
  • the integrated circuit in the event the test fails, is permanently prevented from running software.
  • the integrated circuit is configured to delete from the memory some or all of the bit values associated with the set of data.
  • the integrated circuit is configured to delete some or all of the contents of the memory.
  • an integrated circuit comprising a processor and memory storing: secret information accessible via a first address, the secret information comprising a string of bit values; an inverse-string accessible via a second address, the inverse-string comprising a string of bit values, wherein each of the bit values in the inverse-string is the logical inverse of a bit value at a corresponding bit position in the secret information, the integrated circuit being programmed with code configured to: (i) receive a request for the secret information; and (ii) test whether the bit-values of the inverse string are the inverse of the bit-values at respective corresponding bit positions of the secret information.
  • the integrated circuit is configured and programmed to perform a defensive action in the event the test fails.
  • the defensive action incudes deleting or destroying some or all of the contents of the memory in the event the test fails.
  • the defensive action includes deleting or destroying at least the secret information and/or the inverse string.
  • the defensive action includes preventing the processor from executing software.
  • the defensive action includes resetting some or all of logic on the integrated circuit.
  • the first and second addresses are at the same address in the memory.
  • the string and inverse string are stored at different sub-addresses within the same address.
  • method of manufacturing a plurality of the integrated circuits comprising the steps, for each of the plurality of integrated circuits, of: storing the secret information and the inverse string at the first and second addresses in the memory of the integrated circuit; and storing the code on the integrated circuit; wherein the first and second addresses are randomly, pseudo-randomly or arbitrarily selected for each of the integrated circuits and the code for each integrated circuit is customised to know the first and second addresses of its secret information and inverse string.
  • the first and second addresses are restricted to one of two potential locations in the memory of each integrated circuit, the secret information and the inverse string for each integrated circuit being allocated to the first and second addresses randomly, pseudo-randomly or arbitrarily. More preferably, the secret information differs between at least two of the integrated circuits.
  • each of the integrated circuits comprising a processor and non-volatile memory, and including code for running identical software processes, wherein each of the integrated circuits also includes secret information used by the software process, the secret information in each chip being located in a different location in the memory relative to a plurality of the other chips.
  • the code on each integrated circuit is such that the software process of each chip knows the location in memory via which the secret information is accessible.
  • a method of manufacturing a plurality of the integrated circuits including the steps of: manufacturing a plurality of physical integrated circuits; and injecting, into the non-volatile memory of each of the integrated circuits: code for running a software process; and secret information; wherein the secret information is positioned in relatively different locations of the non-volatile memories and the code on each integrated circuit is such that the software process of each integrated circuit knows the location in memory via which the secret information is accessible on that integrated circuit.
  • an integrated circuit comprising a processor and non-volatile memory, the non-volatile memory storing a first number and a second number, wherein the second number is the result of an encryption function taking a third number and secret information as operands, the integrated circuit comprising software configured to decrypt the second number using the first number, thereby to determine the secret information as required.
  • the first and third numbers are the same.
  • the first and second numbers are of the same length.
  • the first number is a random number that was generated using a stochastic process.
  • the encryption function is an XOR logical function.
  • the software is configured to decrypt the second number by performing an XOR logical function using the first and second numbers as operands.
  • a method of manufacturing a plurality of integrated circuits in accordance with claim 1 including the steps, for each integrated circuit, of: dete ⁇ riining the first number, the third number and the secret information; generating the second number by way of an encryption function that uses the third number and the secret information as operands; storing the first and second numbers on the integrated circuit.
  • the first number is different amongst at least a plurality of the integrated circuits.
  • the first numbers are determined randomly, pseudo-randomly, or arbitrarily.
  • the first number is stored on the integrated circuit first, then extracted therefrom for use in generating the third and thence the second number.
  • a method of enabling authenticated communication of information between at least a primary entity and each of one or more secondary entities, each of the one or more secondary entities having an identifier associated with it including the steps of: allocating first secret information to the primary entity; for each of the one or more secondary entities, determining second secret information, the second secret information being the result of a one way function applied to that second secret entity's identifier and the first secret information; allocating the second secret information to the or each secondary entity.
  • the identifiers allocated to the secondary entities are generated stochastically, pseudo-randomly or arbitrarily.
  • the one way function is a hash function.
  • the first secret information is a key. More preferably, the one way function is a SHA function.
  • each of the entities is implemented in an integrated circuit.
  • each of the entities is implemented in an integrated circuit separate from the integrated circuits in which the other entities are implemented.
  • one or more of the secondary entities are implemented in a corresponding plurality of integrated circuits.
  • the primary entity is implemented in an integrated circuit.
  • both the primary and secondary entities are implemented in integrated circuits.
  • the first entity wishes to communicate with one of the second entities, the method including the steps, in the first entity, of: receiving data from the second entity; using the data and the first secret information to generate the second secret information associated with the second entity.
  • the data contains an identifier for the second entity
  • the first entity wishes to send an authenticated message to the second entity, the method including the steps, in the first entity, of: using the generated second secret information to sign a message, thereby generating a digital signature; outputting the message and the digital signature for use by the second entity, which can validate the message by using the digital signature and its own copy of the second secret information.
  • the generated signature includes a nonce from the first entity, and the output from the first entity includes the nonce, thereby enabling the second entity to validate the message using the digital signature, the nonce, and its own copy of the second secret information.
  • the data contains a first nonce.
  • the first entity wishes to send an authenticated message to the second entity, the method including the steps, in the first entity, of: using the generated second secret information and the first nonce to sign a message, thereby generating a digital signature; outputting the message and the digital signature for use by the second entity, which can validate the message by using the digital signature and its own copy of the second secret information.
  • the generated signature mcludes a second nonce from the first entity, and the output from the first entity includes the second nonce, thereby enabling the second entity to validate the message using the digital signature, the first and second nonces, and its own copy of the second secret information.
  • the first entity wishes to send an encrypted message to the second entity, the method including the steps, in the first entity, of: using the generated second secret information to encrypt a message, thereby generating an encrypted message; outputting the encrypted message for use by the second entity, which can decrypt the message by using its own copy of the second secret information.
  • the encrypted message includes a nonce from the first entity, and the output from the first entity includes the nonce, thereby enabling the second entity to decrypt the message using the nonce, and its own copy of the second secret information.
  • the first entity wishes to send an encrypted message that incorporates the first nonce to the second entity, the method including the steps, in the first entity, of: using the generated second secret information to encrypt a message and the first nonce, thereby generating an encrypted message; outputting the encrypted message for use by the second entity, which can decrypt the encrypted message by using its own copy of the second secret information.
  • the encrypted message includes a second nonce from the first entity, and the output from the first entity includes the second nonce.
  • a method of generating and sending a message from a first entity including the steps of: determining a message including an action; generating an authentication code on the basis of the action and a parameter, the parameter being indicative of an attribute of the action; and sending the message and authentication code from the first entity.
  • a method of generating and sending a message from a first entity comprising the steps of: determining a message including an action; generating an authentication code on the basis of the action and a parameter, the parameter being based on the identifier; and sending the message and authentication code from the first entity.
  • the action is a function
  • the parameter is indicative of the function
  • the entity is capable of generating messages for each of a plurality of types of function, and the parameter is indicative of the type of function comprised by the message that is sent.
  • the message includes one or more operands of the function.
  • the function is a read function and the one or more operands include an address to be read.
  • the function is a write function and the one or more operands include data to be written.
  • the types of function include at least a read and a write, wherein the authentication step produces a different authentication code depending upon whether the action is a read or a write.
  • the authentication step produces includes authentication codes
  • a method of generating a first authentication code for a first message for a first function wherein operands for the first authentication function used to generate the first authentication code include at least part of the first message and at least one identifier associated with the first function,
  • the method further including the steps of verifying the authentication code in accordance with the at least one identifier associated with the first function.
  • the identifier is indicative of a type of the function.
  • the at least one identifier is indicative of the entity generating the authentication code.
  • the at least one identifier is indicative of an entity for which the authentication code is generated.
  • the method includes the step, prior to generating the authentication code, of receiving a request from the entity for the first message, the request including information indicative of an identity of the entity.
  • a method of preventing a first action associated with a first message from being performed in a target entity including the steps of: sending the first message to the target entity, the first message being configured to cause the entity to perform the first action and a second action; and sending a second message to the target entity, the second message being configured to cause the entity to perform a third action; wherein the entity is configured such that performance of the second action and the third action is mutually incompatible.
  • a method of attempting first write and a second write to first and second security fields in a target entity including the steps of: sending a first message to the target entity, the first message being configured to cause the entity to perform an action and to update the first and second security fields; and sending a second message to the target entity, the second message being configured to cause the entity to update the first and second security fields; wherein the security fields have write restrictions associated with them such that updating the security fields in accordance with the first message prevents subsequent updating of the security fields in accordance with the second message, and wherein updating the security fields in accordance with the second message prevents subsequent updating of the security fields with the first message, and wherein the first action is only performed when updating of the security fields by the first message is successful.
  • a method of performing a second attempted write to two security fields in a target entity to prevent subsequent application of an earlier attempted write to a data field wherein: each of the first and second security fields has a monotonically changeable write restriction associated with it; and the first attempted write included a first data value for the data field and first and second security values for the first and second security fields respectively; the method including the step of sending a second write to the target entity, the second write including third and fourth security values for the first and second security fields respectively, wherein the write restrictions are such that application of the third and fourth security values to the first and second fields are mutually incompatible with application of the first and second security values to the first and second security fields, such that if any of the fields cannot be written to, none of them are written to.
  • the write restrictions prevent the second write from being performed in the event that the first write was previously performed; and prevent the first write from subsequently being performed in the event that the second write is performed.
  • the second write is sent in response to a notification that the first write was not successfully performed.
  • the second write is sent in response to a notification that the first write was not received.
  • the second write is sent after a predetermined time has elapsed after sending the first write without receiving confirmation of the first write being received or successfully performed.
  • the method further includes the step of sending the second write a plurality of times until the second write is successfully received and/or performed.
  • the method further includes the step of verifying the successful second write by performing an authenticated read of the first and second security fields.
  • the method further includes updating a value related to the data field.
  • the write permissions are such that only decrementing or incrementing of the security fields are permitted. More preferably, the write permissions are such that only decrementing of the security fields is permitted, and wherein: the value in the first security field prior to the first attempted write was x; the value in the second security field prior to the first attempted write was y; the value of the first security data was x-a; the value of the second security data was y-b; the value of the third security data being x-c; and the value of the fourth security data being y-d; wherein a ⁇ b, d ⁇ c and a, b, c and d are >0.
  • the target entity is a first integrated circuit and the messages are sent by a second integrated circuit.
  • each of the pieces of secret information has an associated index and the request in step (y) includes one or more of the indexes to identify those pieces of secret information for which the values are requested.
  • the request in step (y) is a request for the values all of the pieces of secret mformation and the response in step (z) orders the values such that the second entity can determine which values are associated with which piece of secret information, and can use the order to generate an index for the secret information.
  • the method further includes the steps, in the first entity and following step (z), of: (iii) receiving a request from the second entity identifying a function and identifying the index of a piece of secret information to be used in performing the function; and
  • the method further includes the steps, in the first entity and following step (z), of: (v) receiving a request from the second entity identifying a function and a piece of secret information to be used in performing the function; and (vi) performing the function using at least the identified piece of secret information, the identified piece of secret information being identified in the request of step (v) on the basis of at least one of the values output in step (z).
  • the secret information is stored in one or more physical locations of the first entity, and wherein the values are not indicative of those physical locations.
  • the first entity is implemented in a first integrated circuit and the second entity is implemented in a second integrated circuit.
  • the first integrated circuit includes a memory for storing the pieces of secret information and the values.
  • the first integrated circuits there is a plurality of the first integrated circuits, wherein the physical location of a piece of the secret information having particular attributes is mutually different for at least some of the first integrated circuits.
  • each of the pieces of secret information is a key for use with a corresponding authentication, encryption or decryption function.
  • the integrated circuit is programmed and configured to apply at least one of the authentication, encryption or decryption functions to data using the corresponding key as an operand.
  • the attribute stored for at least one of the pieces of secret information is the length of that at least one of the pieces of secret information.
  • the attribute stored for at least one of the pieces of secret information is the authentication, encryption or decryption type associated with that at least one of the pieces of secret information.
  • the attribute value stored for at least one of the pieces of secret information is indicative of a permission associated with that at least one of the pieces of secret information.
  • a system including first and second integrated circuits, the first integrated circuit implementing the first entity of claim 1, the second integrated circuit being programmed and configured to issue a request to the first integrated circuit for attribute values of any secret information stored by the first integrated circuit, and the first integrated circuit being programmed and configured to respond to the request by supplying the attribute values of the pieces of secret information to the external source.
  • the second integrated circuit is a printer controller chip and the first integrated circuit is a peripheral chip in communication with the printer controller.
  • the printer controller chip is installed in a printer and the peripheral chip is in a package that is releasably attachable to the printer via a connector, the connector enabling communication between the printer controller chip and the peripheral chip.
  • the printer controller chip and the peripheral chip are installed in a printer.
  • the package is an ink refill cartridge.
  • the package is a performance setting cartridge configured to set a performance level of the printer.
  • the first integrated circuit is configured to alter the attribute values associated with that at least one piece of secret information as required by the alteration or update such that the update or alteration of the at least one piece of secret information and its associated attributes is atomic.
  • an integrated circuit including an on- board system clock, the integrated circuit including a clock filter configured to determine a temperature of the integrated circuit and to alter an output of the system clock based on the temperature.
  • the clock filter is configured to alter the output of the system clock in the event the temperature is outside a predetermined temperature range.
  • altering the output includes preventing the clock signal from reaching one or more logical circuits on the integrated circuit to which it would otherwise be applied.
  • the predetermined temperature range is selected such that a temperature-related speed of the system clock output that is not due to the clock filter is within a predetermined frequency range. It is desirable that the frequency range be within an operating frequency of some or all of the logic circuitry to which the system clock is supplied.
  • the clock filter is configured to prevent the system clock from reaching some or all of the logic circuitry in the event the temperature falls below a predetermined level. This level is chosen to be high enough that race conditions, in which the clock speeds up to the point where logic circuitry behaviour becomes unpredictable, are avoided.
  • a method of manufacturing a series of integrated circuits having related functionality including the steps of: (vii) determining an identifier;
  • the identifier for each integrated circuit is determined using a stochastic mechanism, thereby rendering highly improbable the replication of some or all of the series of identifiers stored on the series of the integrated circuits.
  • each of the integrated circuits incorporates an identifier determined and stored in accordance with the first aspect.
  • each of the integrated circuits is a printer controller.
  • a first integrated circuit of a series of integrated circuits operable in first and second mode, wherein in the first mode, supervisor code can access the identifier and in the second mode, user code cannot access the identifier.
  • the supervisor mode is available to a program upon verification of that program by a boot program of the integrated circuit.
  • the identifier is mapped into a key K.
  • K is the identifier
  • K is created by applying a hash function or one-way function to the identifier.
  • the integrated circuit is configured to produce and output a message, the message including a result of encrypting K.
  • a method of injecting a key into a target integrated circuit comprising the step of receiving the message generated by the first integrated circuit of claim 10, and transferring a second key into the target integrated circuit, the second key being based on K.
  • the method includes generating the second key by manipulating K with a function.
  • the function uses K and a code associated with the target integrated circuit as operands.
  • the code is a code that is relatively unique to the target integrated circuit.
  • K and the second key enable secure communication between the first integrated circuit and the target integrated circuit.
  • the second integrated circuit is configured to communicate securely with a third integrated circuit, thereby enabling it to act as an intermediary between the first integrated circuit and the third integrated circuit, allowing secure communication therebetween.
  • the first integrated circuit and the third integrated circuit do not share a key for use in the secure communication.
  • the first integrated circuit is a printer controller configured to perform an authenticated read of the third integrated circuit by securely communicating via the second integrated circuit.
  • the authenticated read relates to monitoring or updating usage of a resource.
  • a method of enabling software development for an integrated circuit the integrated circuit being configured to run a boot program that prevents unverified software from subsequently being loaded onto, or run by, the integrated circuit, the method including the step of loading an intermediate program onto the integrated circuit, the intermediate program being customised for a particular one or more of a plurality of potential integrated circuits that, when run on the processor, enables loading or running of code on only the particular one or more integrated circuits.
  • the intermediate program enables the loading or running of unverified code on only the particular one or more integrated circuits.
  • the intermediate program enables the loading or running of the code only when the code includes data indicative of the particular one or more integrated circuits.
  • the intermediate program includes an intermediate boot key, such that the intermediate program enables loading or running of the code only when the code is verified in accordance with the intermediate boot key.
  • an integrated circuit configured to run a boot program that prevents unverified software from subsequently being loaded onto, or run by, the integrated circuit.
  • the integrated circuit is programmed with program code configured to: receive software data and a digital signature of the software data generate a first digest from the software data; and compare the first digest against a second digest obtained via the digital signature that accompanied the received software data; wherein the program is considered valid when the first and second digests match.
  • one or both of the digests were generated using a SHA1 function.
  • the boot program contains a plurality of keys, and one of the keys is selected for use in generating the first digest, the key being selected in accordance with a selection criterion.
  • the selection criterion is time-based, a particular one of the keys being selected depending on the time the selection is made.
  • the selection criteria relates to a physical arrangement or configuration of the integrated circuit.
  • the physical arrangement or configuration includes one or more of the following: one or more pads wired to a reference voltage or to ground; one or more fuses, one or more of which has been blown; or the contents of non- volatile memory.
  • the integrated circuit is programmed with program code configured to: receive encrypted software data, decrypt the software data; and validate the software data; wherein the decrypted software is executed only when the validation is successful.
  • the encryption function is RSA.
  • the boot program contains a plurality of keys, and one of the keys is selected for use in decrypting the software data, the key being selected in accordance with a selection criterion.
  • the selection criterion is time-based, a particular one of the keys being selected depending on the time the selection is made.
  • the selection criteria relates to a physical arrangement or configuration of the integrated circuit.
  • the physical arrangement or configuration includes one or more of the following: one or more pads wired to a reference voltage or to ground; one or more fuses, one or more of which has been blown; or the contents of non-volatile memory.
  • a method of passing validated information along a series of entities including a source entity, a series of at least one intermediate entity, and a target entity, wherein each of the entities shares a validation parameter with its immediately neighbouring entity or entities in the series, the method comprising the steps, commencing in the source entity, of:
  • step (xi) includes the substep of outputting the information.
  • step (xv) includes receiving the information and using it during the verification.
  • step (xii) includes receiving the information and using it during the verification.
  • a controller is in contact with at least some of the entities, the controller being configured to pass the information and/or the validation codes between adjacent entities in the series.
  • step (x) is performed in response to an instruction issued by the controller.
  • the instruction includes a request for the information upon which the validation is to be performed.
  • the validation code is a digital signature produced by a digital signature function using the information and the validation parameter as operands.
  • the validation parameter is a key
  • the key is a symmetric key
  • the validation parameter is an asymmetric key-pair, and the public and private components of the key-pair are in respective neighbouring entities in the series.
  • the validation code is a digital signature generated with a digital signature function using the key or key-pair component, the information and at least one nonce as inputs.
  • the at least one nonce is generated in the current entity in response to an instruction issued by the neighbouring entity of the current entity closer to the target entity.
  • the at least one nonce is randomly, pseudo-randomly or arbitrarily generated number.
  • the at least one nonce is supplied to the current entity in an instruction issued by the neighbouring entity of the current entity closer to the target entity.
  • the nonce is randomly, pseudo-randomly or arbitrarily generated number.
  • a different validation parameter is used for the validation step performed at any two adjacent entities.
  • At least one of the entities is an integrated circuit.
  • the target entity is a printer controller integrated circuit.
  • the source entity is a printer controller integrated circuit.
  • either the source entity or the target entity is a printer controller integrated circuit and the at least one intermediate entity is a verification chip associated with the printer controller.
  • the controller is a printer controller integrated circuit.
  • one of the entities is the controller.
  • the printer controller has a relatively unique identity and the verification chip includes a key based on the unique identity.
  • the source or target entity is an integrated circuit associated with a package that contains ink.
  • an integrated circuit incorporating microelectromechanical systems (MEMS), having a total area greater than an area of at least one of the reticles used to manufacture it.
  • the integrated circuit includes a stitch region where the multiple reticle fields overlapped during manufacturing of the integrated circuit.
  • the surface area of the integrated circuit is larger than a single stepping field of a reticle used to manufacture the integrated circuit.
  • an integrated circuit according to the first aspect manufactured using at least two different types of reticles.
  • the integrated circuit is manufactured using multiple applications of the same reticle.
  • the integrated circuit is a printhead.
  • a method of manufacturing an integrated circuit incorporating MEMS comprising laying out the integrated circuit using a plurality of overlapping reticles.
  • the overlapping reticles are the same as each other.
  • the reticles are different to each other.
  • the reticles are different lengths.
  • a plurality of the integrated cfrcuits are manufactured on a single substrate wafer, wherein each of the integrated circuits is manufactured incorporating MEMS, comprising laying out of the integrated circuit using a plurality of overlapping reticles.
  • At least some of the integrated circuits are different to each other.
  • the integrated circuits are of different lengths.
  • a method of laying out an integrated cfrcuit including the steps of: defing a layout of an integrated circuit; defining a joint path; modifying at least one element within an overlap area adjacent the joint path to take into account reticle field overlap during a subsequent manufacturing step.
  • a method of tracking usage of the one or more common resources comprising the steps of: from each consumer, broadcasting to each of the other consumers a value indicative of an amount of the one or more resources consumed; at each of the consumers, receiving the broadcasted values from the other consumers; and in each consumer, storing a record of the total of the values that the consumer broadcasted and the values received from the other consumers.
  • a memory stores a total indicative of the sum of all values broadcast by the consumers, the method further comprising the steps of, for each of at least a plurality of the consumers: performing an authenticated read of the total in the memory; comparing the total in the consumer's record with the total read from the memory; and in the event the totals do not match, performing an action.
  • the memory is in one of the consumers and comprises that consumer's record.
  • the action includes halting printing and or outputting an error message
  • the values are broadcast in a non-secure manner.
  • the value is signless, thereby preventing recrediting of the total in the memory.
  • the consumers are printer controllers.
  • each of the printer controllers controls printing to a different part of print media to be printed.
  • the resource is ink and the one or more values represent one or more corresponding inks consumed by one or more printheads associated with the printer controllers.
  • Figure 1 is an example of state machine notation Figure 2 shows document data flow in a printer
  • Figure 3 is an example of a single printer controller (hereinafter "SoPEC") A4 simplex printer system
  • Figure 4 is an example of a dual SoPEC A4 duplex printer system
  • Figure 5 is an example of a dual SoPEC A3 simplex printer system
  • Figure 6 is an example of a quad SoPEC A3 duplex printer system
  • Figure 7 is an example of a SoPEC A4 simplex printing system with an extra SoPEC used as
  • Figure 8 is an example of an A3 duplex printing system featuring four printing SoPECs
  • FIG. 9 shows pages containing different numbers of bands
  • Figure 10 shows the contents of a page band
  • Figure 11 illustrates a page data path from host to SoPEC
  • Figure 12 shows a page structure
  • Figure 13 shows a SoPEC system top level partition
  • Figure 14 shows a SoPEC CPU memory map (not to scale)
  • FIG. 15 is a block diagram of CPU Figure 16 shows CPU bus transactions
  • Figure 17 shows a state machine for a CPU subsystem slave
  • Figure 18 shows a SoPEC CPU memory map (not to scale)
  • FIG 19 shows an external signal view of a memory management unit (hereinafter "MMU") sub- block partition
  • Figure 20 shows an internal signal view of an MMU sub-block partition
  • Figure 21 shows a DRAM write buffer
  • Figure 22 shows DIU waveforms for multiple transactions
  • Figure 23 shows a SoPEC LEON CPU core
  • Figure 24 shows a cache data RAM wrapper Figure 25 shows a realtime debug unit block diagram
  • Figure 26 shows interrupt acknowledge cycles for single and pending interrupts
  • Figure 27 shows an A3 duplex system featuring four printing SoPECs with a single SoPEC DRAM device
  • Figure 28 is an SCB block diagram Figure 29 is a logical view of the SCB of figure 28
  • Figure 30 shows an ISI configuration with four SoPEC devices
  • Figure 31 shows half-duplex interleaved transmission from ISIMaster to ISISIave
  • Figure 32 shows ISI transactions
  • Figure 33 shows an ISI long packet
  • Figure 34 shows an ISI ping packet
  • Figure 35 shows a short ISI packet
  • Figure 36 shows successful transmission of two long packets with sequence bit toggling
  • Figure 37 shows sequence bit operation with errored long packet
  • Figure 38 shows sequence bit operation with ACK error
  • Figure 39 shows an ISI sub-block partition
  • Figure 40 shows an ISI serial interface engine functional block diagram
  • Figure 41 is an SIE edge detection and data IO diagram
  • Figure 42 is an SIE Rx/Tx state machine Tx cycle state diagram
  • Figure 43 shows an SIE Rx/Tx state machine Tx bit stuff '0' cycle state diagram
  • Figure 44 shows an SIE Rx/Tx state machine Tx bit stuff '1 ' cycle state diagram
  • Figure 45 shows an SIE Rx/Tx state machine Rx cycle state diagram
  • Figure 46 shows an SIE Tx functional timing example
  • FIG. 47 shows an SIE Rx functional timing example
  • Figure 48 shows an SIE Rx/Tx FIFO block diagram
  • Figure 49 shows SIE Rx/Tx FIFO control signal gating
  • Figure 50 shows an SIE bit stuffing state machine Tx cycle state diagram
  • Figure 51 shows an SIE bit stripping state machine Rx cycle state diagram
  • Figure 52 shows a CRC16 generation/checking shift register
  • Figure 53 shows circular buffer operation
  • Figure 54 shows duty cycle select
  • Figure 55 shows a GPIO partition
  • Figure 56 shows a motor control RTL diagram
  • Figure 57 is an input de-glitch RTL diagram
  • Figure 58 is a frequency analyser RTL diagram Figure 59 shows a brushless DC controller
  • Figure 60 shows a period measure unit
  • Figure 61 shows line synch generation logic
  • Figure 62 shows an ICU partition
  • Figure 63 is an interrupt clear state diagram Figure 63A Timers sub-block partition diagram
  • Figure 64 is a watchdog timer RTL diagram
  • Figure 65 is a generic timer RTL diagram
  • Figure 66 is a schematic of a timing pulse generator
  • FIG 67 is a Pulse generator RTL diagram Figure 68 shows a SoPEC clock relationship
  • Figure 69 shows a CPR block partition
  • Figure 70 shows reset deglitch logic
  • Figure 71 shows reset synchronizer logic
  • Figure 72 is a clock gate logic diagram Figure 73 shows a PLL and Clock divider logic Figure 74 shows a PLL control state machine diagram
  • Figure 75 shows a LSS master system-level interface
  • Figure 76 shows START and STOP conditions
  • Figure 77 shows an LSS transfer of 2 data bytes
  • Figure 78 is an example of an LSS write to a QA Chip
  • Figure 79 is an example of an LSS read from QA Chip
  • Figure 80 shows an LSS block diagram
  • Figure 81 shows an LSS multi-command transaction
  • Figure 82 shows start and stop generation based on previous bus state
  • Figure 83 shows an LSS master state machine
  • Figure 84 shows LSS master timing
  • Figure 85 shows a SoPEC system top level partition
  • Figure 86 shows an ead bus with 3 cycle random DRAM read accesses
  • Figure 87 shows interleaving of CPU and non-CPU read accesses
  • Figure 88 shows interleaving of read and write accesses with 3 cycle random DRAM accesses
  • Figure 89 shows interleaving of write accesses with 3 cycle random DRAM accesses
  • Figure 90 shows a read protocol for a SoPEC Unit making a single 256-bit access
  • Figure 91 shows a read protocol for a SoPEC Unit making a single 256-bit access
  • Figure 92 shows a write protocol for a SoPEC Unit making a single 256-bit access
  • Figure 93 shows a protocol for a posted, masked, 128-bit write by the CPU
  • Figure 94 shows a write protocol shown for CDU making four contiguous 64-bit accesses
  • Figure 95 shows timeslot-based arbitration
  • Figure 96 shows timeslot-based arbitration with separate pointers
  • Figure 97 shows a first example (a) of separate read and write arbitration
  • Figure 98 shows a second example (b) of separate read and write arbitration
  • Figure 99 shows a third example (c) ofseparate read and write arbitration
  • Figure 100 shows a DIU partition
  • Figure 101 shows a DIU partition
  • Figure 102 shows multiplexing and address translation logic for two memory instances
  • Figure 103 shows a timing of dau_dcu_valid, dcu_dau_adv and dcu_dau_wadv
  • Figure 104 shows a DCU state machine
  • Figure 105 shows random read timing
  • Figure 106 shows random write timing
  • Figure 107 shows refresh timing
  • Figure 108 shows page mode write timing
  • Figure 109 shows timing of non-CPU DIU read access
  • FIG. 110 shows timing of CPU DIU read access
  • Figure 111 shows a CPU DIU read access
  • Figure 112 shows timing of CPU DIU write access
  • Figure 113 shows timing of a non-CDU / non-CPU DIU write access
  • Figure ' 114 shows timing of CDU DIU write access
  • Figure ' 115 shows command multiplexor sub-block partition
  • Figure 116 shows command multiplexor timing at DIU requestors interface
  • Figure 117 shows generation of re_arbitrate and re_arbitrate_wadv
  • Figure ' 118 shows CPU interface and arbitration logic
  • Figure 120 shows setting RotationSync to enable a new rotation.
  • Figure 121 shows a timeslot based arbitration
  • Figure 122 shows a timeslot based arbitration with separate pointers
  • Figure 123 shows a CPU pre-access write lookahead pointer
  • Figure 124 shows arbitration hierarchy
  • Figure ' 125 shows hierarchical round-robin priority comparison
  • Figure 126 shows a read multiplexor partition
  • Figure 127 shows a read command queue (4 deep buffer)
  • Figure ' 128 shows state-machines for shared read bus accesses
  • Figure 129 shows a write multiplexor partition
  • Figure ' 130 shows a read multiplexer timing for back-to-back shared read bus transfer
  • Figure ' 131 shows a write multiplexer partition
  • Figure ' 132 shows a block diagram of a PCU
  • Figure ' 133 shows PCU accesses to PEP registers
  • Figure ' 135 shows DRAM command access state machine
  • Figure ' 136 shows an outline of contone data flow with respect to CDU
  • Figure ' 137 shows a DRAM storage arrangement for a single line of JPEG 8x8 blocks in 4 colors
  • Figure 138 shows a read control unit state machine
  • Figure 139 shows a memory arrangement of JPEG blocks
  • Figure ' 140 shows a contone data write state machine
  • Figure 141 shows lead-in and lead-out clipping of contone data in multi-SoPEC environment
  • Figure ' 142 shows a block diagram of CFU
  • Figure ' 143 shows a DRAM storage arrangement for a single line of JPEG blocks in 4 colors
  • Figure ' 144 shows a block diagram of color space converter
  • Figure ' 145 shows a converter/invertor
  • Figure ' 146 shows a high-level block diagram of LBD in context
  • Figure ' 147 shows a schematic outline of the LBD and the SFU
  • Figure ' 148 shows a block diagram of lossless bi-level decoder
  • Figure ' 149 shows a stream decoder block diagram
  • Figure ' 50 shows a command controller block diagram
  • Figure ' 151 shows a state diagram for command controller (CC) state machine
  • Figure ' 152 shows a next edge unit block diagram
  • Figure ' 153 shows a next edge unit buffer diagram
  • Figure 154 shows a next edge unit edge detect diagram
  • Figure 155 shows a state diagram for the next edge unit state machine
  • Figure 156 shows a line fill unit block diagram
  • Figure 157 shows a state diagram for the Line Fill Unit (LFU) state machine
  • Figure 158 shows a bi-level DRAM buffer
  • Figure 159 shows interfaces between LBD/SFU/HCU
  • Figure 160 shows an SFU sub-block partition
  • Figure 161 shows an LBDPrevLineFifo sub-block
  • Figure 162 shows timing of signals on the LBDPrevLineFIFO interface to DIU and address generator
  • Figure 163 shows timing of signals on LBDPrevLineFIFO interface to DIU and address generator
  • Figure 164 shows LBDNextLineFifo sub-block
  • Figure 165 shows timing of signals on LBDNextLineFIFO interface to DIU and address generator
  • Figure 166 shows LBDNextLineFIFO DIU interface state diagram
  • Figure 167 shows an LDB to SFU write interface
  • Figure 168 shows an LDB to SFU read interface (within a line)
  • Figure 169 shows an HCUReadLineFifo Sub-block
  • Figure 170 shows a DIU write Interface
  • Figure 171 shows a DIU Read Interface multiplexing by select_hrfplf
  • Figure 172 shows DIU read request arbitration logic
  • Figure 173 shows address generation
  • Figure 174 shows an X scaling control unit
  • Figure 175 Y shows a scaling control unit
  • Figure 176 shows an overview of X and Y scaling at HCU interface
  • Figure 177 shows a high level block diagram of TE in context
  • Figure 178 shows a QR Code
  • Figure 179 shows Netpage tag structure
  • Figure 180 shows a Netpage tag with data rendered at 1600 dpi (magnified view)
  • Figure 181 shows an example of 2x2 dots for each block of QR code
  • Figure 182 shows placement of tags for portrait & landscape printing
  • Figure 183 shows agGeneral representation of tag placement
  • Figure 184 shows composition of SoPECs tag format structure
  • Figure 185 shows a simple 3x3 tag structure
  • Figure 186 shows 3x3 tag redesigned for 21 x 21 area (not simple replication)
  • Figure 187 shows a TE Block Diagram
  • Figure 188 shows a TE Hierarchy
  • Figure 189 shows a block diagram of PCU accesses
  • Figure 190 shows a tag encoder top-level FSM
  • Figure 191 shows generated control signals
  • Figure 192 shows logic to combine dot information
  • Figure 193 shows generation of Lastdotintag/1
  • Figure 195 shows generation of write enable to the TFU
  • Figure 196 shows generation of Tag Dot Number
  • Figure 197 shows TDI Architecture
  • Figure 198 shows data flow through the TDI
  • Figure 199 shows raw tag data interface block diagram
  • Figure 200 shows an RTDI State Flow Diagram
  • Figure 201 shows a relationship between TE_endoftagdata, cdu_startofbandstore and cdu_endofbandstore
  • Figure 202 shows a TDi State Flow Diagram
  • Figure 203 shows mapping of the tag data to codewords 0-7
  • Figure 204 shows coding and mapping of uncoded fixed tag data for (15,5) RS encoder
  • Figure 205 shows mapping of pre-coded fixed tag data
  • Figure 206 shows coding and mapping of variable tag data for (15,7) RS encoder
  • Figure 207 shows coding and mapping of uncoded fixed tag data for (15,7) RS encoder
  • Figure 208 shows mapping of 2D decoded variable tag data
  • Figure 210 shows an RS encoder I/O diagram
  • Figure 211 shows a (15,5) & (15,7) RS encoder block diagram
  • Figure 212 shows a (15,5) RS encoder timing diagram
  • Figure 213 shows a (15,7) RS encoder timing diagram
  • Figure 214 shows a circuit for multiplying by alpha 3
  • Figure 215 shows adding two field elements
  • Figure 216 shows an RS encoder implementation
  • Figure 217 shows an encoded tag data interface
  • Figure 218 shows an encoded fixed tag data interface
  • Figure 219 shows an encoded variable tag data interface
  • Figure 220 shows an encoded variable tag data sub-buffer Figure 221 shows a breakdown of the tag format structure
  • Figure 222 shows a TFSI FSM state flow diagram
  • FIG. 223 shows a TFS block diagram
  • Figure 224 shows a table A interface block diagram
  • Figure 225 shows a table A address generator Figure 226 shows a table C interface block diagram
  • Figure 227 shows a table B interface block diagram
  • Figure 228 shows interfaces between TE, TFU and HCU
  • Figure 229 shows a 16-byte FIFO in TFU
  • Figure 230 shows a high level block diagram showing the HCU and its external interfaces
  • Figure 231 shows a block diagram of the HCU
  • Figure 232 shows a block diagram of the control unit
  • Figure 233 shows a block diagram of determine advdot unit
  • Figure 234 shows a page structure
  • Figure 235 shows a block diagram of a margin unit
  • Figure 236 shows a block diagram of a dither matrix table interface
  • Figure 237 shows an example of reading lines of dither matrix from DRAM
  • Figure 238 shows a state machine to read dither matrix table
  • Figure 239 shows a contone dotgen unit
  • Figure 240 shows a block diagram of dot reorg unit
  • Figure 241 shows an HCU to DNC interface (also used in DNC to DWU, LLU to PHI)
  • Figure 242 shows SFU to HCU interface (all feeders to HCU)
  • Figure 243 shows representative logic of the SFU to HCU interface
  • Figure 244 shows a high-level block diagram of DNC
  • Figure 245 shows a dead nozzle table format
  • Figure 246 shows set of dots operated on for error diffusion
  • Figure 247 shows a block diagram of DNC
  • Figure 248 shows a sub-block diagram of ink replacement unit
  • Figure 249 shows a dead nozzle table state machine
  • Figure 250 shows logic for dead nozzle removal and ink replacement
  • Figure 251 shows a sub-block diagram of error diffusion unit
  • Figure 252 shows a maximum length 32-bit LFSR used for random bit generation
  • Figure 253 shows a high-level data flow diagram of DWU in context
  • Figure 254 shows a printhead nozzle layout for 36-nozzle bi-lithic printhead
  • Figure 255 shows a printhead nozzle layout for a 36-nozzle bi-lithic printhead
  • Figure 256 shows a dot line store logical representation
  • Figure 257 shows a conceptual view of printhead row alignment
  • Figure 258 shows a conceptual view of printhead rows (as seen by the LLU and PHI)
  • Figure 259 shows a comparison of 1.5x v 2x buffering
  • Figure 260 shows an even dot order in DRAM (increasing sense, 13320 dot wide line)
  • Figure 261 shows an even dot order in DRAM (decreasing sense, 13320 dot wide line)
  • Figure 262 shows a dotline FIFO data structure in DRAM
  • Figure 263 shows a DWU partition
  • Figure 264 shows a buffer address generator sub-block
  • Figure 265 shows a DIU Interface sub-block
  • Figure 266 shows an interface controller state diagram
  • Figure 267 shows a high level data flow diagram of LLU in context
  • Figure 269 shows printhead structure and dot generate order
  • Figure 270 shows an order of dot data generation and transmission
  • Figure 271 shows a conceptual view of printhead rows
  • Figure 272 shows a dotline FIFO data structure in DRAM (LLU specification)
  • Figure 273 shows an LLU partition
  • Figure 274 shows a dot generator RTL diagram
  • Figure 275 shows a DIU interface
  • Figure 276 shows an interface controller state diagram
  • Figure 277 shows high-level data flow diagram of PHI in context
  • Figure 279 shows printhead data rate equalization
  • Figure 280 shows a printhead structure and dot generate order
  • Figure 281 shows an order of dot data generation and transmission
  • Figure 282 shows an order of dot data generation and transmission (single printhead case)
  • Figure 283 shows printhead interface timing parameters
  • Figure 284 shows printhead timing with margining
  • Figure 285 shows a PHI block partition
  • Figure 286 shows a sync generator state diagram
  • Figure 287 shows a line sync de-glitch RTL diagram
  • Figure 288 shows a fire generator state diagram
  • Figure 289 shows a PHI controller state machine
  • Figure 290 shows a datapath unit partition
  • Figure 291 shows a dot order controller state diagram
  • Figure 292 shows a data generator state diagram
  • Figure 293 shows data serializer timing
  • Figure 294 shows a data serializer RTL Diagram
  • Figure 295 shows printhead types 0 to 7
  • Figure 296 shows an ideal join between two dilithic printhead segments
  • Figure 297 shows an example of a join between two bilithic printhead segments
  • Figure 298 shows printable vs non-printable area under new definition
  • Figure 299 shows identification of printhead nozzles and shift-register sequences for printheads in arrangement 1
  • Figure 300 shows demultiplexing of data within the printheads in arrangement 1
  • Figure 301 shows double data rate signalling for a type 0 printhead in arrangement 1
  • Figure 302 shows double data rate signalling for a type 1 printhead in arrangement 1
  • Figure 303 shows identification of printheads nozzles and shift-register sequences for printheads in arrangement 2
  • Figure 304 shows demultiplexing of data within the printheads in arrangement 2
  • Figure 305 shows double data rate signalling for a type 0 printhead in arrangement 2
  • Figure 306 shows double data rate signalling for a type 1 printhead in arrangement 2
  • Figure 307 shows all 8 printhead arrangements
  • Figure 308 shows a printhead structure
  • Figure 309 shows a column Structure
  • Figure 310 shows a printhead dot shift register dot mapping to page
  • Figure 311 shows data timing during printing
  • Figure 312 shows print quality
  • Figure 313 shows fire and select shift register setup for printing
  • Figure 314 shows a fire pattern across butt end of printhead chips
  • Figure 315 shows fire pattern generation
  • Figure 316 shows determination of select shift register value
  • Figure 317 shows timing for printing signals figure 318 shows initialisation of printheads figure 319 shows a nozzle test latching circuit figure 320 shows nozzle testing figure 321 shows a temperature reading figure 322 shows CMOS testing figure 323 shows a reticle layout figure 324 shows a stepper pattern on Wafer
  • Figure 326 shows a validation hierarchy
  • Figure 327 shows development of operating system code
  • Figure 328 shows protocol for directly verifying reads from ChipR
  • Figure 329 shows a protocol for signature translation protocol
  • Figure 330 shows a protocol for a direct authenticated write
  • Figure 331 shows an alternative protocol for a direct authenticated write
  • Figure 332 shows a protocol for basic update of permissions
  • Figure 333 shows a protocol for a multiple-key update
  • Figure 334 shows a protocol for a single-key authenticated read
  • Figure 335 shows a protocol for a single-key authenticated write
  • Figure 336 shows a protocol for a single-key update of permissions
  • Figure 337 shows a protocol for a single-key update
  • Figure 338 shows a protocol for a multiple-key single-M authenticated read
  • Figure 339 shows a protocol for a multiple-key authenticated write
  • Figure 340 shows a protocol for a multiple-key update of permissions
  • Figure 341 shows a protocol for a multiple-key update
  • Figure 342 shows a protocol for a multiple-key multiple-M authenticated read
  • Figure 343 shows a protocol for a multiple-key authenticated write
  • Figure 344 shows a protocol for a multiple-key update of permissions
  • Figure 345 shows a protocol for a multiple-key update
  • Figure 346 shows relationship of permissions bits to M[n] access bits
  • Figure 347 shows 160-bit maximal period LFSR
  • Figure 348 shows clock filter
  • Figure 349 shows tamper detection line
  • Figure 350 shows an oversize nMOS transistor layout of Tamper Detection Line
  • Figure 351 shows a Tamper Detection Line
  • Figure 352 shows how Tamper Detection Lines cover the Noise Generator
  • Figure 353 shows a prior art FET Implementation of CMOS inverter
  • Figure 354 shows non-flashing CMOS
  • Figure 355 shows components of a printer-based refill device
  • Figure 356 shows refilling of printers by printer-based refill device
  • Figure 357 shows components of a home refill station
  • Figure 358 shows a three-ink reservoir unit
  • Figure 359 shows refill of ink cartridges in a home refill station
  • Figure 360 shows components of a commercial refill station
  • Figure 361 shows an ink reservoir unit
  • Figure 362 shows refill of ink cartridges in a commercial refill station (showing a single refill unit)
  • Figure 363 shows equivalent signature generation
  • Figure 364 shows a basic field definition
  • Figure 365 shows an example of defining field sizes and positions
  • Figure 367 shows a first example of permissions for a field
  • Figure 368 shows a second example of permissions for a field
  • Figure 369 shows field attributes
  • Figure 370 shows an output signature generation data format for Read
  • Figure 371 shows an input signature verification data format for Test
  • Figure 372 shows an output signature generation data format for Translate
  • Figure 373 shows an input signature verification data format for WriteAuth
  • Figure 374 shows input signature data format for ReplaceKey
  • Figure 375 shows a key replacement map
  • Figure 376 shows a key replacement map after K-i is replaced
  • Figure 377 shows a key replacement process
  • Figure 378 shows an output signature data format for GetProgramKey
  • Figure 379 shows transfer and rollback process
  • Figure 380 shows an upgrade flow
  • Figure 381 shows authorised ink refill paths in the printing system
  • Figure 382 shows an input signature verification data format for XferAmount
  • Figure 383 shows a transfer and rollback process
  • Figure 384 shows an upgrade flow
  • Figure 385 shows authorised upgrade paths in the printing system
  • Figure 386 shows a direct signature validation sequence
  • Figure 387 shows signature validation using translation
  • Figure 388 shows setup of preauth field attributes
  • Figure 389 shows a high level block diagram of QA Chip
  • Figure 390 shows an analogue unit
  • Figure 391 shows a serial bus protocol for trimming
  • Figure 392 shows a block diagram of a trim unit
  • Figure 393 shows a block diagram of a CPU of the QA chip
  • Figure 394 shows block diagram of an MIU
  • Figure 395 shows a block diagram of memory components
  • Figure 396 shows a first byte sent to an IOU
  • Figure 397 shows a block diagram of the IOU Figure 398 shows a relationship between external SDa and SCIk and generation of internal signals
  • Figure 399 shows block diagram of ALU
  • Figure 400 shows a block diagram of DataSel
  • Figure 401 shows a block diagram of ROR
  • Figure 402 shows a block diagram of the ALU's IO block
  • Figure 403 shows a block diagram of PCU
  • Figure 404 shows a block diagram of an Address Generator Unit
  • Figure 405 shows a block diagram for a Counter Unit
  • Figure 406 shows a block diagram of PMU
  • Figure 407 shows a state machine for PMU Figure 408 shows a block diagram of MRU
  • Figure 409 shows simplified MAU state machine
  • Figure 410 shows power-on reset behaviour
  • Figure 411 shows a ring oscillator block diagram
  • Figure 412 shows a system clock duty cycle
  • Imperative phrases such as “must”, “requires”, “necessary” and “important” should be read as being indicative of being necessary only for the preferred embodiment actually being described. As such, unless the opposite is clear from the context, imperative wording should not be interpreted as such. None in the detailed description is to be understood as limiting the scope of the invention, which is intended to be defined as widely as is defined in the accompanying claims.
  • the preferred of the present invention is implemented in a printer using microelectromechanical systems (MEMS) printheads.
  • the printer can receive data from, for example, a personal computer such as an IBM compatible PC or Apple computer.
  • the printer can receive data directly from, for example, a digital still or video camera.
  • the particular choice of communication link is not important, and can be based, for example, on USB, Firewire, Bluetooth or any other wireless or hardwired communications protocol.
  • SoPEC Small office home office Print Engine Controller
  • ASIC Application Specific Integrated Circuit
  • the SoPEC ASIC is intended to be a low cost solution for bi-lithic printhead control, replacing the multichip solutions in larger more professional systems with a single chip.
  • the increased cost competitiveness is achieved by integrating several systems such as a modified PEC1 printing pipeline, CPU control system, peripherals and memory sub-system onto one SoC ASIC, reducing component count and simplifying board design.
  • This section will give a general introduction to Memjet printing systems, introduce the components that make a bi-lithic printhead system, describe possible system architectures and show how several SoPECs can be used to achieve A3 and A4 duplex printing.
  • SoPEC ASIC describes the SoC SoPEC ASIC, with subsections describing the CPU, DRAM and Print Engine Pipeline subsystems. Each section gives a detailed description of the blocks used and their operation within the overall print system. The final section describes the bi-lithic printhead construction and associated implications to the system due to its makeup.
  • a bi-lithic based printhead is constructed from 2 printhead ICs of varying sizes.
  • the notation M:N is used to express the size relationship of each IC, where M specifies one printhead IC in inches and
  • N specifies the remaining printhead IC in inches.
  • the 'SoPEC/MoPEC Bilithic Printhead Reference' document [10] contains a description of the bi- lithic printhead and related terminology.
  • Bi-lithic printhead Refers to printhead constructed from 2 printhead ICs
  • CPU Refers to CPU core, caching system and MMU.
  • ISI-Bridge chip A device with a high speed interface (such as USB2.0, Ethernet or IEEE1394) and one or more ISI interfaces.
  • the ISI-Bridge would be the ISIMaster for each of the ISI buses it interfaces to.
  • ISIMaster The ISIMaster is the only device allowed to initiate communication on the Inter Sopec Interface (ISI) bus.
  • the ISIMaster interfaces with the host.
  • ISISIave Multi-SoPEC systems will contain one or more ISISIave SoPECs connected to the ISI bus. ISISIaves can only respond to communication initiated by the ISIMaster.
  • LEON Refers to the LEON CPU core.
  • LineSyncMaster The LineSyncMaster device generates the line synchronisation pulse that all SoPECs in the system must synchronise their line outputs to.
  • Multi-SoPEC Refers to SoPEC based print system with multiple SoPEC devices
  • Netpage Refers to page printed with tags (normally in infrared ink).
  • PEC1 Refers to Print Engine Controller version 1 , precursor to SoPEC used to control printheads constructed from multiple angled printhead segments.
  • Printhead IC Single MEMS IC used to construct bi-lithic printhead PrintMaster
  • the PrintMaster device is responsible for coordinating all aspects of the print operation. There may only be one PrintMaster in a system.
  • QA Chip Quality Assurance Chip Storage SoPEC An ISISIave SoPEC used as a DRAM store and which does not print.
  • Tag Refers to pattern which encodes information about its position and orientation which allow it to be optically located and its data contents read. 4.3 ACRONYM AND ABBREVIATIONS
  • State machines should be described using the pseudocode notation outlined above. State machine descriptions use the convention of underline to indicate the cause of a transition from one state to another and plain text (no underline) to indicate the effect of the transition i.e. signal transitions which occur when the new state is entered.
  • FIG. 1 A sample state machine is shown in Figure 1.
  • a bi-lithic printhead produces 1600 dpi bi-level dots. On low-diffusion paper, each ejected drop forms a 22.5 ⁇ m diameter dot. Dots are easily produced in isolation, allowing dispersed-dot dithering to be exploited to its fullest. Since the bi-lithic printhead is the width of the page and operates with a constant paper velocity, color planes are printed in perfect registration, allowing ideal dot-on-dot printing. Dot-on-dot printing minimizes 'muddying' of midtones caused by inter-color bleed.
  • a page layout may contain a mixture of images, graphics and text. Continuous-tone (contone) images and graphics are reproduced using a stochastic dispersed-dot dither. Unlike a clustered-dot
  • a dispersed-dot (or frequency-modulated) dither reproduces high spatial frequencies (i.e. image detail) almost to the limits of the dot resolution, while simultaneously reproducing lower spatial frequencies to their full color depth, when spatially integrated by the eye.
  • a stochastic dither matrix is carefully designed to be free of objectionable low-frequency patterns when tiled across the image. As such its size typically exceeds the minimum size required to support a particular number of intensity levels (e.g. 16 ⁇ 16 ⁇ 8 bits for 257 intensity levels).
  • Human contrast sensitivity peaks at a spatial frequency of about 3 cycles per degree of visual field and then falls off logarithmically, decreasing by a factor of 100 beyond about 40 cycles per degree and becoming immeasurable beyond 60 cycles per degree [25][25]. At a normal viewing distance of 12 inches (about 300mm), this translates roughly to 200-300 cycles per inch (cpi) on the printed page, or 400-600 samples per inch according to Nyquist's theorem.
  • contone resolution above about 300 ppi is of limited utility outside special applications such as medical imaging.
  • a Netpage printer may use a contone resolution of 267 ppi (i.e. 1600 dpi / 6), and a black text and graphics resolution of 800 dpi.
  • a high end office or departmental printer may use a contone resolution of 320 ppi (1600 dpi / 5) and a black text and graphics resolution of 1600 dpi. Both formats are capable of exceeding the quality of commercial (offset) printing and photographic reproduction.
  • each page must be printed at a constant speed to avoid creating visible artifacts. This means that the printing speed can't be varied to match the input data rate.
  • Document rasterization and document printing are therefore decoupled to ensure the printhead has a constant supply of data. A page is never printed until it is fully rasterized. This can be achieved by storing a compressed version of each rasterized page image in memory. This decoupling also allows the RIP(s) to run ahead of the printer when rasterizing simple pages, buying time to rasterize more complex pages.
  • the compressed page image format contains a separate foreground bi-level black layer and background contone color layer.
  • the black layer is composited over the contone layer after the contone layer is dithered (although the contone layer has an optional black component).
  • a final layer of Netpage tags in infrared or black ink is optionally added to the page for printout.
  • Figure 2 shows the flow of a document from computer system to printed page.
  • a A4 page (8.26 inches x 11.7 inches) of contone CMYK data has a size of 26.3MB.
  • an A4 page of contone data has a size of 37.8MB.
  • lossy contone compression algorithms such as JPEG [27]
  • contone images compress with a ratio up to 10:1 without noticeable loss of quality, giving compressed page sizes of 2.63MB at 267 ppi and 3.78 MB at 320 ppi.
  • a A4 page of bi-level data has a size of 7.4MB.
  • a Letter page of bi-level data has a size of 29.5 MB.
  • Coherent data such as text compresses very well.
  • lossless bi- level compression algorithms such as SMG4 fax as discussed in Section 8.1.2.3.1
  • ten-point plain text compresses with a ratio of about 50:1.
  • Lossless bi-level compression across an average page is about 20:1 with 10:1 possible for pages which compress poorly.
  • the requirement for SoPEC is to be able to print text at 10:1 compression. Assuming 10:1 compression gives compressed page sizes of 0.74 MB at 800 dpi, and 2.95 MB at 1600 dpi.
  • CMYK contone image data consists of 116MB of bi-level data.
  • lossless bi-level compression algorithms on this data is pointless precisely because the optimal dither is stochastic - i.e. since it introduces hard-to-compress disorder.
  • Netpage tag data is optionally supplied with the page image.
  • the tag data is stored in its raw form.
  • Each tag is supplied up to 120 bits of raw variable data (combined with up to 56 bits of raw fixed data) and covers up to a 6mm x 6mm area (at 1600 dpi).
  • the absolute maximum number of tags on a A4 page is 15,540 when the tag is only 2mm x 2mm (each tag is 126 dots x 126 dots, for a total coverage of 148 tags x 105 tags).
  • 15,540 tags of 128 bits per tag gives a compressed tag page size of 0.24 MB.
  • the multi-layer compressed page image format therefore exploits the relative strengths of lossy JPEG contone image compression, lossless bi-level text compression, and tag encoding.
  • the format is compact enough to be storage-efficient, and simple enough to allow straightforward realtime expansion during printing.
  • the Host PC rasterizes and compresses the incoming document on a page by page basis.
  • the page is restructured into bands with one or more bands used to construct a page.
  • the compressed data is then transferred to the SoPEC device via the USB link.
  • a complete band is stored in SoPEC embedded memory. Once the band transfer is complete the SoPEC device reads the compressed data, expands the band, normalizes contone, bi-level and tag data to 1600 dpi and transfers the resultant calculated dots to the bi-lithic printhead.
  • the document data flow is •
  • the RIP software rasterizes each page description and compress the rasterized page image.
  • the infrared layer of the printed page optionally contains encoded Netpage [5] tags at a programmable density.
  • the compressed page image is transferred to the SoPEC device via the USB normally on a band by band basis.
  • the print engine takes the compressed page image and starts the page expansion.
  • the first stage page expansion consists of 3 operations performed in parallel expansion of the JPEG-com pressed contone layer expansion of the SMG4 fax compressed bi-level layer encoding and rendering of the bi-level tag data.
  • the second stage dithers the contone layer using a programmable dither matrix, producing up to four bi-level layers at full-resolution.
  • the second stage then composites the bi-level tag data layer, the bi-level SMG4 fax decompressed layer and up to four bi-level JPEG de-compressed layers into the full-resolution page image.
  • a fixative layer is also generated as required.
  • the last stage formats and prints the bi-level data through the bi-lithic printhead via the printhead interface.
  • the SoPEC device can print a full resolution page with 6 color planes.
  • Each of the color planes can be generated from compressed data through any channel (either JPEG compressed, bi-level SMG4 fax compressed, tag data generated, or fixative channel created) with a maximum number of 6 data channels from page RIP to bi-lithic printhead color planes.
  • the mapping of data channels to color planes is programmable, this allows for multiple color planes in the printhead to map to the same data channel to provide for redundancy in the printhead to assist dead nozzle compensation.
  • a data channel could be used to gate data from another data channel.
  • data from the bilevel data channel at 1600 dpi can be used to filter the contone data channel at 320 dpi, giving the effect of 1600 dpi contone image.
  • the SoPEC device typically stores a complete page of document data on chip.
  • the amount of storage available for compressed pages is limited to 2Mbytes, imposing a fixed maximum on compressed page size.
  • a comparison of the compressed image sizes in Table 2 indicates that SoPEC would not be capable of printing worst case pages unless they are split into bands and printing commences before all the bands for the page have been downloaded.
  • the page sizes in the table are shown for comparison purposes and would be considered reasonable for a professional level printing system.
  • the SoPEC device is aimed at the consumer level and would not be required to print pages of that complexity.
  • Target document types for the SoPEC device are shown Table 2.
  • the page RIP software in the host PC can determine that there is insufficient memory storage in the SoPEC for that document. In such cases the RIP software can take two courses of action. It can increase the compression ratio until the compressed page size will fit in the SoPEC device, at the expense of document quality, or divide the page into bands and allow SoPEC to begin printing a page band before all bands for that page are downloaded. Once SoPEC starts printing a page it cannot stop, if SoPEC consumes compressed data faster than the bands can be downloaded a buffer underrun error could occur causing the print to fail. A buffer underrun occurs if a line synchronisation pulse is received before a line of data has been transferred to the printhead.
  • a Storage SoPEC ( Section 7.2.5) could be added to the system to provide guaranteed bandwidth data delivery.
  • the print system could also be constructed using an ISI-Bridge chip (Section 7.2.6) to provide guaranteed data delivery. 7 Memjet Printer Architecture
  • the SoPEC device can be used in several printer configurations and architectures. In the general sense every SoPEC based printer architecture will contain: One or more SoPEC devices. • One or more bi-lithic printheads. Two or more LSS busses. Two or more QA chips. USB 1.1 connection to host or ISI connection to Bridge Chip. ISI bus connection between SoPECs (when multiple SoPECs are used).
  • Some example printer configurations as outlined in Section 7.2. The various system components are outlined briefly in Section 7.1. 7.1 SYSTEM COMPONENTS 7.1.1 SoPEC Print Engine Controller
  • SoPEC SoC
  • SoC system on a chip
  • PEP Print Engine Pipeline
  • the PEP reads compressed page store data from the embedded memory, optionally decompresses the data and formats it for sending to the printhead.
  • the print engine pipeline functionality includes expanding the page image, dithering the contone layer, compositing the black layer over the contone layer, rendering of Netpage tags, compensation for dead nozzles in the printhead, and sending the resultant image to the bi-lithic printhead.
  • SoPEC contains an embedded CPU for general purpose system configuration and management.
  • the CPU performs page and band header processing, motor control and sensor monitoring (via the GPIO) and other system control functions.
  • the CPU can perform buffer management or report buffer status to the host.
  • the CPU can optionally run vendor application specific code for general print control such as paper ready monitoring and LED status update.
  • a 2.5Mbyte embedded memory buffer is integrated onto the SoPEC device, of which approximately 2Mbytes are available for compressed page store data.
  • a compressed page is divided into one or more bands, with a number of bands stored in memory. As a band of the page is consumed by the SoPEC device
  • the new band may be for the current page or the next page.
  • DRAM (Section 7.2.6) could be used to provide guaranteed data delivery.
  • the embedded USB 1.1 device accepts compressed page data and control commands from the host PC, and facilitates the data transfer to either embedded memory or to another SoPEC device in multi-SoPEC systems.
  • 7.1.2 Bi-lithic Printhead The printhead is constructed by abutting 2 printhead ICs together. The printhead ICs can vary in size from 2 inches to 8 inches, so to produce an A4 printhead several combinations are possible.
  • A4 printhead For example two printhead ICs of 7 inches and 3 inches could be used to create a A4 printhead (the notation is 7:3). Similarly 6 and 4 combination (6:4), or 5:5 combination. For an A3 printhead it can be constructed from 8:6 or an 7:7 printhead IC combination. For photographic printing smaller printheads can be constructed. 7.1.3 LSS interface bus
  • Each SoPEC device has 2 LSS system buses for communication with QA devices for system authentication and ink usage accounting. The number of QA devices per bus and their position in the system is unrestricted with the exception that PRINTER_QA and INK_QA devices should be on separate LSS busses. 7.1.4 QA devices Each SoPEC system can have several QA devices. Normally each printing SoPEC will have an associated PRINTER_QA. Ink cartridges will contain an INK_QA chip. PRINTER_QA and INK_QA devices should be on separate LSS busses. All QA chips in the system are physically identical with flash memory contents defining PRINTER_QA from INK_QA chip. 7.1.5 ISI interface
  • the Inter-SoPEC Interface provides a communication channel between SoPECs in a multi- SoPEC system.
  • the ISIMaster can be SoPEC device or an ISI-Bridge chip depending on the printer configuration. Both compressed data and control commands are transferred via the interface.
  • 7.1.6 ISI-Bridge Chip A device, other than a SoPEC with a USB connection, which provides print data to a number of slave SoPECs.
  • a bridge chip will typically have a high bandwidth connection, such as USB2.0, Ethernet or IEEE1394, to a host and may have an attached external DRAM for compressed page storage.
  • a bridge chip would have one or more ISI interfaces. The use of multiple ISI buses would allow the construction of independent print systems within the one printer.
  • the ISI-Bridge would be the ISIMaster for each of the ISI buses it interfaces to.
  • SoPEC based system architectures exist. The following sections outline some possible architectures. It is possible to have extra SoPEC devices in the system used for DRAM storage.
  • the QA chip configurations shown are indicative of the flexibility of LSS bus architecture, but not limited to those configurations.
  • a single SoPEC device can be used to control two printhead ICs.
  • the SoPEC receives compressed data through the USB device from the host.
  • the compressed data is processed and transferred to the printhead.
  • two SoPEC devices are used to control two bi-lithic printheads, each with two printhead ICs. Each bi-lithic printhead prints to opposite sides of the same page to achieve duplex printing.
  • the SoPEC connected to the USB is the ISIMaster SoPEC, the remaining SoPEC is an ISISIave.
  • the ISIMaster receives all the compressed page data for both SoPECs and re-distributes the compressed data over the Inter-SoPEC Interface (ISI) bus.
  • ISI Inter-SoPEC Interface
  • two SoPEC devices are used to control one A3 bi-lithic printhead. Each SoPEC controls only one printhead IC (the remaining PHI port typically remains idle).
  • This system uses the SoPEC with the USB connection as the ISIMaster. In this dual SoPEC configuration the compressed page store data is split across 2 SoPECs giving a total of 4Mbyte page store, this allows the system to use compression rates as in an A4 architecture, but with the increased page size of A3.
  • the ISIMaster receives all the compressed page data for all SoPECs and re-distributes the compressed data over the Inter-SoPEC Interface (ISI) bus.
  • ISI Inter-SoPEC Interface
  • FIG. 6 a 4 SoPEC system is shown. It contains 2 A3 bi-lithic printheads, one for each side of an A3 page. Each printhead contain 2 printhead ICs, each printhead IC is controlled by an independent SoPEC device, with the remaining PHI port typically unused. Again the SoPEC with USB 1.1 connection is the ISIMaster with the other SoPECs as ISISIaves. In total, the system contains ⁇ Mbytes of compressed page store (2Mbytes per SoPEC), so the increased page size does not degrade the system print quality, from that of an A4 simplex printer. The ISIMaster receives all the compressed page data for all SoPECs and re-distributes the compressed data over the Inter- SoPEC Interface (ISI) bus.
  • ISI Inter- SoPEC Interface
  • SoPEC DRAM storage solution A4 Simplex with 1 printing SoPEC and 1 memory SoPEC Extra SoPECs can be used for DRAM storage e.g. in Figure 7 an A4 simplex printer can be built with a single extra SoPEC used for DRAM storage. The DRAM SoPEC can provide guaranteed bandwidth delivery of data to the printing SoPEC. SoPEC configurations can have multiple extra SoPECs used for DRAM storage.
  • an ISI-Bridge chip provides slave-only ISI connections to SoPEC devices.
  • Figure 8 shows a ISI-Bridge chip with 2 separate ISI ports.
  • the ISI-Bridge chip is the ISIMaster on each of the ISI busses it is connected to. All connected SoPECs are ISISIaves.
  • the ISI-Bridge chip will typically have a high bandwidth connection to a host and may have an attached external DRAM for compressed page storage.
  • An alternative to having a ISI-Bridge chip would be for each SoPEC or each set of SoPECs on the same side of a page to have their own USB 1.1 connection. This would allow a faster average print speed.
  • the RIP When rendering a page, the RIP produces a page header and a number of bands (a non-blank page requires at least one band) for a page.
  • the page header contains high level rendering parameters, and each band contains compressed page data. The size of the band will depend on the memory available to the RIP, the speed of the RIP, and the amount of memory remaining in SoPEC while printing the previous band(s).
  • Figure 9 shows the high level data structure of a number of pages with different numbers of bands in the page.
  • Each compressed band contains a mandatory band header, an optional bi-level plane, optional sets of interleaved contone planes, and an optional tag data plane (for Netpage enabled applications). Since each of these planes is optional 1 , the band header specifies which planes are included with the band.
  • Figure 10 gives a high-level breakdown of the contents of a page band.
  • a single SoPEC has maximum rendering restrictions as follows:
  • the host keeps a memory map for the DRAM, and ensures that as a band is passed to a SoPEC, it is stored in a suitable free area in DRAM.
  • Each SoPEC is connected to the ISI bus or USB bus via its Serial communication Block (SCB).
  • SCB Serial communication Block
  • the SoPEC CPU configures the SCB to allow compressed data bands to pass from the USB or ISI through the SCB to SoPEC DRAM.
  • Figure 11 shows an example data flow for a page destined to be printed by a single SoPEC. Band usage information is generated by the individual SoPECs and passed back to the host.
  • SoPEC has an addressing mechanism that permits circular band memory allocation, thus facilitating easy memory management. However it is not strictly necessary that all bands be stored together. As long as the appropriate registers in SoPEC are set up for each band, and a given band is contiguous , the memory can be allocated in any way.
  • a band must contain at least one plane
  • Contiguous allocation also includes wrapping around in SoPECs band store memory. 8.1 PRINT ENGINE EXAMPLE PAGE FORMAT
  • This section describes a possible format of compressed pages expected by the embedded CPU in SoPEC.
  • the format is generated by software in the host PC and interpreted by embedded software in SoPEC. This section indicates the type of information in a page format structure, but implementations need not be limited to this format.
  • the host PC can optionally perform the majority of the header processing.
  • the compressed format and the print engines are designed to allow real-time page expansion during printing, to ensure that printing is never interrupted in the middle of a page due to data underrun.
  • the page format described here is for a single black bi-level layer, a contone layer, and a Netpage tag layer.
  • the black bi-level layer is defined to composite over the contone layer.
  • the black bi-level layer consists of a bitmap containing a 1-bit opacity for each pixel.
  • This black layer matte has a resolution which is an integer or non-integer factor of the printer's dot resolution.
  • the highest supported resolution is 1600 dpi, i.e. the printer's full dot resolution.
  • the contone layer optionally passed in as YCrCb, consists of a 24-bit CMY or 32-bit CMYK color for each pixel.
  • This contone image has a resolution which is an integer or non-integer factor of the printer's dot resolution.
  • the requirement for a single SoPEC is to support 1 side per 2 seconds A4/Letter printing at a resolution of 267 ppi, i.e.
  • each page description is complete and self-contained. There is no data stored separately from the page description to which the page description refers.
  • the page description consists of a page header which describes the size and resolution of the page, followed by one or more page bands which describe the actual page content.
  • 8.1.2.1 Page header Table 3 shows an example format of a page header.
  • SoPEC relies on dither matrices and tag structures to have already been set up, but these are not considered to be part of a general page format. It is trivial to extend the page format to allow exact specification of dither matrices and tag structures. Table 3. Page header format
  • the page header contains a signature and version which allow the CPU to identify the page header format. If the signature and/or version are missing or incompatible with the CPU, then the CPU can reject the page.
  • the contone flags define how many contone layers are present, which typically is used for defining whether the contone layer is CMY or CMYK. Additionally, if the color planes are CMY, they can be optionally stored as YCrCb, and further optionally color space converted from CMY directly or via
  • the contone data is specified as being either JPEG compressed or non-compressed.
  • the page header defines the resolution and size of the target page.
  • the bi-level and contone layers are clipped to the target page if necessary. This happens whenever the bi-level or contone scale factors are not factors of the target page width or height.
  • the target left, top, right and bottom margins define the positioning of the target page within the printable page area.
  • the tag parameters specify whether or not Netpage tags should be produced for this page and what orientation the tags should be produced at (landscape or portrait mode).
  • the fixed tag data is also provided.
  • the contone, bi-level and tag layer parameters define the page size and the scale factors.
  • h/ersion 16-bit integer Page band header format version number structure size 16-bit integer Size of page band header.
  • Hag band height 16-bit integer Height of tag band in dots.
  • the bi-level layer parameters define the height of the black band, and the size of its compressed band data.
  • the variable-size black data follows the page band header.
  • the contone layer parameters define the height of the contone band, and the size of its compressed page data.
  • the variable-size contone data follows the black data.
  • the tag band data is the set of variable tag data half-lines as required by the tag encoder.
  • the format of the tag data is found in Section 26.5.2.
  • the tag band data follows the contone data.
  • Table 5 shows the format of the variable-size compressed band data which follows the page band header.
  • each variable-size segment of band data should be aligned to a 256-bit DRAM word boundary.
  • section 26.5.1 on page 442 describes the format of the tag data structures.
  • the (typically 1600 dpi) black bi-level layer is losslessly compressed using Silverbrook Modified
  • the encodings are read right (least significant bit) to left (most significant bit).
  • the run lengths given as RRRR in Table are read in the same way (least significant bit at the right to most significant bit at the left).
  • Each band of bi-level data is optionally self contained.
  • the first line of each band therefore is based on a 'previous' blank line or the last line of the previous band.
  • the Group 3 Facsimile compression algorithm [22] losslessly compresses bi-level data for transmission over slow and noisy telephone lines.
  • the bi-level data represents scanned black text and graphics on a white background, and the algorithm is tuned for this class of images (it is explicitly not tuned, for example, for halftoned bi-level images).
  • the 1D Group 3 algorithm runlength-encodes each scanline and then Huffman-encodes the resulting runlengths. Runlengths in the range 0 to 63 are coded with terminating codes. Runlengths in the range 64 to 2623 are coded with make-up codes, each representing a multiple of 64, followed by a terminating code. Runlengths exceeding 2623 are coded with multiple make-up codes followed by a terminating code.
  • the Huffman tables are fixed, but are separately tuned for black and white runs (except for make-up codes above 1728, which are common).
  • the 2D Group 3 algorithm encodes a scanline as a set of short edge deltas (0, +1 , +2, +3) with reference to the previous scanline.
  • the delta symbols are entropy-encoded (so that the zero delta symbol is only one bit long etc.)
  • Edges within a 2D-encoded line which can't be delta-encoded are runlength-encoded, and are identified by a prefix. 1 D- and 2D-encoded lines are marked differently.
  • 2D Group 3 achieves compression ratios of up to 6:1 [32].
  • the Group 4 Facsimile algorithm [22] losslessly compresses bi-level data for transmission over error-free communications lines (i.e. the lines are truly error-free, or error-correction is done at a lower protocol level).
  • the Group 4 algorithm is based on the 2D Group 3 algorithm, with the essential modification that since transmission is assumed to be error-free, ID-encoded lines are no longer generated at regular intervals as an aid to error-recovery.
  • Group 4 achieves compression ratios ranging from 20:1 to 60:1 for the CCITT set of test images [32].
  • the design goals and performance of the Group 4 compression algorithm qualify it as a compression algorithm for the bi-level layers.
  • its Huffman tables are tuned to a lower scanning resolution (100-400 dpi), and it encodes runlengths exceeding 2623 awkwardly.
  • the contone layer (CMYK) is either a non-compressed bytestream or is compressed to an interleaved JPEG bytestream.
  • the JPEG bytestream is complete and self-contained. It contains all data required for decompression, including quantization and Huffman tables.
  • the JPEG compression algorithm [27] lossily compresses a contone image at a specified quality level. It introduces imperceptible image degradation at compression ratios below 5:1 , and negligible image degradation at compression ratios below 10:1 [33].
  • JPEG typically first transforms the image into a color space which separates luminance and chrominance into separate color channels. This allows the chrominance channels to be subsampled without appreciable loss because of the human visual system's relatively greater sensitivity to luminance than chrominance. After this first step, each color channel is compressed separately. The image is divided into 8x8 pixel blocks. Each block is then transformed into the frequency domain via a discrete cosine transform (DCT). This transformation has the effect of concentrating image energy in relatively lower-frequency coefficients, which allows higher-frequency coefficients to be more crudely quantized. This quantization is the principal source of compression in JPEG.
  • DCT discrete cosine transform
  • the bytestream therefore consists of a series of 8x8 block of the original image, starting with the top left 8x8 block, and working horizontally across the page (as it will be printed) until the top rightmost 8x8 block, then the next row of 8x8 blocks (left to right) and so on until the lower row of 8x8 blocks (left to right).
  • Each 8x8 block consists of 64 8-bit pixels for color plane 0 (representing 8 rows of 8 pixels in the order top left to bottom right) followed by 64 8-bit pixels for color plane 1 and so on for up to a maximum of 4 color planes.
  • the first memory band contains JPEG headers (including tables) plus MCUs (minimum coded units).
  • the ratio of space between the various color planes in the JPEG stream is 1 :1 :1 :1. No subsampling is permitted.
  • Banding can be completely arbitrary i.e there can be multiple JPEG images per band or 1 JPEG image divided over multiple bands. The break between bands is only memory alignment based. 8.1.2.4.4 Conversion of RGB to YCrCb (in RIP)
  • YCrCb is defined as per CCIR 601-1 [24] except that Y, Cr and Cb are normalized to occupy all 256 levels of an 8-bit binary encoding and take account of the actual hardware implementation of the inverse transform within SoPEC.
  • the exact color conversion computation is as follows:
  • Y, Cr and Cb are obtained by rounding to the nearest integer. There is no need for saturation since ranges of Y*, Cr* and Cb* after rounding are [0-255], [1 -255] and [1 -255] respectively. Note that full accuracy is possible with 24 bits. See [14] for more information.
  • the Small Office Home Office Print Engine Controller is a page rendering engine ASIC that takes compressed page images as input, and produces decompressed page images at up to 6 channels of bi-level dot data as output.
  • the bi-level dot data is generated for the Memjet bi-lithic printhead.
  • the dot generation process takes account of printhead construction, dead nozzles, and allows for fixative generation.
  • a single SoPEC can control 2 bi-lithic printheads and up to 6 color channels at 10,000 lines/sec 5 , equating to 30 pages per minute.
  • a single SoPEC can perform full-bleed printing of A3, A4 and Letter pages.
  • the 6 channels of colored ink are the expected maximum in a consumer SOHO, or office Bi-lithic printing environment: • CMY, for regular color printing.
  • K for black text, line graphics and gray-scale printing.
  • IR infrared
  • F fixing
  • SoPEC is color space agnostic. Although it can accept contone data as CMYX or RGBX, where X is an optional 4th channel, it also can accept contone data in any print color space. Additionally, SoPEC provides a mechanism for arbitrary mapping of input channels to output channels, including combining dots for ink optimization, generation of channels based on any number of other channels etc. However, inputs are typically CMYK for contone input, K for the bi-level input, and the optional Netpage tag dots are typically rendered to an infra-red layer. A fixative channel is typically generated for fast printing applications.
  • SoPEC is resolution agnostic. It merely provides a mapping between input resolutions and output resolutions by means of scale factors. The expected output resolution is 1600 dpi, but SoPEC actually has no knowledge of the physical resolution of the Bi-lithic printhead. SoPEC is page-length agnostic. Successive pages are typically split into bands and downloaded into the page store as each band of information is consumed and becomes free. SoPEC provides an interface for synchronization with other SoPECs. This allows simple multi- SoPEC solutions for simultaneous A3/A4/Letter duplex printing. However, SoPEC is also capable of printing only a portion of a page image. Combining synchronization functionality with partial page rendering allows multiple SoPECs to be readily combined for alternative printing requirements including simultaneous duplex printing and wide format printing. Table 8 lists some of the features and corresponding benefits of SoPEC. Table 8. Features and Benefits of SoPEC
  • a Printline for an A4 page consists of 13824 nozzles across the page [2]. At a system clock rate of 160 MHz 13824 dots of data can be generated in 86.4 ⁇ seconds. Therefore data can be generated fast enough to meet the printing speed requirement.
  • Printheads can be made up of 5:5, 6:4, 7:3 and 8:2 inch printhead combinations [2]. Print data is transferred to both print heads in a pair simultaneously. This means the longest time to print a line is determined by the time to transfer print data to the longest print segment. There are 9744 nozzles across a 7 inch printhead. The print data is transferred to the printhead at a rate of 106 MHz (2/3 of the system clock rate) per color plane. This means that it will take 91.9 ⁇ s to transfer a single line for a 7:3 printhead configuration. So we can meet the requirement of 30 sheets per minute printing with a 4 cm gap with a 7:3 printhead combination.
  • SoPEC BASIC ARCHITECTURE From the highest point of view the SoPEC device consists of 3 distinct subsystems CPU Subsystem DRAM Subsystem Print Engine Pipeline (PEP) Subsystem See Figure 13 for a block level diagram of SoPEC. 9.2.1 CPU Subsystem
  • the CPU subsystem controls and configures all aspects of the other subsystems. It provides general support for interfacing and synchronising the external printer with the internal print engine. It also controls the low speed communication to the QA chips.
  • the CPU subsystem contains various peripherals to aid the CPU, such as GPIO (includes motor control), interrupt controller, LSS Master and general timers.
  • GPIO includes motor control
  • interrupt controller includes interrupt controller
  • LSS Master contains various peripherals to aid the CPU, such as GPIO (includes motor control), interrupt controller, LSS Master and general timers.
  • the Serial Communications Block (SCB) on the CPU subsystem provides a full speed USB1.1 interface to the host as well as an Inter SoPEC Interface (ISI) to other SoPEC devices.
  • ISI Inter SoPEC Interface
  • the DRAM subsystem (in particular the DIU) arbitrates the various requests and determines which request should win access to the DRAM.
  • the DIU arbitrates based on configured parameters, to allow sufficient access to DRAM for all requestors.
  • the DIU also hides the implementation specifics of the DRAM such as page size, number of banks, refresh rates etc.
  • the Print Engine Pipeline (PEP) subsystem accepts compressed pages from DRAM and renders them to bi-level dots for a given print line destined for a printhead interface that communicates directly with up to 2 segments of a bi-lithic printhead.
  • PEP Print Engine Pipeline
  • the first stage of the page expansion pipeline is the CDU, LBD and TE.
  • the CDU expands the
  • the LBD expands the compressed bi-level layer
  • the output from the first stage is a set of buffers: the CFU, SFU, and TFU.
  • the CFU and SFU buffers are implemented in DRAM.
  • the second stage is the HCU, which dithers the contone layer, and composites position tags and the bi-level spotO layer over the resulting bi-level dithered layer.
  • the printhead may be any type of media. Note that not all 6 channels may be present on the printhead.
  • the printhead may be any type of media.
  • the printhead may be any type of media.
  • the position tags may be printed in K if IR ink is not available (or for testing purposes).
  • the third stage compensates for dead nozzles in the printhead by color redundancy and error diffusing dead nozzle data into surrounding dots.
  • the resultant bi-level 6 channel dot-data (typically CMYK-IRF) is buffered and written out to a set of line buffers stored in DRAM via the DWU.
  • dot-data is loaded back from DRAM, and passed to the printhead interface via a dot
  • the dot FIFO accepts data from the LLU at the system clock rate (pclk), while the PHI removes data from the FIFO and sends it to the printhead at a rate of 2/3 times the system clock rate (see Section 9.1 ).
  • SoPEC must address 20 Mbit DRAM. • PCU addressed registers in PEP. CPU-subsystem addressed registers. SoPEC has a unified address space with the CPU capable of addressing all CPU-subsystem and PCU-bus accessible registers (in PEP) and all locations in DRAM. The CPU generates byte-aligned addresses for the whole of SoPEC. 22 bits are sufficient to byte address the whole SoPEC address space.
  • the embedded DRAM is composed of 256-bit words. However the CPU-subsystem may need to write individual bytes of DRAM. Therefore it was decided to make the DIU byte addressable. 22 bits are required to byte address 20 Mbits of DRAM. Most blocks read or write 256-bit words of DRAM. Therefore only the top 17 bits i.e. bits 21 to 5 are required to address 256-bit word aligned locations.
  • CDU which can write 64-bits so only the top 19 address bits i.e. bits 21-3 are required.
  • the CPU-subsystem always generates a 22-bit byte-aligned DIU address but it will send flags to the DIU indicating whether it is an 8, 16 or 32-bit write.
  • PEP Unit DRAM addressing PEP Unit configuration registers which specify DRAM locations should specify 256-bit aligned DRAM addresses i.e. using address bits 21 :5. Legacy blocks from PEC1 e.g. the LBD and TE may need to specify 64-bit aligned DRAM addresses if these reused blocks DRAM addressing is difficult to modify. These 64-bit aligned addresses require address bits 21 :3. However, these 64-bit aligned addresses should be programmed to start at a 256-bit DRAM word boundary.
  • the CPU subsystem bus supports 32-bit word aligned read and write accesses with variable access timings. See section 11.4 for more details of the access protocol used on this bus.
  • the CPU subsystem bus does not currently support byte reads and writes but this can be added at a later date if required by imported IP.
  • PCU addressed registers in PEP The PCU only supports 32-bit register reads and writes for the PEP blocks. As the PEP blocks only occupy a subsection of the overall address map and the PCU is explicitly selected by the MMU when a PEP block is being accessed the PCU does not need to perform a decode of the higher- order address bits. See Table 11 for the PEP subsystem address map. 9.5 SoPEC MEMORY MAP 9.5.1 Main memory map
  • the system wide memory map is shown in Figure 14 below.
  • the memory map is discussed in detail in Section 11 11 Central Processing Unit (CPU).
  • CPU Central Processing Unit
  • the address mapping for the peripherals attached to the CPU-bus is shown in Table 10 below.
  • the MMU performs the decode of cpu_adr[21:12] to generate the relevant cpu_block_select signal for each block.
  • the addressed blocks decode however many of the lower order bits of cpujadr[11:2] are required to address all the registers within the block. Table 10.
  • the PEP blocks are addressed via the PCU. From Figure 14, the PCU mapped registers are in the range 0x0002_0000 to 0x0002_BFFF. From Table 11 it can be seen that there are 12 sub-blocks within the PCU address space. Therefore, only four bits are necessary to address each of the sub- blocks within the PEP part of SoPEC. A further 12 bits may be used to address any configurable register within a PEP block. This gives scope for 1024 configurable registers per sub-block (the PCU mapped registers are all 32-bit addressed registers so the upper 10 bits are required to individually address them). This address will come either from the CPU or from a command stored in DRAM.
  • SoPEC has a requirement to print 1 side every 2 seconds i.e. 30 sides per minute.
  • 9.6.1 Page buffering Approximately 2 Mbytes of DRAM are reserved for compressed page buffering in SoPEC. If a page is compressed to fit within 2 Mbyte then a complete page can be transferred to DRAM before printing. However, the time to transfer 2 Mbyte using USB 1.1 is approximately 2 seconds. The worst case cycle time to print a page then approaches 4 seconds. This reduces the worst-case print speed to 15 pages per minute. 9.6.2 Band buffering
  • the SoPEC page-expansion blocks support the notion of page banding.
  • the page can be divided into bands and another band can be sent down to SoPEC while we are printing the current band. Therefore we can start printing once at least one band has been downloaded.
  • the band size granularity should be carefully chosen to allow efficient use of the USB bandwidth and DRAM buffer space. It should be small enough to allow seamless 30 sides per minute printing but not so small as to introduce excessive CPU overhead in orchestrating the data transfer and parsing the band headers.
  • Band-finish interrupts have been provided to notify the CPU of free buffer space. It is likely that the host PC will supervise the band transfer and buffer management instead of the SoPEC CPU.
  • SoPEC starts printing before the complete page has been transferred to memory there is a risk of a buffer underrun occurring if subsequent bands are not transferred to SoPEC in time e.g. due to insufficient USB bandwidth caused by another USB peripheral consuming USB bandwidth.
  • a buffer underrun occurs if a line synchronisation pulse is received before a line of data has been transferred to the printhead and causes the print job to fail at that line. If there is no risk of buffer underrun then printing can safely start once at least one band has been downloaded. If there is a risk of a buffer underrun occurring due to an interruption of compressed page data transfer, then the safest approach is to only start printing once we have loaded up the data for a complete page. This means that a worst case latency in the region of 2 seconds (with USB1.1) will be incurred before printing the first page. Subsequent pages will take 2 seconds to print giving us the required sustained printing rate of 30 sides per minute.
  • a Storage SoPEC (Section 7.2.5) could be added to the system to provide guaranteed bandwidth data delivery.
  • the print system could also be constructed using an ISI-Bridge chip (Section 7.2.6) to provide guaranteed data delivery.
  • the most efficient page banding strategy is likely to be determined on a per page/ print job basis and so SoPEC will support the use of bands of any size.
  • 10 SoPEC Use Cases 10.1 INTRODUCTION This chapter is intended to give an overview of a representative set of scenarios or use cases which SoPEC can perform. SoPEC is by no means restricted to the particular use cases described and not every SoPEC system is considered here. In this chapter we discuss SoPEC use cases under four headings:
  • Some tasks may be composed of a number of sub-tasks.
  • the CPU can put different sections of SoPEC into sleep mode by writing to registers in the CPR block (chapter 16). Normally the CPU sub-system and the DRAM will be put in sleep mode but the SCB and power-safe storage (PSS) will still be enabled.
  • Wakeup describes SoPEC recovery from sleep mode with the SCB and power-safe storage (PSS) still enabled. In a single SoPEC system, wakeup can be initiated following a USB reset from the SCB.
  • a typical USB wakeup sequence is:
  • This sequence is typically performed at the start of a print job following powerup or wakeup:
  • the host communicates to the SoPEC CPU over the USB to check that DRAM space remaining is sufficient to download the first band.
  • the host downloads the first band (with the page header) to DRAM. 3)
  • the SoPEC CPU processes the page header, calculates PEP register commands and writes directly to PEP registers or to DRAM.
  • Print ready interrupt occurs (from PHI). 4) Start motor control, if first page, otherwise feed the next page. This step could occur before the print ready interrupt.
  • band related registers in the CDU, LBD, TE need to be re-programmed before the subsequent band can be printed. This can be via PCU commands from DRAM. Typically only 3-5 commands per decompression unit need to be executed. These registers can also be reprogrammed directly by the CPU or most likely by updating from shadow registers.
  • the finished band flag interrupts the CPU to tell the CPU that the area of memory associated with the band is now free.
  • Page finished interrupt occurs from PHI.
  • the host In a multi-SoPEC system the host generally manages program and compressed page download to all the SoPECs. Inter-SoPEC communication is over the ISI link which will add a latency.
  • the SoPEC with the USB connection In the case of a multi-SoPEC system with just one USB 1.1 connection, the SoPEC with the USB connection is the ISIMaster.
  • the ISI-bridge chip is the ISIMaster in the case of an ISI-Bridge SoPEC configuration. While it is perfectly possible for an ISISIave to have a direct USB connection to the host we do not treat this scenario explicitly here to avoid possible confusion. In a multi-SoPEC system one of the SoPECs will be the PrintMaster.
  • This SoPEC must manage and control sensors and actuators e.g. motor control. These sensors and actuators could be distributed over all the SoPECs in the system.
  • An ISIMaster SoPEC may also be the PrintMaster SoPEC.
  • each printing SoPEC will generally have its own PRINTER_QA chip (or at least access to a PRlNTER_QA chip that contains the SoPECs SOPEC_id_key) to validate operating parameters and ink usage. The results of these operations may be communicated to the PrintMaster SoPEC.
  • the ISIMaster may need to be able to:
  • SoPEC identification by activity on USB end-points 2-4 indicates it is the ISIMaster (unless the SoPEC CPU has explicitly disabled this function).
  • the initial dataset may be broadcast to all the ISISIaves.
  • ISIMaster master SoPEC then waits for a short time to allow the authentication to take place on the ISISIave SoPECs. 11) Each ISISIave SoPEC is polled for the result of its program code authentication process.
  • the CPU can put different sections of SoPEC into sleep mode by writing to registers in the CPR block [16]. Normally the CPU sub-system and the DRAM will be put in sleep mode but the SCB and power-safe storage (PSS) will still be enabled.
  • PPS power-safe storage
  • Wakeup describes SoPEC recovery from sleep mode with the SCB and power-safe storage (PSS) still enabled.
  • PSS power-safe storage
  • a typical USB wakeup sequence is:
  • SoPEC identification by activity on USB end-points 2-4 indicates it is the ISIMaster (unless the SoPEC CPU has explicitly disabled this function).
  • This sequence is typically performed at the start of a print job following powerup or wakeup: 1 ) Check amount of ink remaining via QA chips which may be present on a ISISIave SoPEC. 2) Download static data e.g. dither matrices, dead nozzle tables from host to DRAM.
  • Buffer management in a SoPEC system is normally performed by the host. 1 ) The host communicates to the SoPEC CPU over the USB to check that DRAM space remaining is sufficient to download the first band. 2) The host downloads the first band (with the page header) to DRAM.
  • the SoPEC CPU processes the page header, calculates PEP register commands and write directly to PEP registers or to DRAM.
  • Print ready interrupt occurs (from PHI). Poll ISISIaves until print ready interrupt.
  • Start motor control (which may be on an ISISIave SoPEC), if first page, otherwise feed the next page. This step could occur before the print ready interrupt.
  • LineSyncMaster is a SoPEC its CPU instructs PHI to start producing master line syncs. Otherwise wait for an external device to produce line syncs.
  • band related registers in the CDU LBD and TE need to be re-programmed. This can be via PCU commands from DRAM. Typically only 3-5 commands per decompression unit need to be executed. These registers can also be reprogrammed directly by the CPU or by updating from shadow registers.
  • the finished band flag interrupts to the CPU, tell the CPU that the area of memory associated with the band is now free.
  • Page finished interrupt occurs from PHI. Poll ISISIaves for page finished interrupts.
  • Stop motor control This may be on an ISISIave SoPEC. 10.3.12 Sleep mode
  • the CPU can put different sections of SoPEC into sleep mode by writing to registers in the CPR block [16]. This may be as a result of a command from the host or as a result of a timeout. 1 ) Inform host PC of which parts of SoPEC system are about to sleep.
  • ISIMaster can be another SoPEC or an ISI-Bridge chip.
  • the ISISIave communicates with the host either via the ISIMaster or using a direct connection such as USB. For this use case we consider only an ISISIave that does not have a direct host connection. Buffer management in a SoPEC system is normally performed by the host. 10.4.1 Powerup
  • Powerup describes SoPEC initialisation following an external reset or the watchdog timer system reset.
  • a typical powerup sequence is:
  • the CPU can put different sections of SoPEC into sleep mode by writing to registers in the CPR block [16]. Normally the CPU sub-system and the DRAM will be put in sleep mode but the SCB and power-safe storage (PSS) will still be enabled.
  • PPS power-safe storage
  • Wakeup describes SoPEC recovery from sleep mode with the SCB and power-safe storage (PSS) still enabled.
  • PSS power-safe storage
  • wakeup can be initiated following an ISI reset from the SCB.
  • a typical ISI wakeup sequence is: 1 ) Execute reset sequence for sections of SoPEC in sleep mode.
  • SoPEC identification by sampling GPIO pins to determine ISIId. Communicate ISIId to ISIMaster.
  • This sequence is typically performed at the start of a print job following powerup or wakeup: 1 ) Check amount of ink remaining via QA chips. 2) Download static data e.g. dither matrices, dead nozzle tables from ISI to DRAM. 3) Check printhead temperature, if required, and configure printhead with firing pulse profile etc. accordingly. 4) Initiate printhead pre-heat sequence, if required. 10.4.4 First page download
  • Buffer management in a SoPEC system is normally performed by the host via the ISI. 1 ) Check DRAM space remaining is sufficient to download the first band. 2) The host downloads the first band (with the page header) to DRAM via the ISI.
  • the host downloads the first band (with the page header) to DRAM via the ISI.

Abstract

A printer controller for supplying dot data to a printhead in a predetermined order, the printhead comprising at least first and second printhead modules, each of which comprises a plurality of printing nozzles and being disposed adjacent each other such that a printing width of the printhead is wider than a printing width of either of the printhead modules, the printer controller being configured to order and time supply of the dot data to the printhead modules in accordance with their respective widths, such that a difference in relative widths of the printhead modules is at least partially compensated for.

Description

TITLE: DEAD NOZZLE COMPENSATION
FIELD OF DSTVENTION
The present invention relates to techniques for compensating for one or more dead nozzles in a multi- nozzle printhead.
The invention has primarily been developed for use with a printhead comprising one or more printhead modules constructed using microelectromechanical systems (MEMS) techniques, and will be described with reference to this application. However, it will be appreciated that the invention can be applied to other types of printing technologies in which analogous problems are faced.
BACKGROUND OF INVENTION
Manufacturing a printhead that has relatively high resolution and print-speed raises a number of problems.
Difficulties in manufacturing pagewidth printheads of any substantial size arise due to the relatively small dimensions of standard silicon wafers that are used in printhead (or printhead module) manufacture. For example, if it is desired to make an 8 inch wide pagewidth printhead, only one such printhead can be laid out on a standard 8-inch wafer, since such wafers are circular in plan. Manufacturing a pagewidth printhead from two or more smaller modules can reduce this limitation to some extent, but raises other problems related to providing a joint between adjacent printhead modules that is precise enough to avoid visible artefacts (which would typically take the form of noticeable lines) when the printhead is used. The problem is exacerbated in relatively high-resolution applications because of the tight tolerances dictated by the small spacing between nozzles.
The quality of a joint region between adjacent printhead modules relies on factors including a precision with which the abutting ends of each module can be manufactured, the accuracy with which they can be aligned when assembled into a single printhead, and other more practical factors such as management of ink channels behind the nozzles. It will be appreciated that the difficulties include relative vertical displacement of the printhead modules with respect to each other.
Whilst some of these issues may be dealt with by careful design and manufacture, the level of precision required renders it relatively expensive to manufacture printheads within the required tolerances. It would be desirable to provide a solution to one or more of the problems associated with precision manufacture and assembly of multiple printhead modules to form a printhead, and especially a pagewidth printhead.
In some cases, it is desirable to produce a number of different printhead module types or lengths on a substrate to maximise usage of the substrate's surface area. However, different sizes and types of modules will have different numbers and layouts of print nozzles, potentially including different horizontal and vertical offsets. Where two or more modules are to be joined to form a single printhead, there is also the problem of dealing with different seam shapes between abutting ends of joined modules, which again may incorporate vertical or horizontal offsets between the modules. Printhead controllers are usually dedicated application specific integrated circuits (ASICs) designed for specific use with a single type of printhead module, that is used by itself rather than with other modules. It would be desirable to provide a way in which different lengths and types of printhead modules could be accounted for using a single printer controller.
Printer controllers face other difficulties when two or more printhead modules are involved, especially if it is desired to send dot data to each of the printheads directly (rather than via a single printhead connected to the controller). One concern is that data delivered to different length controllers at the same rate will cause the shorter of the modules to be ready for printing before any longer modules. Where there is little difference involved, the issue may not be of importance, but for large length differences, the result is that the bandwidth of a shared memory from which the dot data is supplied to the modules is effectively left idle once one of the modules is full and the remaining module or modules is still being filled. It would be desirable to provide a way of improving memory bandwidth usage in a system comprising a plurality of printhead modules of uneven length.
In any printing system that mcludes multiple nozzles on a printhead or printhead module, there is the possibility of one or more of the nozzles failing in the field, or being inoperative due to manufacturing defect. Given the relatively large size of a typical printhead module, it would be desirable to provide some form of compensation for one or more " dead" nozzles. Where the printhead also outputs fixative on a per-nozzle basis, it is also desirable that the fixative is provided in such a way that dead nozzles are compensated for.
A printer controller can take the form of an integrated circuit, comprising a processor and one or more peripheral hardware units for implementing specific data manipulation functions. A number of these units and the processor may need access to a common resource such as memory. One way of arbitrating between multiple access requests for a common resource is timeslot arbitration, in which access to the resource is guaranteed to a particular requestor during a predetermined timeslot.
One difficulty with this arrangement lies in the fact that not all access requests make the same demands on the resource in terms of timing and latency. For example, a memory read requires that data be fetched from memory, which may take a number of cycles, whereas a memory write can commence immediately. Timeslot arbitration does not take into account these differences, which may result in accesses being performed in a less efficient manner than might otherwise be the case. It would be desirable to provide a timeslot arbitration scheme that improved this efficiency as compared with prior art timeslot arbitration schemes.
Also of concern when allocating resources in a timeslot arbitration scheme is the fact that the priority of an access request may not be the same for all units. For example, it would be desirable to provide a timeslot arbitration scheme in which one requestor (typically the memory) is granted special priority such that its requests are dealt with earlier than would be the case in the absence of such priority.
In systems that use a memory and cache, a cache miss (in which an attempt to load data or an instruction from a cache fails) results in a memory access followed by a cache update. It is often desirable when updating the cache" in this way to update data other than that which was actually missed. A typical example would be a cache miss for a byte resulting in an entire word or line of the cache associated with that byte being updated. However, this can have the effect of tying up bandwidth between the memory (or a memory manager) and the processor where the bandwidth is such that several cycles are required to transfer the entire word or line to the cache. It would be desirable to provide a mechanism for updating a cache that improved cache update speed and/or efficiency.
Most integrated circuits an externally provided signal as (or to generate) a clock, often provided from a dedicated clock generation circuit. This is often due to the difficulties of providing an onboard clock that can operate at a speed that is predictable. Manufacturing tolerances of such on-board clock generation circuitry can result in clock rates that vary by a factor of two, and operatmg temperatures can increase this margin by an additional factor of two. In some cases, the particular rate at which the clock operates is not of particular concern. However, where the integrated circuit will be writing to an internal circuit that is sensitive to the time over which a signal is provided, it may be undesirable to have the signal be applied for too long or short a time. For example, flash memory is sensitive to being written too for too long a period. It would be desirable to provide a mechanism for adjusting a rate of an on-chip system clock to take into account the impact of manufacturing variations on clockspeed.
One form of attacking a secure chip is to induce (usually by increasing) a clock speed that takes the logic outside its rated operating frequency. One way of doing this is to reduce the temperature of the integrated circuit, which can cause the clock to race. Above a certain frequency, some logic will start malfunctioning. In some cases, the malfunction can be such that information on the chip that would otherwise be secure may become available to an external connection. It would be desirable to protect an integrated circuit from such attacks.
In an integrated circuit comprising non-volatile memory, a power failure can result in unintentional behaviour. For example, if an address or data becomes unreliable due to falling voltage supplied to the circuit but there is still sufficient power to cause a write, incorrect data can be written. Even worse, the data (incorrect or not) could be written to the wrong memory. The problem is exacerbated with multiword writes. It would be desirable to provide a mechanism for reducing or preventing spurious writes when power to an integrated circuit is failing.
In an integrated circuit, it is often desirable to reduce unauthorised access to the contents of memory. This is particularly the case where the memory includes a key or some other form of security information that allows the integrated circuit to communicate with another entity (such as another integrated circuit, for example) in a secure manner. It would be particularly advantageous to prevent attacks involving direct probing of memory addresses by physically investigating the chip (as distinct from electronic or logical attacks via manipulation of signals and power supplied to the integrated circuit).
It is also desirable to provide an environment where the manufacturer of the integrated circuit (or some other authorised entity) can verify or authorize code to be run on an integrated circuit.
Another desideratum would be the ability of two or more entities, such as integrated circuits, to communicate with each other in a secure manner. It would also be desirable to provide a mechanism for secure communication between a first entity and a second entity, where the two entities, whilst capable of some form of secure communication, are not able to establish such communication between themselves.
In a system that uses resources (such as a printer, which uses inks) it may be desirable to monitor and update a record related to resource usage. Authenticating ink quality can be a major issue, since the attributes of inks used by a given printhead can be quite specific. Use of incorrect ink can result in anything from πώfiring or poor performance to damage or destruction of the printhead. It would therefore be desirable to provide a system that enables authentication of the correct ink being used, as well as providing various support systems secure enabling refilling of ink cartridges.
In a system that prevents unauthorized programs from being loaded onto or run on an integrated circuit, it can be laborious to allow developers of software to access the circuits during software development. Enabling access to integrated circuits of a particular type requires authenticating software with a relatively high-level key. Distributing the key for use by developers is inherently unsafe, since a single leak of the key outside the organization could endanger security of all chips that use a related key to authorize programs. Having a small number of people with high-security clearance available to authenticate programs for testing can be inconvenient, particularly in the case where frequent incremental changes in programs during development require testing. It would be desirable to provide a mechanism for allowing access to one or more integrated circuits without risking the security of other integrated circuits in a series of such integrated circuits.
In symmetric key security, a message, denoted by M, is plaintext. The process of transforming M into ciphertext C, where the substance of M is hidden, is called encryption. The process of transforming C back into M is called decryption. Referring to the encryption function as E, and the decryption function as D, we have the following identities: E[M] = C D[C\ = M
Therefore the following identity is true: D[E[M]] = M
A symmetric encryption algorithm is one where:
• the encryption function E relies on key Ki, • the decryption function D relies on key K2,
• K2 can be derived from Kb and
• Ki can be derived from K2.
In most symmetric algorithms, K equals K2. However, even if Ki does not equal K2, given that one key can be derived from the other, a single key K can suffice for the mathematical definition. Thus:
EK[M] = C DK[C] = M
The security of these algorithms rests very much in the key K. Knowledge of K allows anyone to encrypt or decrypt. Consequently K must remain a secret for the duration of the value of M. For example, M may be a wartime message "My current position is grid position 123-456". Once the war is over the value of M is greatly reduced, and if K is made public, the knowledge of the combat unit's position may be of no relevance whatsoever. The security of the particular symmetric algorithm is a function of two things: the strength of the algorithm and the length of the key.
An asymmetric encryption algorithm is one where:
• the encryption function E relies on key Ki,
• the decryption function D relies on key K2,
• K2 cannot be derived from Kt in a reasonable amount of time, and • Ki cannot be derived from K2 in a reasonable amount of time.
Thus: EKl [M] = C DK2[C] = M
These algorithms are also called public-key because one key K! can be made public. Thus anyone can encrypt a message (using Ki) but only the person with the corresponding decryption key (K2) can decrypt and thus read the message.
In most cases, the following identity also holds:
EK2 [M\ = C DK1[C] = M
This identity is very important because it implies that anyone with the public key Ki can see M and know that it came from the owner of K2. No-one else could have generated C because to do so would imply knowledge of K2. This gives rise to a different application, unrelated to encryption - digital signatures.
A number of public key cryptographic algorithms exist. Most are impractical to implement, and many generate a very large C for a given M or require enormous keys. Still others, while secure, are far too slow to be practical for several years. Because of this, many public key systems are hybrid - a public key mechanism is used to transmit a symmetric session key, and then the session key is used for the actual messages.
All of the algorithms have a problem in terms of key selection. A random number is simply not secure enough. The two large primes p and q must be chosen carefully - there are certain weak combinations that can be factored more easily (some of the weak keys can be tested for). But nonetheless, key selection is not a simple matter of randomly selecting 1024 bits for example. Consequently the key selection process must also be secure.
Symmetric and asymmetric schemes both suffer from a difficulty in allowing establishment of multiple relationships between one entity and a two or more others, without the need to provide multiple sets of keys. For example, if a main entity wants to establish secure communications with two or more additional entities, it will need to maintain a different key for each of the additional entities. For practical reasons, it is desirable to avoid generating and storing large numbers of keys. To reduce key numbers, two or more of the entities may use the same key to communicate with the main entity. However, this means that the main entity cannot be sure which of the entities it is communicating with. Similarly, messages from the main entity to one of the entities can be decrypted by any of the other entities with the same key. It would be desirable if a mechanism could be provided to allow secure communication between a main entity and one or more other entities that overcomes at least some of the shortcomings of prior art.
In a system where a first entity is capable of secure communication of some form, it may be desirable to establish a relationship with another entity without providing the other entity with any infoπnation related the first entity's security features. Typically, the security features might include a key or a cryptographic function. It would be desirable to provide a mechanism for enabling secure communications between a first and second entity when they do not share the requisite secret function, key or other relationship to enable them to establish trust.
A number of other aspects, features, preferences and embodiments are disclosed in the Detailed
Description of the Preferred Embodiment below.
SUMMARY OF THE INVENTION
In accordance with the invention, there is provided a method of compensatmg for an inoperative nozzle in a printhead, the method comprising the step of: (a) mapping dot data intended for the inoperative nozzle into one or more operative nozzles of the printhead.
Preferably, step (a) includes the substep of mapping the dot data intended for the inoperative nozzle into a nozzle that will print a dot on print media close to a position at which the inoperative nozzle would have printed a dot had it been operative.
Preferably also, step (a) includes the substep of mapping the dot data intended for the inoperative nozzle into a nozzle that will print a dot on print media immediately adjacent a position at which the inoperative nozzle would have printed a dot had it been operative.
In a preferred embodiment, step (a) includes the substeps of:
(i) determining one or more operative nozzles capable of printing a dot on print media close to a position at which the inoperative nozzle would have printed a dot had it been operative; and (ii) mapping the dot data from the inoperative nozzle to an operative nozzle determined in substep (i).
More preferably, in the event more than one operative nozzle is determined in substep (i), the dot data is remapped to one of the operative nozzles that will print a dot on print media closest to that which would have been printed by the inoperative nozzle.
It is preferred that during successive firings of the printhead, the dot data is remapped alternately to operative nozzles that will print a dot on print media either side of that which would have been printed by the inoperative nozzle.
In an alternative embodiment, during successive firings of the printhead, the dot data is remapped randomly, pseudo-randomly, or arbitrarily to operative nozzles that will print a dot on print media either side of that which would have been printed by the inoperative nozzle.
Preferably, the printhead including a plurality of sets of the nozzles for printing a corresponding plurality of channels of dot data, wherein step (a) includes the substep of mapping the dot data intended for the inoperative nozzle into one or more operative nozzles from the same set.
In one form, step (a) includes the substep of mapping the dot data into one or more operative nozzles that will print a dot on print media close to a position at which the inoperative nozzle would have printed a dot had it been operative.
In an alternative form, step (a) includes the substep of mapping the dot data intended for the inoperative nozzle into one or more operative nozzles including at least one nozzle from a different one of the sets.
In yet another embodiment, step (a) includes the substeps of: determining which combination of one or more available operative nozzles near the inoperative nozzle will minimise perceived error in an image that the dot data forms part of, the determination being performed on the basis of a color model; and mapping the dot data intended for the inoperative nozzle to that combination of one or more operative nozzles.
Preferably, the inoperative nozzle is associated with a black print channel, and wherein step (a) mcludes remapping the dot data intended for the inoperative nozzle into a plurality of operative nozzles in other color channels to produce a process black output at or adjacent a location on print media where the inoperative nozzle would have deposited a droplet of a black printing substance in accordance with the dot data.
In a preferred embodiment, a plurality of dot data intended for a corresponding plurality of inoperative nozzles are mapped to operative nozzles.
In accordance with a second aspect of the invention, there is provided a printer controller configured to implement the method of the first aspect.
In accordance with a third aspect of the invention, there is provided a printer controller configured to implement the method of the first aspect to a printhead comprising a plurality of the nozzles.
In accordance with the invention, there is provided a method for outputting a portion of a dither matrix stored in a memory, comprising the step of: (b) determining a start position and an end position in the memory; (c) reading a plurality of dither values of the dither matrix from the memory, commencing at the start position; and (d) outputting a portion of the plurality of dither values read in step (b)
Preferably two or more dither matrices are stored in the memory. A plurality of dither values are read from at least two of the dither matrices with a single read. The matrices can be different sizes.
It is preferred that each read from the memory reads at least one, and preferably two or more, lines from one or more dither matrices.
The method can also be embodied in hardware.
It is also preferred that the memory is configurable to store different dither matrices for different color channels. It is particularly preferred that a single read of the memory loads a full line for two or more dither matrices into a dither buffer. Typically, each dither matrix will be for a different color channel. In accordance with another aspect of the invention, there is provided a printer controller for supplying dot data to a printhead in a predetermined order, the printhead comprising at least a first printhead module having a plurality of rows of printing nozzles, the printer controller being configured to order and time the supply of the dot data to the first printhead module such that a relative skew between adjacent rows of printing nozzles on the at least one printhead module, in a direction normal to a direction of printing, is at least partially compensated for.
Preferably, the printer controller is configured to at least partially compensate for the relative skew between adjacent rows in each of a plurality of sets of the adjacent rows.
In a preferred embodiment, wherein the relative skew between each of the plurality of the sets of the adjacent rows is the same.
Preferably, the printer controller is configured to compensate for the skew by introducing a relative delay into the dot data destined for at least one of the rows of printing nozzles. More preferably, the printhead is configured to print the dots at a predetermined spacing across its width, and the delay introduced by the printer controller equates to an integral multiple of the spacing.
It is particularly preferred, that the printhead defines a printable region between printing boundaries. Nozzles of at least one of the rows of at least one of the at least one printhead modules are positioned outside the printable region due to the skew between adjacent rows of the nozzles on the at least one printhead module. The printer controller is configured to introduce a relative delay into the dot data supplied to at least one of the rows such that the nozzles outside the printable region do not print.
Preferably, the at least one printhead module includes at least one pair of adjacent rows of the nozzles such that each row of the pair is configured to print the same ink. The printhead is configured to provide the dot data to the pair of adjacent rows such that the dot data is shifted serially through the first of the rows then through the second of the rows, until the dot data has been supplied to all the nozzles. More preferably, the printhead is configured to provide the dot data to the pair of adjacent rows such that the dot data is shifted serially through the first of the rows in a first direction then looped back through the second of the rows in a second direction opposite the first, until the dot data has been supplied to all the nozzles.
Preferably, the printhead is configured to print a series of printhead-width rows of the dots, and wherein the first and second rows are configured to print odd and even dots, respectively, of the printhead-width rows, the printhead controller being configured to supply the one or more first rows with odd dot data and the one or more second rows with even dot data.
Preferably, the printhead has a plurality of the pairs of rows. The printer controller is configured to supply the dot data such that any relative skew between the first and second rows of each pair of rows, in a direction normal to a direction of printing, is at least partially compensated for. In one embodiment, each printhead module is configured to print a plurality of independent inks, and the nozzles in each row are configured to print in one of the inks. The printhead controller being configured to supply each of the inks to at least one row of at least one of the printhead modules.
Preferably, at least some of the printhead modules are of mutually unequal length, the printer controller being configured to order and time the supply of the dot data to the compensate for the unequal length.
It is also preferable that the printer controller is configured to at least partially compensate for any relative skew between adjacent rows of the nozzles on adjacent ones of the printhead modules.
In a preferred form of the invention, the printer controller is selectively configurable to compensate at least partially for a plurality of potential relative skews.
In one form, the controller is configured to compensate at least partly for a fixed amount of the skew.
In accordance with a further aspect, the invention comprises the printer engine comprising a printer controller according to the first aspect and a printhead, wherein the nozzles of the printhead are disposed in a printable region between printing boundaries of the printhead. The printhead includes at least one logical nozzle located outside the printable zone that can accept data but is not capable of printing. The logical nozzles are arranged to introduce a relative delay into the dot data supplied to at least one of the rows, such that dot data is supplied to the correct nozzles for printing.
In accordance with another aspect of the invention, there is provided a printer controller for supplying dot data to a printhead in a predetermined order, the printhead comprising at least first and second printhead modules, each comprising a plurality of printing nozzles and being disposed adjacent each other such that a printing width of the printhead is wider than a printing width of either of the printhead modules, the printer controller being configurable during or after manufacture to order and time supply of the dot data to the printhead modules such that any relative displacement between the printhead nozzles in a direction normal to the printhead printing width is at least partially compensated for.
Preferably, the printer controller is configurable to provide compensation for any of a plurality of different amounts of the relative displacement.
More preferably, where each of the printhead modules comprises a plurality of parallel rows of the printing nozzles, the printhead is configured such that each of the rows of each printhead module has a corresponding row in each of the other printhead modules. The printer controller is controllable to introduce a relative delay into the dot data supplied to one or more of the rows, thereby to provide the compensation. In a particularly preferred embodiment, where the printhead is configured to print the dots at a predetermined spacing in a direction in which print media is supplied for printing, the delay introduced by the printer controller equates to an integral multiple of the spacing during printing.
In accordance with a further aspect of the invention, there is a printer controller for supplying dot data to a printhead in a predeteirnined order, the printhead comprising at least first and second printhead modules, each of which comprises a plurality of printing nozzles and being disposed adjacent each other such that a printing width of the printhead is wider than a printing width of either of the printhead modules, the printer controller being configured to order and time supply of the dot data to the printhead modules in accordance with their respective widths, such that a difference in relative widths of the printhead modules is at least partially compensated for.
Preferably, each of the printhead modules comprises a plurality of rows of the printing nozzles, the controller being configured to supply the dot data to the rows of nozzles in serial form. More preferably, each of the printhead modules comprises one or more parallel pairs of the rows, the controller being configured to serially supply the data to a first of each of the rows of nozzles in the or each pair of rows, the data being serially clocked through the first row of the or each pair of rows, then through a second row of the or each pair or rows, until all printhead nozzles have received their respective data.
It is preferred that the data is clocked through the second row in a direction substantially opposite to that in which it was clocked through the first row.
In another aspect, there is provided a printer controller for supplying dot data to a printhead comprising at least one printhead module, the printer controller being configurable to supply the dot data to a selectable one of a plurality of potential printhead module types, each having a different number of nozzles for receiving the dot data.
Preferably, the printer controller includes non-volatile memory for storing at least one parameter value, the at least one parameter value determining which of the potential printhead types the printer controller has been configured to supply the dot data to.
More preferably, the printer controller is configurable to supply the dot data to the printhead module on the basis of one or more printer module widths indicated by the at least one parameter.
In a preferred embodiment, the printer controller is configurable to supply the dot data to a plurality of the printhead modules, on the basis of one or more widths of the printhead modules indicated by the at least one parameter. In accordance with a further aspect of the invention, there is provided a method of accounting for dead nozzle remapping in a multi-nozzle printhead, including remapping a fixative intended for a dot to be printed by the dead nozzle.
In one form, the remapping includes remapping the fixative to an operative nozzle to which dot data intended for the dead nozzle for printing at or adjacent a position at which the dead nozzle would have printed.
Alternatively, or in addition, the remapping includes preventing output of fixative onto the position where the dead nozzle would have printed a dot had it been operative.
In accordance with a further aspect of the invention, there is provided a method for arbitrating between a plurality of access requests issued in relation to a resource by a plurality of requestors, wherein each request can be one of at least two types, a first of the types having a higher latency associated with its performance than at least some of the other types, the method including the steps of: (e) receiving a plurality of the access requests; (the requests are not placed anywhere, they are simply received)
(f) mamtaining a current pointer that points to a current timeslot in a timeslot list, and at least one lookahead pointer that points to a future timeslot in the timeslot list; and
(g) in the event an access request as arbitrated via the lookahead pointer is of the first type, initiating performance of the access request earlier than the position in the list suggests it would be performed should it be started when the current pointer reached the timeslot.
Preferably, step (g) includes the substep of performing the access request indicated by the lookahead pointer immediately after the access request indicated by the current pointer is performed.
It is preferred that step (g) includes the substep of performing the access request indicated by the lookahead pointer immediately after the access request indicated by the current pointer is performed.
In a preferred embodiment, the number of timeslots between the timeslot indicated by the lookahead pointer and the timeslot indicated by the current pointer takes into account a latency difference between performing an access request of the first type and at least one of the other access request types.
In accordance with another aspect of the invention, there is provided an integrated circuit including: a plurality of operative units, each of which is capable of issuing a request for access to a memory accessible by the integrated circuit; and an timeslot arbitrator for arbitrating between requests issued by the operative units for access to the memory, wherein each request can be one of at least two types, a first of the types having a higher latency associated with its performance than at least some of the other types, the timeslot arbitrator being configured to: (h) receive a plurality of the access requests; (i) maintain a current pointer that points to a current timeslot in a timeslot list, and at least one lookahead pointer that points to a future timeslot in the timeslot list; and
(j) in the event the access request as arbitrated via the lookahead pointer is of the first type, performing the access request earlier than the position in the list suggests it should be performed should it be started when the current pointer reached the timeslot.
Preferably, the first type of access request is a memory write request.
Preferably, the integrated circuit includes a memory interface unit operatively connected with, and under the control of, the timeslot arbitrator, and wherein the memory interface is operatively connected to: one or more of the operative units via one or more communications buses, and the memory via a memory bus of greater width than the communications buses.
In a preferred form, the number of timeslots between the timeslot indicated by the lookahead pointer and the timeslot indicated by the current pointer takes into account a latency difference between performing an access request of the first type and at least one of access request types.
In accordance with a further aspect of the invention, there is provided a method of arbitrating between access requests from a plurality of requestors for access to a resource, wherein at least one of the requestors is defined as higher priority access to the resource, the method comprising the steps of:
(k) receiving a plurality of the access requests;
(1) in the event an access request from the at least one of the requestors is received, initiating performance of the access request in preference to the requestor as specified by the timeslot list and regardless of whether or not the at least one of the requestors is in the timeslot list.
Preferably, the at least one requestor requires lower latency access to the resource than at least one of the other requestors from which access requests can be received.
Preferably, the at least one requestor is a processor and/or the resource is a memory.
Preferably, step (1) includes the substep of performing the access request from the requestor immediately following completion of any current access request being reformed.
In a preferred form, step (1) is performed such that a frequency of the at least one requestor being granted preferential performance of its access requests is limited within a time period. More preferably, early performance of access requests from the at least one requestor is restricted to a maximum number of times within a predetermined number of timeslots.
Preferably, the requestors are hardware units on an integrated circuit and the method is implemented by a timeslot arbitrator unit on the integrated circuit. In accordance with another aspect of the invention, there is provided a method according to the third aspect, wherein each request can also be one of at least two types, a first of the types having a higher latency associated with its performance than at least some of the other types, the method including the steps of: (m) receiving a plurality of the access requests;
(n) maintaining a current pointer that points to a current timeslot in the timeslot list, and at least one lookahead pointer that points to a future timeslot in the timeslot list; and
(o) in the event an access request as arbitrated via the lookahead pointer is of the first type, initiating performance of the access request earlier than its position in the list suggests it should be performed should it be started when the current pointer reached the timeslot.
In accordance with another aspect of the invention, there is provided a method of updating a cache in an integrated circuit comprising: the cache a processor connected to the cache via a cache bus; a memory interface connected to the cache via a first bus and to the processor via a second bus, the first bus being wider than the second bus or the cache bus; and memory connected to the memory interface via a memory bus; the method comprising the steps of: (p) following a cache miss, using the processor to issue a request for first data via a first address, the first data being that associated with the cache miss;
(q) in response to the request, using the memory interface to fetch the first data from the memory, and sending the first data to the processor;
(r) sending, from the memory interface and via the first bus, the first data and additional data, the additional data being that stored in the memory adjacent the first data;
(s) updating the cache with the first data and the additional data via the first bus; and
(t) updating flags in the cache associated with the first data and the additional data, such that the updated first data and additional data in the cache is valid.
Preferably, the processor is configured to attempt a cache update with the first data upon receiving it from the memory interface, the method further including the step of preventing the attempted cache update by the processor from being successful, thereby preventing interference with the cache update of steps (s) and/or (t).
More preferably, steps (r), (s), and (t) are performed substantially simultaneously.
In one embodiment, steps (s) and (t) are performed by the memory interface.
Preferably, steps (s) and (t) are performed in response to the processor attempting to update the cache following step (r). More preferably, the memory interface is configured to monitor the processor to determine when it attempts to update the cache following step (r). In accordance with a further aspect of the invention, there is provided an integrated circuit, comprising a processor, an onboard system clock for generating a clock signal, and clock trim circuitry, the integrated circuit being configured to: (u) receive an external signal;
(v) determine either the number of cycles of the clock signal during a predetermined number of cycles of the external signal, or the number of cycles of the external signal during a predetermined number of cycles of the clock signal;
(w) store a trim value in the integrated circuit, the trim value having been determined on the basis of the determined number of cycles; and
(x) use the trim value to control the internal clock frequency.
Preferably, the integrated circuit is configured to, between steps (v) and (w): output the result of the determination of step (v); and receive the trim value from an external source.
Preferably, the integrated circuit includes non-volatile memory, and (w) includes storing the trim value in the memory. More preferably, the memory is flash RAM.
In a preferred form step (x) includes loading the trim value from the memory into a register and using the trim value in the register to control a frequency of the internal clock.
In a preferred form, the trim value is deteimined and stored permanently in the integrated circuit. More preferably, the circuit includes one or more fuses that are intentionally blown following step (w), thereby preventing the stored trim value from subsequently being changed.
In a preferred embodiment, the system clock further includes a voltage controlled oscillator (VCO), an output frequency of which is controlled by the trim value. More preferably, the integrated circuit further includes a digital to analog convertor configured to convert the trim value to a voltage and supply the voltage to an input of the VCO, thereby to control the output frequency of the VCO.
Preferably, the integrated circuit is configured to operate under conditions in which the signal for which the number of cycles is being determined is at a considerably higher frequency than the other signal.
More preferably, the mtegrated circuit is configured to operate when a ratio of the number of cycles determined in step (v) and the predetermined number of cycles is greater than about 2. It is particularly preferred that the ratio is greater than about 4. Preferably, the integrated circuit is disposed in a package having an external pin for receiving the external signal. More preferably, the pin is a serial communication pin configurable for serial communication when the trim value is not being set.
Preferably, the trim value was also deteimined on the basis of a compensation factor that took into account a temperature of the integrated circuit when the number of cycles are being determined.
Preferably, the trim value received was determined by the external source, the external source having determined the trim value including a compensation factor based on a temperature of the integrated circuit when the number of cycles are being determined.
Preferably, the trim value is determined by performing a number of iterations of determining the number of cycles, and averaging the determined number.
In accordance with a further aspect of the invention, there is provided an integrated circuit comprising a processor, non-volatile memory, an input for receiving power from a power supply and a power detection unit, wherein the integrated circuit is configured to enable multi-word writes to the non-volatile memory, the power detection unit being configured to: monitor a quality of power supplied to the input; in the event the quality of the power drops below a predetermined threshold, preventing subsequent words in any multi-word write currently being performed from being written to the memory.
Preferably, the integrated circuit is configured to prevent any further writes of any type to the memory once the quality is determined to have dropped below the threshold.
Preferably, the quality is a voltage.
Preferably, the memory is flash memory.
Preferably, the power detection unit is configured to provide a reset signal to at least some other circuits on the integrated circuit once any current writes have been finished.
In accordance with another aspect of the invention, there is provided an integrated circuit comprising a processor, a memory that the processor can access, a memory access unit for controlling accesses to the memory, an input for receiving power for the integrated circuit from an external power source, and a power detection unit, the power detection unit being configured to: monitor a quality of power supplied to the input; in the event the quality of the power drops below a predeteimined threshold, disabling a power supply to circuitry for use in writing to the memory, such that the memory access unit's ability to alter data in the memory is disabled prior to address or data values to be written to the memory becoming unreliable due to failing power.
Preferably, the memory is flash memory and the power supply is one or more charge pump circuits. More preferably, a voltage output by the power supply falls fast enough that the voltage supplied to the flash memory becomes too low to enable a change in contents of the flash memory before the voltage levels of the address or data values become invalid.
Preferably, the integrated circuit is configured to cause a reset of at least some of the circuitry on the integrated circuit following disabling of the power supply.
More preferably, the integrated circuit is programmed or designed to have a variable delay between disabling of the power supply and causing the reset. ,
In accordance with a further aspect of the invention, there is provided an integrated circuit comprising a processor and memory, the memory storing a set of data representing program code and/or an operating value, wherein each bit of the data is stored as a bit/inverse-bit pair in corresponding pairs of physically adjacent bit cells in the memory.
Preferably, the integrated circuit further includes a memory management unit configured to receive a request for the set of data and to test, during processing of the request, whether the respective pairs of physically adjacent bit-cells that correspond to the set of data contain bit/inverse-bit pairs, thereby to confirm the validity of the set of data as stored in the memory. More preferably, the memory management unit is configured to store sets of data as sets of bit/inverse-bit pairs in the memory.
Preferably, the integrated circuit is selectively operable in either of first and second modes, wherein: in the first mode, the memory management unit is configured to receive and process a request for the set of data, and to test, during processing of the request, whether the respective pairs of physically adjacent bit-cells corresponding to the set of data contain bit/inverse-bit pairs, thereby to confirm the validity of the set of data as stored in the memory; and in the second mode, the memory management unit is configured to receive and process a request for data stored in the memory, without testing whether pairs of physically adjacent bit-cells contain bit/inverse-bit pairs.
More preferably: in the first mode, the memory management unit is configured to store a set of data associated with a memory write request as a corresponding set of bit/inverse-bit pairs, each of the bit/inverse-bit pairs being physically adjacent each other; and in the second mode, the memory management unit is configured to store a set of data associated with a memory write request as the set of data without corresponding inverse-bits. Preferably, the integrated circuit is configured to boot into the first mode by default.
Preferably, the integrated circuit is configured to implement a defensive action in the event the test fails.
More preferably, the defensive action includes resetting the integrated circuit.
In an alternative embodiment, the defensive reaction includes returning second data other than that the subject of the test.
Preferably, the second data is a string of identical digits.
Preferably, the defensive reaction is different depending upon whether the set of data represents program code or an operating value.
More preferably, in the event the test fails and the set of data is an operating value, the integrated circuit is configured to replace the failed value with a substitute value.
More preferably, the substitute value is selected to disrupt a program running on the integrated circuit.
Preferably, the substitute causes at least some circuitry on the integrated circuit to reset.
In a preferred embodiment, in the event the test fails, the integrated circuit is permanently prevented from running software.
Preferably, in the event the test fails, the integrated circuit is configured to delete from the memory some or all of the bit values associated with the set of data.
More preferably, in the event the test fails, the integrated circuit is configured to delete some or all of the contents of the memory.
In accordance with another aspect of the invention, there is provided an integrated circuit comprising a processor and memory storing: secret information accessible via a first address, the secret information comprising a string of bit values; an inverse-string accessible via a second address, the inverse-string comprising a string of bit values, wherein each of the bit values in the inverse-string is the logical inverse of a bit value at a corresponding bit position in the secret information, the integrated circuit being programmed with code configured to: (i) receive a request for the secret information; and (ii) test whether the bit-values of the inverse string are the inverse of the bit-values at respective corresponding bit positions of the secret information.
In accordance with a further aspect of the invention, there is provided a method of ensuring validity of secret information stored in a memory in the form of a string of bit values accessible via a first address, the memory also storing an inverse-string accessible via a second address, the inverse-string comprising a string of bit values that are the logical inverses of the bit values at corresponding respective bit positions of the secret information, the method including the steps of: receiving a request for the secret information; and testing whether the bit-values of the inverse string are the inverse of the bit-values at respective corresponding bit positions of the secret information.
Preferably, the integrated circuit is configured and programmed to perform a defensive action in the event the test fails.
More preferably, the defensive action incudes deleting or destroying some or all of the contents of the memory in the event the test fails. Preferably, the defensive action includes deleting or destroying at least the secret information and/or the inverse string.
Preferably, the defensive action includes preventing the processor from executing software.
Preferably, the defensive action includes resetting some or all of logic on the integrated circuit.
Preferably, the first and second addresses are at the same address in the memory.
Preferably, the string and inverse string are stored at different sub-addresses within the same address.
In accordance with a further aspect of the invention, there is provided method of manufacturing a plurality of the integrated circuits, comprising the steps, for each of the plurality of integrated circuits, of: storing the secret information and the inverse string at the first and second addresses in the memory of the integrated circuit; and storing the code on the integrated circuit; wherein the first and second addresses are randomly, pseudo-randomly or arbitrarily selected for each of the integrated circuits and the code for each integrated circuit is customised to know the first and second addresses of its secret information and inverse string.
Preferably, the first and second addresses are restricted to one of two potential locations in the memory of each integrated circuit, the secret information and the inverse string for each integrated circuit being allocated to the first and second addresses randomly, pseudo-randomly or arbitrarily. More preferably, the secret information differs between at least two of the integrated circuits.
In accordance with another aspect of the invention, there is provided a plurality of integrated circuits, each of the integrated circuits comprising a processor and non-volatile memory, and including code for running identical software processes, wherein each of the integrated circuits also includes secret information used by the software process, the secret information in each chip being located in a different location in the memory relative to a plurality of the other chips.
Preferably, the code on each integrated circuit is such that the software process of each chip knows the location in memory via which the secret information is accessible.
In accordance with a further aspect of the invention, there is provided a method of manufacturing a plurality of the integrated circuits, including the steps of: manufacturing a plurality of physical integrated circuits; and injecting, into the non-volatile memory of each of the integrated circuits: code for running a software process; and secret information; wherein the secret information is positioned in relatively different locations of the non-volatile memories and the code on each integrated circuit is such that the software process of each integrated circuit knows the location in memory via which the secret information is accessible on that integrated circuit.
In accordance with another aspect of the invention, there is provided an integrated circuit comprising a processor and non-volatile memory, the non-volatile memory storing a first number and a second number, wherein the second number is the result of an encryption function taking a third number and secret information as operands, the integrated circuit comprising software configured to decrypt the second number using the first number, thereby to determine the secret information as required.
Preferably, the first and third numbers are the same.
Preferably, the first and second numbers are of the same length.
Preferably, the first number is a random number that was generated using a stochastic process.
Preferably, the encryption function is an XOR logical function.
Preferably, the software is configured to decrypt the second number by performing an XOR logical function using the first and second numbers as operands.
In accordance with a further aspect of the invention, there is provided a method of manufacturing a plurality of integrated circuits in accordance with claim 1 , including the steps, for each integrated circuit, of: deteπriining the first number, the third number and the secret information; generating the second number by way of an encryption function that uses the third number and the secret information as operands; storing the first and second numbers on the integrated circuit.
Preferably, the first number is different amongst at least a plurality of the integrated circuits.
Preferably, the first numbers are determined randomly, pseudo-randomly, or arbitrarily.
Preferably, the first number is stored on the integrated circuit first, then extracted therefrom for use in generating the third and thence the second number.
In accordance with another aspect of the invention, there is provided a method of enabling authenticated communication of information between at least a primary entity and each of one or more secondary entities, each of the one or more secondary entities having an identifier associated with it, the method including the steps of: allocating first secret information to the primary entity; for each of the one or more secondary entities, determining second secret information, the second secret information being the result of a one way function applied to that second secret entity's identifier and the first secret information; allocating the second secret information to the or each secondary entity.
Preferably, the identifiers allocated to the secondary entities are generated stochastically, pseudo-randomly or arbitrarily.
Preferably, the one way function is a hash function.
Preferably, the first secret information is a key. More preferably, the one way function is a SHA function.
Preferably, each of the entities is implemented in an integrated circuit.
Preferably, each of the entities is implemented in an integrated circuit separate from the integrated circuits in which the other entities are implemented.
Preferably, one or more of the secondary entities are implemented in a corresponding plurality of integrated circuits.
Preferably, the primary entity is implemented in an integrated circuit.
Preferably, both the primary and secondary entities are implemented in integrated circuits. Preferably, the first entity wishes to communicate with one of the second entities, the method including the steps, in the first entity, of: receiving data from the second entity; using the data and the first secret information to generate the second secret information associated with the second entity.
Preferably, the data contains an identifier for the second entity
Preferably, the first entity wishes to send an authenticated message to the second entity, the method including the steps, in the first entity, of: using the generated second secret information to sign a message, thereby generating a digital signature; outputting the message and the digital signature for use by the second entity, which can validate the message by using the digital signature and its own copy of the second secret information.
Preferably, the generated signature includes a nonce from the first entity, and the output from the first entity includes the nonce, thereby enabling the second entity to validate the message using the digital signature, the nonce, and its own copy of the second secret information.
Preferably, the data contains a first nonce.
Preferably, the first entity wishes to send an authenticated message to the second entity, the method including the steps, in the first entity, of: using the generated second secret information and the first nonce to sign a message, thereby generating a digital signature; outputting the message and the digital signature for use by the second entity, which can validate the message by using the digital signature and its own copy of the second secret information.
Preferably, the generated signature mcludes a second nonce from the first entity, and the output from the first entity includes the second nonce, thereby enabling the second entity to validate the message using the digital signature, the first and second nonces, and its own copy of the second secret information.
Preferably, the first entity wishes to send an encrypted message to the second entity, the method including the steps, in the first entity, of: using the generated second secret information to encrypt a message, thereby generating an encrypted message; outputting the encrypted message for use by the second entity, which can decrypt the message by using its own copy of the second secret information. Preferably, the encrypted message includes a nonce from the first entity, and the output from the first entity includes the nonce, thereby enabling the second entity to decrypt the message using the nonce, and its own copy of the second secret information.
Preferably, the first entity wishes to send an encrypted message that incorporates the first nonce to the second entity, the method including the steps, in the first entity, of: using the generated second secret information to encrypt a message and the first nonce, thereby generating an encrypted message; outputting the encrypted message for use by the second entity, which can decrypt the encrypted message by using its own copy of the second secret information.
Preferably, the encrypted message includes a second nonce from the first entity, and the output from the first entity includes the second nonce.
In accordance with another aspect of the invention, there is provided a method of generating and sending a message from a first entity, the method including the steps of: determining a message including an action; generating an authentication code on the basis of the action and a parameter, the parameter being indicative of an attribute of the action; and sending the message and authentication code from the first entity.
In accordance with a further aspect of the invention, there is provided a method of generating and sending a message from a first entity, the first entity including an identifier that distinguishes it from a plurality of other entities of a similar type, the method comprising the steps of: determining a message including an action; generating an authentication code on the basis of the action and a parameter, the parameter being based on the identifier; and sending the message and authentication code from the first entity.
Preferably, the action is a function, and the parameter is indicative of the function.
More preferably, the entity is capable of generating messages for each of a plurality of types of function, and the parameter is indicative of the type of function comprised by the message that is sent.
Preferably, the message includes one or more operands of the function.
Preferably, the function is a read function and the one or more operands include an address to be read.
Preferably, the function is a write function and the one or more operands include data to be written. Preferably, the types of function include at least a read and a write, wherein the authentication step produces a different authentication code depending upon whether the action is a read or a write.
Preferably, the authentication step produces includes authentication codes
In accordance with another aspect of the invention, there is provided a method of generating a first authentication code for a first message for a first function, wherein operands for the first authentication function used to generate the first authentication code include at least part of the first message and at least one identifier associated with the first function,
Preferably, the method further including the steps of verifying the authentication code in accordance with the at least one identifier associated with the first function.
Preferably, the identifier is indicative of a type of the function.
Preferably, the at least one identifier is indicative of the entity generating the authentication code.
Preferably, the at least one identifier is indicative of an entity for which the authentication code is generated.
Preferably, the method includes the step, prior to generating the authentication code, of receiving a request from the entity for the first message, the request including information indicative of an identity of the entity.
In accordance with another aspect of the invention, there is provided a method of preventing a first action associated with a first message from being performed in a target entity, the method including the steps of: sending the first message to the target entity, the first message being configured to cause the entity to perform the first action and a second action; and sending a second message to the target entity, the second message being configured to cause the entity to perform a third action; wherein the entity is configured such that performance of the second action and the third action is mutually incompatible.
In accordance with a further aspect of the invention, there is provided a method of attempting first write and a second write to first and second security fields in a target entity, the method including the steps of: sending a first message to the target entity, the first message being configured to cause the entity to perform an action and to update the first and second security fields; and sending a second message to the target entity, the second message being configured to cause the entity to update the first and second security fields; wherein the security fields have write restrictions associated with them such that updating the security fields in accordance with the first message prevents subsequent updating of the security fields in accordance with the second message, and wherein updating the security fields in accordance with the second message prevents subsequent updating of the security fields with the first message, and wherein the first action is only performed when updating of the security fields by the first message is successful.
In accordance with a further aspect of the invention, there is provided a method of performing a second attempted write to two security fields in a target entity to prevent subsequent application of an earlier attempted write to a data field, wherein: each of the first and second security fields has a monotonically changeable write restriction associated with it; and the first attempted write included a first data value for the data field and first and second security values for the first and second security fields respectively; the method including the step of sending a second write to the target entity, the second write including third and fourth security values for the first and second security fields respectively, wherein the write restrictions are such that application of the third and fourth security values to the first and second fields are mutually incompatible with application of the first and second security values to the first and second security fields, such that if any of the fields cannot be written to, none of them are written to.
Preferably, the write restrictions: prevent the second write from being performed in the event that the first write was previously performed; and prevent the first write from subsequently being performed in the event that the second write is performed.
Preferably, the second write is sent in response to a notification that the first write was not successfully performed.
Preferably, the second write is sent in response to a notification that the first write was not received.
Preferably, the second write is sent after a predetermined time has elapsed after sending the first write without receiving confirmation of the first write being received or successfully performed.
Preferably, the method further includes the step of sending the second write a plurality of times until the second write is successfully received and/or performed.
Preferably, the method further includes the step of verifying the successful second write by performing an authenticated read of the first and second security fields.
Preferably, the method further includes updating a value related to the data field.
Preferably, the write permissions are such that only decrementing or incrementing of the security fields are permitted. More preferably, the write permissions are such that only decrementing of the security fields is permitted, and wherein: the value in the first security field prior to the first attempted write was x; the value in the second security field prior to the first attempted write was y; the value of the first security data was x-a; the value of the second security data was y-b; the value of the third security data being x-c; and the value of the fourth security data being y-d; wherein a<b, d<c and a, b, c and d are >0.
It is particularly preferable that a=d and b=c, and even more preferable that a=d=l and b=c=2.
Preferably, the target entity is a first integrated circuit and the messages are sent by a second integrated circuit.
In accordance with another aspect of the invention, there is provided a method of enabling selection of one or more pieces of secret information stored in a first entity, the first entity also storing at least one value indicative of at least one attribute for each of the one or more pieces of secret information, the method comprising the steps of:
(y) receiving at the first entity a request from a second entity for one or more of the values for one or more of the pieces of secret information stored in the first entity; and
(z) in response to the request, outputting the values to the second entity.
Preferably, each of the pieces of secret information has an associated index and the request in step (y) includes one or more of the indexes to identify those pieces of secret information for which the values are requested.
Preferably, the request in step (y) is a request for the values all of the pieces of secret mformation and the response in step (z) orders the values such that the second entity can determine which values are associated with which piece of secret information, and can use the order to generate an index for the secret information.
Preferably, the method further includes the steps, in the first entity and following step (z), of: (iii) receiving a request from the second entity identifying a function and identifying the index of a piece of secret information to be used in performing the function; and
(iv) performing the function using the identified piece of secret information.
Preferably, the method further includes the steps, in the first entity and following step (z), of: (v) receiving a request from the second entity identifying a function and a piece of secret information to be used in performing the function; and (vi) performing the function using at least the identified piece of secret information, the identified piece of secret information being identified in the request of step (v) on the basis of at least one of the values output in step (z).
Preferably, the secret information is stored in one or more physical locations of the first entity, and wherein the values are not indicative of those physical locations.
Preferably, the first entity is implemented in a first integrated circuit and the second entity is implemented in a second integrated circuit.
Preferably, the first integrated circuit includes a memory for storing the pieces of secret information and the values.
Preferably, there is a plurality of the first integrated circuits, wherein the physical location of a piece of the secret information having particular attributes is mutually different for at least some of the first integrated circuits.
Preferably, each of the pieces of secret information is a key for use with a corresponding authentication, encryption or decryption function.
Preferably, the integrated circuit is programmed and configured to apply at least one of the authentication, encryption or decryption functions to data using the corresponding key as an operand.
Preferably, the attribute stored for at least one of the pieces of secret information is the length of that at least one of the pieces of secret information.
Preferably, the attribute stored for at least one of the pieces of secret information is the authentication, encryption or decryption type associated with that at least one of the pieces of secret information.
Preferably, the attribute value stored for at least one of the pieces of secret information is indicative of a permission associated with that at least one of the pieces of secret information.
In accordance with a further aspect of the invention, there is provided a system including first and second integrated circuits, the first integrated circuit implementing the first entity of claim 1, the second integrated circuit being programmed and configured to issue a request to the first integrated circuit for attribute values of any secret information stored by the first integrated circuit, and the first integrated circuit being programmed and configured to respond to the request by supplying the attribute values of the pieces of secret information to the external source. Preferably, the second integrated circuit is a printer controller chip and the first integrated circuit is a peripheral chip in communication with the printer controller.
Preferably, the printer controller chip is installed in a printer and the peripheral chip is in a package that is releasably attachable to the printer via a connector, the connector enabling communication between the printer controller chip and the peripheral chip.
Preferably, the printer controller chip and the peripheral chip are installed in a printer.
Preferably, the package is an ink refill cartridge.
Preferably, the package is a performance setting cartridge configured to set a performance level of the printer.
Preferably, in the event at least one of the pieces of secret information can be altered or updated, the first integrated circuit is configured to alter the attribute values associated with that at least one piece of secret information as required by the alteration or update such that the update or alteration of the at least one piece of secret information and its associated attributes is atomic.
In accordance with another aspect of the invention, there is provided an integrated circuit including an on- board system clock, the integrated circuit including a clock filter configured to determine a temperature of the integrated circuit and to alter an output of the system clock based on the temperature.
Preferably, the clock filter is configured to alter the output of the system clock in the event the temperature is outside a predetermined temperature range.
More preferably, altering the output includes preventing the clock signal from reaching one or more logical circuits on the integrated circuit to which it would otherwise be applied.
It is particularly preferred that the predetermined temperature range is selected such that a temperature-related speed of the system clock output that is not due to the clock filter is within a predetermined frequency range. It is desirable that the frequency range be within an operating frequency of some or all of the logic circuitry to which the system clock is supplied.
In the preferred form of the invention, the clock filter is configured to prevent the system clock from reaching some or all of the logic circuitry in the event the temperature falls below a predetermined level. This level is chosen to be high enough that race conditions, in which the clock speeds up to the point where logic circuitry behaviour becomes unpredictable, are avoided.
In accordance with another aspect of the present invention, there is provided a method of manufacturing a series of integrated circuits having related functionality, the method including the steps of: (vii) determining an identifier;
(viii) permanently storing the identifier on one of the integrated circuits;
(ix) repeating steps (vii) and (viii) for each integrated circuit in the series; wherein the identifiers for the series are determined in such a way that knowing the identifier of one of the integrated circuits does not improve the ability of an attacker to determine the identifier of any of the other integrated circuits.
Preferably, the identifier for each integrated circuit is determined using a stochastic mechanism, thereby rendering highly improbable the replication of some or all of the series of identifiers stored on the series of the integrated circuits.
In accordance with another aspect of the invention, there is provided a series of integrated circuits having related functionality, wherein each of the integrated circuits incorporates an identifier determined and stored in accordance with the first aspect.
Preferably, each of the integrated circuits is a printer controller.
In accordance with another aspect of the invention, there is provided a first integrated circuit of a series of integrated circuits according to the second aspect, operable in first and second mode, wherein in the first mode, supervisor code can access the identifier and in the second mode, user code cannot access the identifier.
Preferably, the supervisor mode is available to a program upon verification of that program by a boot program of the integrated circuit.
Preferably, the identifier is mapped into a key K.
Preferably, K is the identifier.
Preferably, K is created by applying a hash function or one-way function to the identifier.
Preferably, the integrated circuit is configured to produce and output a message, the message including a result of encrypting K.
In accordance with another aspect of the invention, there is provided a method of injecting a key into a target integrated circuit, comprising the step of receiving the message generated by the first integrated circuit of claim 10, and transferring a second key into the target integrated circuit, the second key being based on K.
Preferably, the method includes generating the second key by manipulating K with a function.
More preferably, the function uses K and a code associated with the target integrated circuit as operands. Preferably, the code is a code that is relatively unique to the target integrated circuit.
Preferably, K and the second key enable secure communication between the first integrated circuit and the target integrated circuit.
Preferably, the second integrated circuit is configured to communicate securely with a third integrated circuit, thereby enabling it to act as an intermediary between the first integrated circuit and the third integrated circuit, allowing secure communication therebetween.
Preferably, the first integrated circuit and the third integrated circuit do not share a key for use in the secure communication.
Preferably, the first integrated circuit is a printer controller configured to perform an authenticated read of the third integrated circuit by securely communicating via the second integrated circuit.
Preferably, the authenticated read relates to monitoring or updating usage of a resource.
In accordance with another aspect of the invention,, there is provided a method of enabling software development for an integrated circuit, the integrated circuit being configured to run a boot program that prevents unverified software from subsequently being loaded onto, or run by, the integrated circuit, the method including the step of loading an intermediate program onto the integrated circuit, the intermediate program being customised for a particular one or more of a plurality of potential integrated circuits that, when run on the processor, enables loading or running of code on only the particular one or more integrated circuits.
Preferably, the intermediate program enables the loading or running of unverified code on only the particular one or more integrated circuits.
Preferably, the intermediate program enables the loading or running of the code only when the code includes data indicative of the particular one or more integrated circuits.
Preferably, the intermediate program includes an intermediate boot key, such that the intermediate program enables loading or running of the code only when the code is verified in accordance with the intermediate boot key.
In accordance with another aspect of the invention, there is provided an integrated circuit configured to run a boot program that prevents unverified software from subsequently being loaded onto, or run by, the integrated circuit.
Preferably, the integrated circuit is programmed with program code configured to: receive software data and a digital signature of the software data generate a first digest from the software data; and compare the first digest against a second digest obtained via the digital signature that accompanied the received software data; wherein the program is considered valid when the first and second digests match.
Preferably, one or both of the digests were generated using a SHA1 function.
Preferably, the boot program contains a plurality of keys, and one of the keys is selected for use in generating the first digest, the key being selected in accordance with a selection criterion.
Preferably, the selection criterion is time-based, a particular one of the keys being selected depending on the time the selection is made.
Preferably, the selection criteria relates to a physical arrangement or configuration of the integrated circuit.
Preferably, the physical arrangement or configuration includes one or more of the following: one or more pads wired to a reference voltage or to ground; one or more fuses, one or more of which has been blown; or the contents of non- volatile memory.
Preferably, the integrated circuit is programmed with program code configured to: receive encrypted software data, decrypt the software data; and validate the software data; wherein the decrypted software is executed only when the validation is successful.
Preferably, the encryption function is RSA.
Preferably, the boot program contains a plurality of keys, and one of the keys is selected for use in decrypting the software data, the key being selected in accordance with a selection criterion.
Preferably, the selection criterion is time-based, a particular one of the keys being selected depending on the time the selection is made.
Preferably, the selection criteria relates to a physical arrangement or configuration of the integrated circuit.
Preferably, the physical arrangement or configuration includes one or more of the following: one or more pads wired to a reference voltage or to ground; one or more fuses, one or more of which has been blown; or the contents of non-volatile memory.
In accordance with a further aspect of the invention, there is provided a method of passing validated information along a series of entities, the series of entities including a source entity, a series of at least one intermediate entity, and a target entity, wherein each of the entities shares a validation parameter with its immediately neighbouring entity or entities in the series, the method comprising the steps, commencing in the source entity, of:
(x) in the current entity, generating a validation code for the information, the validation code being based on the validation parameter shared between the current entity and the next entity in the series; (xi) outputting the validation code;
(xii) receiving the validation code in the next entity in the series and making that entity the current entity;
(xiii) verifying the information via the validation code in the current entity using the validation parameter required to verity it;
(xiv) repeating steps (x) to (xi) until the last intermediate entity in the series has output the validation code it generated;
(xv) receiving the validation code in the target entity and verifying the information via the validation code and the validation parameter required to verify it.
Preferably, step (xi) includes the substep of outputting the information.
Preferably, step (xv) includes receiving the information and using it during the verification.
Preferably, step (xii) includes receiving the information and using it during the verification.
Preferably, a controller is in contact with at least some of the entities, the controller being configured to pass the information and/or the validation codes between adjacent entities in the series.
Preferably, step (x) is performed in response to an instruction issued by the controller.
Preferably, the instruction includes a request for the information upon which the validation is to be performed.
Preferably, the validation code is a digital signature produced by a digital signature function using the information and the validation parameter as operands.
Preferably, the validation parameter is a key
Preferably, the key is a symmetric key
Preferably, the validation parameter is an asymmetric key-pair, and the public and private components of the key-pair are in respective neighbouring entities in the series. Preferably, the validation code is a digital signature generated with a digital signature function using the key or key-pair component, the information and at least one nonce as inputs.
Preferably, the at least one nonce is generated in the current entity in response to an instruction issued by the neighbouring entity of the current entity closer to the target entity.
Preferably, the at least one nonce is randomly, pseudo-randomly or arbitrarily generated number.
Preferably, the at least one nonce is supplied to the current entity in an instruction issued by the neighbouring entity of the current entity closer to the target entity.
Preferably, the nonce is randomly, pseudo-randomly or arbitrarily generated number.
Preferably, a different validation parameter is used for the validation step performed at any two adjacent entities.
Preferably, at least one of the entities is an integrated circuit.
Preferably, the target entity is a printer controller integrated circuit.
Preferably, the source entity is a printer controller integrated circuit.
Preferably, either the source entity or the target entity is a printer controller integrated circuit and the at least one intermediate entity is a verification chip associated with the printer controller.
Preferably, the controller is a printer controller integrated circuit.
Preferably, one of the entities is the controller.
Preferably, the printer controller has a relatively unique identity and the verification chip includes a key based on the unique identity.
Preferably, the source or target entity is an integrated circuit associated with a package that contains ink.
In accordance with a further aspect of the invention, there is provided an integrated circuit incorporating microelectromechanical systems (MEMS), having a total area greater than an area of at least one of the reticles used to manufacture it. Preferably, the integrated circuit includes a stitch region where the multiple reticle fields overlapped during manufacturing of the integrated circuit.
Preferably, the surface area of the integrated circuit is larger than a single stepping field of a reticle used to manufacture the integrated circuit.
In accordance with another aspect of the invention, there is provided an integrated circuit according to the first aspect, manufactured using at least two different types of reticles.
Preferably, the integrated circuit is manufactured using multiple applications of the same reticle.
Preferably, the integrated circuit is a printhead.
In accordance with a further aspect of the invention, there is provided a method of manufacturing an integrated circuit incorporating MEMS, comprising laying out the integrated circuit using a plurality of overlapping reticles.
Preferably, the overlapping reticles are the same as each other.
Preferably, the reticles are different to each other.
Preferably, the reticles are different lengths.
Preferably, a plurality of the integrated cfrcuits are manufactured on a single substrate wafer, wherein each of the integrated circuits is manufactured incorporating MEMS, comprising laying out of the integrated circuit using a plurality of overlapping reticles.
Preferably, at least some of the integrated circuits are different to each other.
Preferably, the integrated circuits are of different lengths.
In accordance with another aspect of the invention, there is provided a method of laying out an integrated cfrcuit, the method including the steps of: defing a layout of an integrated circuit; defining a joint path; modifying at least one element within an overlap area adjacent the joint path to take into account reticle field overlap during a subsequent manufacturing step. In accordance with another embodiment of the invention, there is provided, in a system comprising a plurality of consumers of one or more common resources, a method of tracking usage of the one or more common resources, comprising the steps of: from each consumer, broadcasting to each of the other consumers a value indicative of an amount of the one or more resources consumed; at each of the consumers, receiving the broadcasted values from the other consumers; and in each consumer, storing a record of the total of the values that the consumer broadcasted and the values received from the other consumers.
Preferably, a memory stores a total indicative of the sum of all values broadcast by the consumers, the method further comprising the steps of, for each of at least a plurality of the consumers: performing an authenticated read of the total in the memory; comparing the total in the consumer's record with the total read from the memory; and in the event the totals do not match, performing an action.
Preferably, the memory is in one of the consumers and comprises that consumer's record.
Preferably, the action includes halting printing and or outputting an error message;
Preferably, the values are broadcast in a non-secure manner.
Preferably, the value is signless, thereby preventing recrediting of the total in the memory.
Preferably, the consumers are printer controllers.
Preferably, each of the printer controllers controls printing to a different part of print media to be printed.
Preferably, the resource is ink and the one or more values represent one or more corresponding inks consumed by one or more printheads associated with the printer controllers.
BRIEF DESCRIPTION OF THE DRAWINGS
Preferred and other embodiments of the invention will now be described, by way of example only, with reference to the accompanying drawings, in which:
Figure 1 is an example of state machine notation Figure 2 shows document data flow in a printer
Figure 3 is an example of a single printer controller (hereinafter "SoPEC") A4 simplex printer system
Figure 4 is an example of a dual SoPEC A4 duplex printer system
Figure 5 is an example of a dual SoPEC A3 simplex printer system
Figure 6 is an example of a quad SoPEC A3 duplex printer system Figure 7 is an example of a SoPEC A4 simplex printing system with an extra SoPEC used as
DRAM storage
Figure 8 is an example of an A3 duplex printing system featuring four printing SoPECs
Figure 9 shows pages containing different numbers of bands
Figure 10 shows the contents of a page band Figure 11 illustrates a page data path from host to SoPEC
Figure 12 shows a page structure
Figure 13 shows a SoPEC system top level partition
Figure 14 shows a SoPEC CPU memory map (not to scale)
Figure 15 is a block diagram of CPU Figure 16 shows CPU bus transactions
Figure 17 shows a state machine for a CPU subsystem slave
Figure 18 shows a SoPEC CPU memory map (not to scale)
Figure 19 shows an external signal view of a memory management unit (hereinafter "MMU") sub- block partition Figure 20 shows an internal signal view of an MMU sub-block partition
Figure 21 shows a DRAM write buffer
Figure 22 shows DIU waveforms for multiple transactions
Figure 23 shows a SoPEC LEON CPU core
Figure 24 shows a cache data RAM wrapper Figure 25 shows a realtime debug unit block diagram
Figure 26 shows interrupt acknowledge cycles for single and pending interrupts
Figure 27 shows an A3 duplex system featuring four printing SoPECs with a single SoPEC DRAM device
Figure 28 is an SCB block diagram Figure 29 is a logical view of the SCB of figure 28
Figure 30 shows an ISI configuration with four SoPEC devices
Figure 31 shows half-duplex interleaved transmission from ISIMaster to ISISIave
Figure 32 shows ISI transactions
Figure 33 shows an ISI long packet Figure 34 shows an ISI ping packet Figure 35 shows a short ISI packet
Figure 36 shows successful transmission of two long packets with sequence bit toggling
Figure 37 shows sequence bit operation with errored long packet
Figure 38 shows sequence bit operation with ACK error Figure 39 shows an ISI sub-block partition
Figure 40 shows an ISI serial interface engine functional block diagram
Figure 41 is an SIE edge detection and data IO diagram
Figure 42 is an SIE Rx/Tx state machine Tx cycle state diagram
Figure 43 shows an SIE Rx/Tx state machine Tx bit stuff '0' cycle state diagram Figure 44 shows an SIE Rx/Tx state machine Tx bit stuff '1 ' cycle state diagram
Figure 45 shows an SIE Rx/Tx state machine Rx cycle state diagram
Figure 46 shows an SIE Tx functional timing example
Figure 47 shows an SIE Rx functional timing example
Figure 48 shows an SIE Rx/Tx FIFO block diagram Figure 49 shows SIE Rx/Tx FIFO control signal gating
Figure 50 shows an SIE bit stuffing state machine Tx cycle state diagram
Figure 51 shows an SIE bit stripping state machine Rx cycle state diagram
Figure 52 shows a CRC16 generation/checking shift register
Figure 53 shows circular buffer operation Figure 54 shows duty cycle select
Figure 55 shows a GPIO partition
Figure 56 shows a motor control RTL diagram
Figure 57 is an input de-glitch RTL diagram
Figure 58 is a frequency analyser RTL diagram Figure 59 shows a brushless DC controller
Figure 60 shows a period measure unit
Figure 61 shows line synch generation logic
Figure 62 shows an ICU partition
Figure 63 is an interrupt clear state diagram Figure 63A Timers sub-block partition diagram
Figure 64 is a watchdog timer RTL diagram
Figure 65 is a generic timer RTL diagram
Figure 66 is a schematic of a timing pulse generator
Figure 67 is a Pulse generator RTL diagram Figure 68 shows a SoPEC clock relationship
Figure 69 shows a CPR block partition
Figure 70 shows reset deglitch logic
Figure 71 shows reset synchronizer logic
Figure 72 is a clock gate logic diagram Figure 73 shows a PLL and Clock divider logic Figure 74 shows a PLL control state machine diagram
Figure 75 shows a LSS master system-level interface
Figure 76 shows START and STOP conditions
Figure 77 shows an LSS transfer of 2 data bytes Figure 78 is an example of an LSS write to a QA Chip
Figure 79 is an example of an LSS read from QA Chip
Figure 80 shows an LSS block diagram
Figure 81 shows an LSS multi-command transaction
Figure 82 shows start and stop generation based on previous bus state Figure 83 shows an LSS master state machine
Figure 84 shows LSS master timing
Figure 85 shows a SoPEC system top level partition
Figure 86 shows an ead bus with 3 cycle random DRAM read accesses
Figure 87 shows interleaving of CPU and non-CPU read accesses Figure 88 shows interleaving of read and write accesses with 3 cycle random DRAM accesses
Figure 89 shows interleaving of write accesses with 3 cycle random DRAM accesses
Figure 90 shows a read protocol for a SoPEC Unit making a single 256-bit access
Figure 91 shows a read protocol for a SoPEC Unit making a single 256-bit access
Figure 92 shows a write protocol for a SoPEC Unit making a single 256-bit access Figure 93 shows a protocol for a posted, masked, 128-bit write by the CPU
Figure 94 shows a write protocol shown for CDU making four contiguous 64-bit accesses
Figure 95 shows timeslot-based arbitration
Figure 96 shows timeslot-based arbitration with separate pointers
Figure 97 shows a first example (a) of separate read and write arbitration Figure 98 shows a second example (b) of separate read and write arbitration
Figure 99 shows a third example (c) ofseparate read and write arbitration
Figure 100 shows a DIU partition
Figure 101 shows a DIU partition
Figure 102 shows multiplexing and address translation logic for two memory instances Figure 103 shows a timing of dau_dcu_valid, dcu_dau_adv and dcu_dau_wadv
Figure 104 shows a DCU state machine
Figure 105 shows random read timing
Figure 106 shows random write timing
Figure 107 shows refresh timing Figure 108 shows page mode write timing
Figure 109 shows timing of non-CPU DIU read access
Figure 110 shows timing of CPU DIU read access
Figure 111 shows a CPU DIU read access
Figure 112 shows timing of CPU DIU write access Figure 113 shows timing of a non-CDU / non-CPU DIU write access Figure ' 114 shows timing of CDU DIU write access
Figure ' 115 shows command multiplexor sub-block partition
Figure 116 shows command multiplexor timing at DIU requestors interface
Figure 117 shows generation of re_arbitrate and re_arbitrate_wadv
Figure ' 118 shows CPU interface and arbitration logic
Figure 119 shows arbitration timing
Figure 120 shows setting RotationSync to enable a new rotation.
Figure 121 shows a timeslot based arbitration
Figure 122 shows a timeslot based arbitration with separate pointers
Figure 123 shows a CPU pre-access write lookahead pointer
Figure 124 shows arbitration hierarchy
Figure ' 125 shows hierarchical round-robin priority comparison
Figure 126 shows a read multiplexor partition
Figure 127 shows a read command queue (4 deep buffer)
Figure ' 128 shows state-machines for shared read bus accesses
Figure 129 shows a write multiplexor partition
Figure ' 130 shows a read multiplexer timing for back-to-back shared read bus transfer
Figure ' 131 shows a write multiplexer partition
Figure ' 132 shows a block diagram of a PCU
Figure ' 133 shows PCU accesses to PEP registers
Figure ' 34 shows command arbitration and execution
Figure ' 135 shows DRAM command access state machine
Figure ' 136 shows an outline of contone data flow with respect to CDU
Figure ' 137 shows a DRAM storage arrangement for a single line of JPEG 8x8 blocks in 4 colors
Figure 138 shows a read control unit state machine
Figure 139 shows a memory arrangement of JPEG blocks
Figure ' 140 shows a contone data write state machine
Figure 141 shows lead-in and lead-out clipping of contone data in multi-SoPEC environment
Figure ' 142 shows a block diagram of CFU
Figure ' 143 shows a DRAM storage arrangement for a single line of JPEG blocks in 4 colors
Figure ' 144 shows a block diagram of color space converter
Figure ' 145 shows a converter/invertor
Figure ' 146 shows a high-level block diagram of LBD in context
Figure ' 147 shows a schematic outline of the LBD and the SFU
Figure ' 148 shows a block diagram of lossless bi-level decoder
Figure ' 149 shows a stream decoder block diagram
Figure ' 50 shows a command controller block diagram
Figure ' 151 shows a state diagram for command controller (CC) state machine
Figure ' 152 shows a next edge unit block diagram
Figure ' 153 shows a next edge unit buffer diagram Figure 154 shows a next edge unit edge detect diagram
Figure 155 shows a state diagram for the next edge unit state machine
Figure 156 shows a line fill unit block diagram
Figure 157 shows a state diagram for the Line Fill Unit (LFU) state machine Figure 158 shows a bi-level DRAM buffer
Figure 159 shows interfaces between LBD/SFU/HCU
Figure 160 shows an SFU sub-block partition
Figure 161 shows an LBDPrevLineFifo sub-block
Figure 162 shows timing of signals on the LBDPrevLineFIFO interface to DIU and address generator
Figure 163 shows timing of signals on LBDPrevLineFIFO interface to DIU and address generator
Figure 164 shows LBDNextLineFifo sub-block
Figure 165 shows timing of signals on LBDNextLineFIFO interface to DIU and address generator
Figure 166 shows LBDNextLineFIFO DIU interface state diagram Figure 167 shows an LDB to SFU write interface
Figure 168 shows an LDB to SFU read interface (within a line)
Figure 169 shows an HCUReadLineFifo Sub-block
Figure 170 shows a DIU write Interface
Figure 171 shows a DIU Read Interface multiplexing by select_hrfplf Figure 172 shows DIU read request arbitration logic
Figure 173 shows address generation
Figure 174 shows an X scaling control unit
Figure 175 Y shows a scaling control unit
Figure 176 shows an overview of X and Y scaling at HCU interface Figure 177 shows a high level block diagram of TE in context
Figure 178 shows a QR Code
Figure 179 shows Netpage tag structure
Figure 180 shows a Netpage tag with data rendered at 1600 dpi (magnified view)
Figure 181 shows an example of 2x2 dots for each block of QR code Figure 182 shows placement of tags for portrait & landscape printing
Figure 183 shows agGeneral representation of tag placement
Figure 184 shows composition of SoPECs tag format structure
Figure 185 shows a simple 3x3 tag structure
Figure 186 shows 3x3 tag redesigned for 21 x 21 area (not simple replication) Figure 187 shows a TE Block Diagram
Figure 188 shows a TE Hierarchy
Figure 189 shows a block diagram of PCU accesses
Figure 190 shows a tag encoder top-level FSM
Figure 191 shows generated control signals Figure 192 shows logic to combine dot information and encoded data Figure 193 shows generation of Lastdotintag/1
Figure 194 shows generation of Dot Position Valid
Figure 195 shows generation of write enable to the TFU
Figure 196 shows generation of Tag Dot Number Figure 197 shows TDI Architecture
Figure 198 shows data flow through the TDI
Figure 199 shows raw tag data interface block diagram
Figure 200 shows an RTDI State Flow Diagram
Figure 201 shows a relationship between TE_endoftagdata, cdu_startofbandstore and cdu_endofbandstore
Figure 202 shows a TDi State Flow Diagram
Figure 203 shows mapping of the tag data to codewords 0-7
Figure 204 shows coding and mapping of uncoded fixed tag data for (15,5) RS encoder
Figure 205 shows mapping of pre-coded fixed tag data Figure 206 shows coding and mapping of variable tag data for (15,7) RS encoder
Figure 207 shows coding and mapping of uncoded fixed tag data for (15,7) RS encoder
Figure 208 shows mapping of 2D decoded variable tag data
Figure 209 shows a simple block diagram for an m=4 Reed Solomon encoder
Figure 210 shows an RS encoder I/O diagram Figure 211 shows a (15,5) & (15,7) RS encoder block diagram
Figure 212 shows a (15,5) RS encoder timing diagram
Figure 213 shows a (15,7) RS encoder timing diagram
Figure 214 shows a circuit for multiplying by alpha3
Figure 215 shows adding two field elements Figure 216 shows an RS encoder implementation
Figure 217 shows an encoded tag data interface
Figure 218 shows an encoded fixed tag data interface
Figure 219 shows an encoded variable tag data interface
.Figure 220 shows an encoded variable tag data sub-buffer Figure 221 shows a breakdown of the tag format structure
Figure 222 shows a TFSI FSM state flow diagram
Figure 223 shows a TFS block diagram
Figure 224 shows a table A interface block diagram
Figure 225 shows a table A address generator Figure 226 shows a table C interface block diagram
Figure 227 shows a table B interface block diagram
Figure 228 shows interfaces between TE, TFU and HCU
Figure 229 shows a 16-byte FIFO in TFU
Figure 230 shows a high level block diagram showing the HCU and its external interfaces Figure 231 shows a block diagram of the HCU Figure 232 shows a block diagram of the control unit
Figure 233 shows a block diagram of determine advdot unit
Figure 234 shows a page structure
Figure 235 shows a block diagram of a margin unit Figure 236 shows a block diagram of a dither matrix table interface
Figure 237 shows an example of reading lines of dither matrix from DRAM
Figure 238 shows a state machine to read dither matrix table
Figure 239 shows a contone dotgen unit
Figure 240 shows a block diagram of dot reorg unit Figure 241 shows an HCU to DNC interface (also used in DNC to DWU, LLU to PHI)
Figure 242 shows SFU to HCU interface (all feeders to HCU)
Figure 243 shows representative logic of the SFU to HCU interface
Figure 244 shows a high-level block diagram of DNC
Figure 245 shows a dead nozzle table format Figure 246 shows set of dots operated on for error diffusion
Figure 247 shows a block diagram of DNC
Figure 248 shows a sub-block diagram of ink replacement unit
Figure 249 shows a dead nozzle table state machine
Figure 250 shows logic for dead nozzle removal and ink replacement Figure 251 shows a sub-block diagram of error diffusion unit
Figure 252 shows a maximum length 32-bit LFSR used for random bit generation
Figure 253 shows a high-level data flow diagram of DWU in context
Figure 254 shows a printhead nozzle layout for 36-nozzle bi-lithic printhead
Figure 255 shows a printhead nozzle layout for a 36-nozzle bi-lithic printhead Figure 256 shows a dot line store logical representation
Figure 257 shows a conceptual view of printhead row alignment
Figure 258 shows a conceptual view of printhead rows (as seen by the LLU and PHI)
Figure 259 shows a comparison of 1.5x v 2x buffering
Figure 260 shows an even dot order in DRAM (increasing sense, 13320 dot wide line) Figure 261 shows an even dot order in DRAM (decreasing sense, 13320 dot wide line)
Figure 262 shows a dotline FIFO data structure in DRAM
Figure 263 shows a DWU partition
Figure 264 shows a buffer address generator sub-block
Figure 265 shows a DIU Interface sub-block Figure 266 shows an interface controller state diagram
Figure 267 shows a high level data flow diagram of LLU in context
Figure 268 shows paper and printhead nozzles relationship (example with Dι=D2=5)
Figure 269 shows printhead structure and dot generate order
Figure 270 shows an order of dot data generation and transmission Figure 271 shows a conceptual view of printhead rows Figure 272 shows a dotline FIFO data structure in DRAM (LLU specification)
Figure 273 shows an LLU partition
Figure 274 shows a dot generator RTL diagram
Figure 275 shows a DIU interface Figure 276 shows an interface controller state diagram
Figure 277 shows high-level data flow diagram of PHI in context
Figure 278 shows power on reset
Figure 279 shows printhead data rate equalization
Figure 280 shows a printhead structure and dot generate order Figure 281 shows an order of dot data generation and transmission
Figure 282 shows an order of dot data generation and transmission (single printhead case)
Figure 283 shows printhead interface timing parameters
Figure 284 shows printhead timing with margining
Figure 285 shows a PHI block partition Figure 286 shows a sync generator state diagram
Figure 287 shows a line sync de-glitch RTL diagram
Figure 288 shows a fire generator state diagram
Figure 289 shows a PHI controller state machine
Figure 290 shows a datapath unit partition Figure 291 shows a dot order controller state diagram
Figure 292 shows a data generator state diagram
Figure 293 shows data serializer timing
Figure 294 shows a data serializer RTL Diagram
Figure 295 shows printhead types 0 to 7 Figure 296 shows an ideal join between two dilithic printhead segments
Figure 297 shows an example of a join between two bilithic printhead segments
Figure 298 shows printable vs non-printable area under new definition
(looking at colors as if 1 row only)
Figure 299 shows identification of printhead nozzles and shift-register sequences for printheads in arrangement 1
Figure 300 shows demultiplexing of data within the printheads in arrangement 1
Figure 301 shows double data rate signalling for a type 0 printhead in arrangement 1
Figure 302 shows double data rate signalling for a type 1 printhead in arrangement 1
Figure 303 shows identification of printheads nozzles and shift-register sequences for printheads in arrangement 2
Figure 304 shows demultiplexing of data within the printheads in arrangement 2
Figure 305 shows double data rate signalling for a type 0 printhead in arrangement 2
Figure 306 shows double data rate signalling for a type 1 printhead in arrangement 2
Figure 307 shows all 8 printhead arrangements Figure 308 shows a printhead structure Figure 309 shows a column Structure
Figure 310 shows a printhead dot shift register dot mapping to page
Figure 311 shows data timing during printing
Figure 312 shows print quality Figure 313 shows fire and select shift register setup for printing
Figure 314 shows a fire pattern across butt end of printhead chips
Figure 315 shows fire pattern generation
Figure 316 shows determination of select shift register value
Figure 317 shows timing for printing signals figure 318 shows initialisation of printheads figure 319 shows a nozzle test latching circuit figure 320 shows nozzle testing figure 321 shows a temperature reading figure 322 shows CMOS testing figure 323 shows a reticle layout figure 324 shows a stepper pattern on Wafer
Figure 325 shows relationship between datasets
Figure 326 shows a validation hierarchy
Figure 327 shows development of operating system code Figure 328 shows protocol for directly verifying reads from ChipR
Figure 329 shows a protocol for signature translation protocol
Figure 330 shows a protocol for a direct authenticated write
Figure 331 shows an alternative protocol for a direct authenticated write
Figure 332 shows a protocol for basic update of permissions Figure 333 shows a protocol for a multiple-key update
Figure 334 shows a protocol for a single-key authenticated read
Figure 335 shows a protocol for a single-key authenticated write
Figure 336 shows a protocol for a single-key update of permissions
Figure 337 shows a protocol for a single-key update Figure 338 shows a protocol for a multiple-key single-M authenticated read
Figure 339 shows a protocol for a multiple-key authenticated write
Figure 340 shows a protocol for a multiple-key update of permissions
Figure 341 shows a protocol for a multiple-key update
Figure 342 shows a protocol for a multiple-key multiple-M authenticated read Figure 343 shows a protocol for a multiple-key authenticated write
Figure 344 shows a protocol for a multiple-key update of permissions
Figure 345 shows a protocol for a multiple-key update
Figure 346 shows relationship of permissions bits to M[n] access bits
Figure 347 shows 160-bit maximal period LFSR Figure 348 shows clock filter Figure 349 shows tamper detection line
Figure 350 shows an oversize nMOS transistor layout of Tamper Detection Line
Figure 351 shows a Tamper Detection Line
Figure 352 shows how Tamper Detection Lines cover the Noise Generator Figure 353 shows a prior art FET Implementation of CMOS inverter
Figure 354 shows non-flashing CMOS
Figure 355 shows components of a printer-based refill device
Figure 356 shows refilling of printers by printer-based refill device
Figure 357 shows components of a home refill station Figure 358 shows a three-ink reservoir unit
Figure 359 shows refill of ink cartridges in a home refill station
Figure 360 shows components of a commercial refill station
Figure 361 shows an ink reservoir unit
Figure 362 shows refill of ink cartridges in a commercial refill station (showing a single refill unit) Figure 363 shows equivalent signature generation
Figure 364 shows a basic field definition
Figure 365 shows an example of defining field sizes and positions
Figure 366 shows permissions
Figure 367 shows a first example of permissions for a field Figure 368 shows a second example of permissions for a field
Figure 369 shows field attributes
Figure 370 shows an output signature generation data format for Read
Figure 371 shows an input signature verification data format for Test
Figure 372 shows an output signature generation data format for Translate Figure 373 shows an input signature verification data format for WriteAuth
Figure 374 shows input signature data format for ReplaceKey
Figure 375 shows a key replacement map
Figure 376 shows a key replacement map after K-i is replaced
Figure 377 shows a key replacement process Figure 378 shows an output signature data format for GetProgramKey
Figure 379 shows transfer and rollback process
Figure 380 shows an upgrade flow
Figure 381 shows authorised ink refill paths in the printing system
Figure 382 shows an input signature verification data format for XferAmount Figure 383 shows a transfer and rollback process
Figure 384 shows an upgrade flow
Figure 385 shows authorised upgrade paths in the printing system
Figure 386 shows a direct signature validation sequence
Figure 387 shows signature validation using translation Figure 388 shows setup of preauth field attributes Figure 389 shows a high level block diagram of QA Chip
Figure 390 shows an analogue unit
Figure 391 shows a serial bus protocol for trimming
Figure 392 shows a block diagram of a trim unit Figure 393 shows a block diagram of a CPU of the QA chip
Figure 394 shows block diagram of an MIU
Figure 395 shows a block diagram of memory components
Figure 396 shows a first byte sent to an IOU
Figure 397 shows a block diagram of the IOU Figure 398 shows a relationship between external SDa and SCIk and generation of internal signals
Figure 399 shows block diagram of ALU
Figure 400 shows a block diagram of DataSel
Figure 401 shows a block diagram of ROR
Figure 402 shows a block diagram of the ALU's IO block Figure 403 shows a block diagram of PCU
Figure 404 shows a block diagram of an Address Generator Unit
Figure 405 shows a block diagram for a Counter Unit
Figure 406 shows a block diagram of PMU
Figure 407 shows a state machine for PMU Figure 408 shows a block diagram of MRU
Figure 409 shows simplified MAU state machine
Figure 410 shows power-on reset behaviour
Figure 411 shows a ring oscillator block diagram
Figure 412 shows a system clock duty cycle
DETAILED DESCRIPTION OF PREFERRED AND OTHER EMBODIMENTS It will be appreciated that the detailed description that follows takes the form of a highly detailed design of the invention, including supporting hardware and software. A high level of detailed disclosure is provided to ensure that one skilled in the art will have ample guidance for implementing the invention.
Imperative phrases such as "must", "requires", "necessary" and "important" (and similar language) should be read as being indicative of being necessary only for the preferred embodiment actually being described. As such, unless the opposite is clear from the context, imperative wording should not be interpreted as such. Nothing in the detailed description is to be understood as limiting the scope of the invention, which is intended to be defined as widely as is defined in the accompanying claims.
Indications of expected rates, frequencies, costs, and other quantitative values are exemplary and estimated only, and are made in good faith. Nothing in this specification should be read as implying that a particular commercial embodiment is or will be capable of a particular performance level in any measurable area.
It will be appreciated that the principles, methods and hardware described throughout this document can be applied to other fields. Much of the security-related disclosure, for example, can be applied to many other fields that require secure communications between entities, and certainly has application far beyond the field of printers.
SYSTEM OVERVIEW The preferred of the present invention is implemented in a printer using microelectromechanical systems (MEMS) printheads. The printer can receive data from, for example, a personal computer such as an IBM compatible PC or Apple computer. In other embodiments, the printer can receive data directly from, for example, a digital still or video camera. The particular choice of communication link is not important, and can be based, for example, on USB, Firewire, Bluetooth or any other wireless or hardwired communications protocol.
PRINT SYSTEM OVERVIEW
3 Introduction
This document describes the SoPEC (Small office home office Print Engine Controller) ASIC (Application Specific Integrated Circuit) suitable for use in, for example, SoHo printer products. The SoPEC ASIC is intended to be a low cost solution for bi-lithic printhead control, replacing the multichip solutions in larger more professional systems with a single chip. The increased cost competitiveness is achieved by integrating several systems such as a modified PEC1 printing pipeline, CPU control system, peripherals and memory sub-system onto one SoC ASIC, reducing component count and simplifying board design. This section will give a general introduction to Memjet printing systems, introduce the components that make a bi-lithic printhead system, describe possible system architectures and show how several SoPECs can be used to achieve A3 and A4 duplex printing. The section "SoPEC ASIC" describes the SoC SoPEC ASIC, with subsections describing the CPU, DRAM and Print Engine Pipeline subsystems. Each section gives a detailed description of the blocks used and their operation within the overall print system. The final section describes the bi-lithic printhead construction and associated implications to the system due to its makeup.
4 Nomenclature
4.1 BI-LITHIC PRINTHEAD NOTATION
A bi-lithic based printhead is constructed from 2 printhead ICs of varying sizes. The notation M:N is used to express the size relationship of each IC, where M specifies one printhead IC in inches and
N specifies the remaining printhead IC in inches.
The 'SoPEC/MoPEC Bilithic Printhead Reference' document [10] contains a description of the bi- lithic printhead and related terminology.
4.2 DEFINITIONS The following terms are used throughout this specification: Bi-lithic printhead Refers to printhead constructed from 2 printhead ICs CPU Refers to CPU core, caching system and MMU. ISI-Bridge chip A device with a high speed interface (such as USB2.0, Ethernet or IEEE1394) and one or more ISI interfaces. The ISI-Bridge would be the ISIMaster for each of the ISI buses it interfaces to.
ISIMaster The ISIMaster is the only device allowed to initiate communication on the Inter Sopec Interface (ISI) bus. The ISIMaster interfaces with the host.
ISISIave Multi-SoPEC systems will contain one or more ISISIave SoPECs connected to the ISI bus. ISISIaves can only respond to communication initiated by the ISIMaster.
LEON Refers to the LEON CPU core. LineSyncMaster The LineSyncMaster device generates the line synchronisation pulse that all SoPECs in the system must synchronise their line outputs to.
Multi-SoPEC Refers to SoPEC based print system with multiple SoPEC devices
Netpage Refers to page printed with tags (normally in infrared ink).
PEC1 Refers to Print Engine Controller version 1 , precursor to SoPEC used to control printheads constructed from multiple angled printhead segments.
Printhead IC Single MEMS IC used to construct bi-lithic printhead PrintMaster The PrintMaster device is responsible for coordinating all aspects of the print operation. There may only be one PrintMaster in a system. QA Chip Quality Assurance Chip Storage SoPEC An ISISIave SoPEC used as a DRAM store and which does not print. Tag Refers to pattern which encodes information about its position and orientation which allow it to be optically located and its data contents read. 4.3 ACRONYM AND ABBREVIATIONS
The following acronyms and abbreviations are used in this specification
CFU Contone FIFO Unit
CPU Central Processing Unit
DIU DRAM Interface Unit DNC Dead Nozzle Compensator
DRAM Dynamic Random Access Memory
DWU DotLine Writer Unit
GPIO General Purpose Input Output
HCU Halftoner Compositor Unit ICU Interrupt Controller Unit
ISI Inter SoPEC Interface
LDB Lossless Bi-level Decoder
LLU Line Loader Unit
LSS Low Speed Serial interface MEMS Micro Electro Mechanical System
MMU Memory Management Unit
PCU SoPEC Controller Unit
PHI PrintHead Interface
PSS Power Save Storage Unit RDU Real-time Debug Unit
ROM Read Only Memory
SCB Serial Communication Block
SFU Spot FIFO Unit
SMG4 Silverbrook Modified Group 4. SoPEC Small office home office Print Engine Controller
SRAM Static Random Access Memory
TE Tag Encoder
TFU Tag FIFO Unit
TIM Timers Unit USB Universal Serial Bus
4.4 PSEUDOCODE NOTATION
In general the pseudocode examples use C like statements with some exceptions.
Symbol and naming convections used for pseudocode.
// Comment = Assignment ==,!=,<,> Operator equal, not equal, less than, greater than
+,-,*,/,% Operator addition, subtraction, multiply, divide, modulus
&>|.Λ > <<,»,~ Bitwise AND, bitwise OR, bitwise exclusive OR, left shift, right shift, complement
AND.OR.NOT Logical AND, Logical OR, Logical inversion [XX:YY] Array/vector specifier
{a, b, c} Concatenation operation
++, - Increment and decrement
4.4.1 Register and signal naming conventions
In general register naming uses the C style conventions with capitalization to denote word delimiters. Signals use RTL style notation where underscore denote word delimiters. There is a direct translation between both convention. For example the CmdSourceFifo register is equivalent to cmd_source_fifo signal.
4.5 STATE MACHINE NOTATION
State machines should be described using the pseudocode notation outlined above. State machine descriptions use the convention of underline to indicate the cause of a transition from one state to another and plain text (no underline) to indicate the effect of the transition i.e. signal transitions which occur when the new state is entered.
A sample state machine is shown in Figure 1.
5 Printing Considerations A bi-lithic printhead produces 1600 dpi bi-level dots. On low-diffusion paper, each ejected drop forms a 22.5μm diameter dot. Dots are easily produced in isolation, allowing dispersed-dot dithering to be exploited to its fullest. Since the bi-lithic printhead is the width of the page and operates with a constant paper velocity, color planes are printed in perfect registration, allowing ideal dot-on-dot printing. Dot-on-dot printing minimizes 'muddying' of midtones caused by inter-color bleed. A page layout may contain a mixture of images, graphics and text. Continuous-tone (contone) images and graphics are reproduced using a stochastic dispersed-dot dither. Unlike a clustered-dot
(or amplitude-modulated) dither, a dispersed-dot (or frequency-modulated) dither reproduces high spatial frequencies (i.e. image detail) almost to the limits of the dot resolution, while simultaneously reproducing lower spatial frequencies to their full color depth, when spatially integrated by the eye. A stochastic dither matrix is carefully designed to be free of objectionable low-frequency patterns when tiled across the image. As such its size typically exceeds the minimum size required to support a particular number of intensity levels (e.g. 16χ16χ 8 bits for 257 intensity levels). Human contrast sensitivity peaks at a spatial frequency of about 3 cycles per degree of visual field and then falls off logarithmically, decreasing by a factor of 100 beyond about 40 cycles per degree and becoming immeasurable beyond 60 cycles per degree [25][25]. At a normal viewing distance of 12 inches (about 300mm), this translates roughly to 200-300 cycles per inch (cpi) on the printed page, or 400-600 samples per inch according to Nyquist's theorem.
In practice, contone resolution above about 300 ppi is of limited utility outside special applications such as medical imaging. Offset printing of magazines, for example, uses contone resolutions in the range 150 to 300 ppi. Higher resolutions contribute slightly to color error through the dither. Black text and graphics are reproduced directly using bi-level black dots, and are therefore not anti- aliased (i.e. low-pass filtered) before being printed. Text should therefore be supersampled beyond the perceptual limits discussed above, to produce smoother edges when spatially integrated by the eye. Text resolution up to about 1200 dpi continues to contribute to perceived text sharpness (assuming low-diffusion paper, of course).
A Netpage printer, for example, may use a contone resolution of 267 ppi (i.e. 1600 dpi / 6), and a black text and graphics resolution of 800 dpi. A high end office or departmental printer may use a contone resolution of 320 ppi (1600 dpi / 5) and a black text and graphics resolution of 1600 dpi. Both formats are capable of exceeding the quality of commercial (offset) printing and photographic reproduction.
6 Document Data Flow
6.1 CONSIDERATIONS
Because of the page-width nature of the bi-lithic printhead, each page must be printed at a constant speed to avoid creating visible artifacts. This means that the printing speed can't be varied to match the input data rate. Document rasterization and document printing are therefore decoupled to ensure the printhead has a constant supply of data. A page is never printed until it is fully rasterized. This can be achieved by storing a compressed version of each rasterized page image in memory. This decoupling also allows the RIP(s) to run ahead of the printer when rasterizing simple pages, buying time to rasterize more complex pages.
Because contone color images are reproduced by stochastic dithering, but black text and line graphics are reproduced directly using dots, the compressed page image format contains a separate foreground bi-level black layer and background contone color layer. The black layer is composited over the contone layer after the contone layer is dithered (although the contone layer has an optional black component). A final layer of Netpage tags (in infrared or black ink) is optionally added to the page for printout.
Figure 2 shows the flow of a document from computer system to printed page. At 267 ppi for example, a A4 page (8.26 inches x 11.7 inches) of contone CMYK data has a size of 26.3MB. At 320 ppi, an A4 page of contone data has a size of 37.8MB. Using lossy contone compression algorithms such as JPEG [27], contone images compress with a ratio up to 10:1 without noticeable loss of quality, giving compressed page sizes of 2.63MB at 267 ppi and 3.78 MB at 320 ppi.
At 800 dpi, a A4 page of bi-level data has a size of 7.4MB. At 1600 dpi, a Letter page of bi-level data has a size of 29.5 MB. Coherent data such as text compresses very well. Using lossless bi- level compression algorithms such as SMG4 fax as discussed in Section 8.1.2.3.1 , ten-point plain text compresses with a ratio of about 50:1. Lossless bi-level compression across an average page is about 20:1 with 10:1 possible for pages which compress poorly. The requirement for SoPEC is to be able to print text at 10:1 compression. Assuming 10:1 compression gives compressed page sizes of 0.74 MB at 800 dpi, and 2.95 MB at 1600 dpi. Once dithered, a page of CMYK contone image data consists of 116MB of bi-level data. Using lossless bi-level compression algorithms on this data is pointless precisely because the optimal dither is stochastic - i.e. since it introduces hard-to-compress disorder.
Netpage tag data is optionally supplied with the page image. Rather than storing a compressed bi- level data layer for the Netpage tags, the tag data is stored in its raw form. Each tag is supplied up to 120 bits of raw variable data (combined with up to 56 bits of raw fixed data) and covers up to a 6mm x 6mm area (at 1600 dpi). The absolute maximum number of tags on a A4 page is 15,540 when the tag is only 2mm x 2mm (each tag is 126 dots x 126 dots, for a total coverage of 148 tags x 105 tags). 15,540 tags of 128 bits per tag gives a compressed tag page size of 0.24 MB. The multi-layer compressed page image format therefore exploits the relative strengths of lossy JPEG contone image compression, lossless bi-level text compression, and tag encoding. The format is compact enough to be storage-efficient, and simple enough to allow straightforward realtime expansion during printing.
Since text and images normally don't overlap, the normal worst-case page image size is image only, while the normal best-case page image size is text only. The addition of worst case Netpage tags adds 0.24MB to the page image size. The worst-case page image size is text over image plus tags. The average page size assumes a quarter of an average page contains images. Table 1 shows data sizes for compressed Letter page for these different options. Table 1. Data sizes for A4 page (8.26 inches x 11.7 inches)
Figure imgf000053_0001
6.2 DOCUMENT DATA FLOW
The Host PC rasterizes and compresses the incoming document on a page by page basis. The page is restructured into bands with one or more bands used to construct a page. The compressed data is then transferred to the SoPEC device via the USB link. A complete band is stored in SoPEC embedded memory. Once the band transfer is complete the SoPEC device reads the compressed data, expands the band, normalizes contone, bi-level and tag data to 1600 dpi and transfers the resultant calculated dots to the bi-lithic printhead.
The document data flow is • The RIP software rasterizes each page description and compress the rasterized page image.
• The infrared layer of the printed page optionally contains encoded Netpage [5] tags at a programmable density. The compressed page image is transferred to the SoPEC device via the USB normally on a band by band basis. The print engine takes the compressed page image and starts the page expansion. The first stage page expansion consists of 3 operations performed in parallel expansion of the JPEG-com pressed contone layer expansion of the SMG4 fax compressed bi-level layer encoding and rendering of the bi-level tag data. The second stage dithers the contone layer using a programmable dither matrix, producing up to four bi-level layers at full-resolution. • The second stage then composites the bi-level tag data layer, the bi-level SMG4 fax decompressed layer and up to four bi-level JPEG de-compressed layers into the full-resolution page image.
• A fixative layer is also generated as required.
• The last stage formats and prints the bi-level data through the bi-lithic printhead via the printhead interface.
The SoPEC device can print a full resolution page with 6 color planes. Each of the color planes can be generated from compressed data through any channel (either JPEG compressed, bi-level SMG4 fax compressed, tag data generated, or fixative channel created) with a maximum number of 6 data channels from page RIP to bi-lithic printhead color planes. The mapping of data channels to color planes is programmable, this allows for multiple color planes in the printhead to map to the same data channel to provide for redundancy in the printhead to assist dead nozzle compensation.
Also a data channel could be used to gate data from another data channel. For example in stencil mode, data from the bilevel data channel at 1600 dpi can be used to filter the contone data channel at 320 dpi, giving the effect of 1600 dpi contone image. 6.3 PAGE CONSIDERATIONS DUE TO SOPEC
The SoPEC device typically stores a complete page of document data on chip. The amount of storage available for compressed pages is limited to 2Mbytes, imposing a fixed maximum on compressed page size. A comparison of the compressed image sizes in Table 2 indicates that SoPEC would not be capable of printing worst case pages unless they are split into bands and printing commences before all the bands for the page have been downloaded. The page sizes in the table are shown for comparison purposes and would be considered reasonable for a professional level printing system. The SoPEC device is aimed at the consumer level and would not be required to print pages of that complexity. Target document types for the SoPEC device are shown Table 2.
Table 2. Page content targets for SoPEC
Page Content Description Calculation Size
Figure imgf000055_0001
If a document with more complex pages is required, the page RIP software in the host PC can determine that there is insufficient memory storage in the SoPEC for that document. In such cases the RIP software can take two courses of action. It can increase the compression ratio until the compressed page size will fit in the SoPEC device, at the expense of document quality, or divide the page into bands and allow SoPEC to begin printing a page band before all bands for that page are downloaded. Once SoPEC starts printing a page it cannot stop, if SoPEC consumes compressed data faster than the bands can be downloaded a buffer underrun error could occur causing the print to fail. A buffer underrun occurs if a line synchronisation pulse is received before a line of data has been transferred to the printhead.
Other options which can be considered if the page does not fit completely into the compressed page store are to slow the printing or to use multiple SoPECs to print parts of the page. A Storage SoPEC ( Section 7.2.5) could be added to the system to provide guaranteed bandwidth data delivery. The print system could also be constructed using an ISI-Bridge chip (Section 7.2.6) to provide guaranteed data delivery. 7 Memjet Printer Architecture
The SoPEC device can be used in several printer configurations and architectures. In the general sense every SoPEC based printer architecture will contain: One or more SoPEC devices. • One or more bi-lithic printheads. Two or more LSS busses. Two or more QA chips. USB 1.1 connection to host or ISI connection to Bridge Chip. ISI bus connection between SoPECs (when multiple SoPECs are used). Some example printer configurations as outlined in Section 7.2. The various system components are outlined briefly in Section 7.1. 7.1 SYSTEM COMPONENTS 7.1.1 SoPEC Print Engine Controller
The SoPEC device contains several system on a chip (SoC) components, as well as the print engine pipeline control application specific logic. 7.1.1.1 Print Engine Pipeline (PEP) Logic
The PEP reads compressed page store data from the embedded memory, optionally decompresses the data and formats it for sending to the printhead. The print engine pipeline functionality includes expanding the page image, dithering the contone layer, compositing the black layer over the contone layer, rendering of Netpage tags, compensation for dead nozzles in the printhead, and sending the resultant image to the bi-lithic printhead.
7.1.1.2 Embedded CPU
SoPEC contains an embedded CPU for general purpose system configuration and management. The CPU performs page and band header processing, motor control and sensor monitoring (via the GPIO) and other system control functions. The CPU can perform buffer management or report buffer status to the host. The CPU can optionally run vendor application specific code for general print control such as paper ready monitoring and LED status update.
7.1.1.3 Embedded Memory Buffer
A 2.5Mbyte embedded memory buffer is integrated onto the SoPEC device, of which approximately 2Mbytes are available for compressed page store data. A compressed page is divided into one or more bands, with a number of bands stored in memory. As a band of the page is consumed by the
PEP for printing a new band can be downloaded. The new band may be for the current page or the next page.
Using banding it is possible to begin printing a page before the complete compressed page is downloaded, but care must be taken to ensure that data is always available for printing or a buffer underrun may occur.
An Storage SoPEC acting as a memory buffer (Section 7.2.5) or an ISI-Bridge chip with attached
DRAM (Section 7.2.6) could be used to provide guaranteed data delivery.
7.1.1.4 Embedded USB 1.1 Device The embedded USB 1.1 device accepts compressed page data and control commands from the host PC, and facilitates the data transfer to either embedded memory or to another SoPEC device in multi-SoPEC systems. 7.1.2 Bi-lithic Printhead The printhead is constructed by abutting 2 printhead ICs together. The printhead ICs can vary in size from 2 inches to 8 inches, so to produce an A4 printhead several combinations are possible.
For example two printhead ICs of 7 inches and 3 inches could be used to create a A4 printhead (the notation is 7:3). Similarly 6 and 4 combination (6:4), or 5:5 combination. For an A3 printhead it can be constructed from 8:6 or an 7:7 printhead IC combination. For photographic printing smaller printheads can be constructed. 7.1.3 LSS interface bus
Each SoPEC device has 2 LSS system buses for communication with QA devices for system authentication and ink usage accounting. The number of QA devices per bus and their position in the system is unrestricted with the exception that PRINTER_QA and INK_QA devices should be on separate LSS busses. 7.1.4 QA devices Each SoPEC system can have several QA devices. Normally each printing SoPEC will have an associated PRINTER_QA. Ink cartridges will contain an INK_QA chip. PRINTER_QA and INK_QA devices should be on separate LSS busses. All QA chips in the system are physically identical with flash memory contents defining PRINTER_QA from INK_QA chip. 7.1.5 ISI interface
The Inter-SoPEC Interface (ISI) provides a communication channel between SoPECs in a multi- SoPEC system. The ISIMaster can be SoPEC device or an ISI-Bridge chip depending on the printer configuration. Both compressed data and control commands are transferred via the interface. 7.1.6 ISI-Bridge Chip A device, other than a SoPEC with a USB connection, which provides print data to a number of slave SoPECs. A bridge chip will typically have a high bandwidth connection, such as USB2.0, Ethernet or IEEE1394, to a host and may have an attached external DRAM for compressed page storage. A bridge chip would have one or more ISI interfaces. The use of multiple ISI buses would allow the construction of independent print systems within the one printer. The ISI-Bridge would be the ISIMaster for each of the ISI buses it interfaces to. 7.2 POSSIBLE SoPEC SYSTEMS
Several possible SoPEC based system architectures exist. The following sections outline some possible architectures. It is possible to have extra SoPEC devices in the system used for DRAM storage. The QA chip configurations shown are indicative of the flexibility of LSS bus architecture, but not limited to those configurations.
7.2.1 A4 Simplex with 1 SoPEC device
In Figure 3, a single SoPEC device can be used to control two printhead ICs. The SoPEC receives compressed data through the USB device from the host. The compressed data is processed and transferred to the printhead.
7.2.2 A4 Duplex with 2 SoPEC devices
In Figure 4, two SoPEC devices are used to control two bi-lithic printheads, each with two printhead ICs. Each bi-lithic printhead prints to opposite sides of the same page to achieve duplex printing. The SoPEC connected to the USB is the ISIMaster SoPEC, the remaining SoPEC is an ISISIave. The ISIMaster receives all the compressed page data for both SoPECs and re-distributes the compressed data over the Inter-SoPEC Interface (ISI) bus.
It may not be possible to print an A4 page every 2 seconds in this configuration since the USB 1.1 connection to the host may not have enough bandwidth. An alternative would be for each SoPEC to have its own USB 1.1 connection. This would allow a faster average print speed. 7.2.3 A3 Simplex with 2 SoPEC devices
In Figure 5, two SoPEC devices are used to control one A3 bi-lithic printhead. Each SoPEC controls only one printhead IC (the remaining PHI port typically remains idle). This system uses the SoPEC with the USB connection as the ISIMaster. In this dual SoPEC configuration the compressed page store data is split across 2 SoPECs giving a total of 4Mbyte page store, this allows the system to use compression rates as in an A4 architecture, but with the increased page size of A3. The ISIMaster receives all the compressed page data for all SoPECs and re-distributes the compressed data over the Inter-SoPEC Interface (ISI) bus.
It may not be possible to print an A3 page every 2 seconds in this configuration since the USB 1.1 connection to the host will only have enough bandwidth to supply 2Mbytes every 2 seconds. Pages which require more than 2MBytes every 2 seconds will therefore print more slowly. An alternative would be for each SoPEC to have its own USB 1.1 connection. This would allow a faster average print speed.
7.2.4 A3 Duplex with 4 SoPEC devices In Figure 6 a 4 SoPEC system is shown. It contains 2 A3 bi-lithic printheads, one for each side of an A3 page. Each printhead contain 2 printhead ICs, each printhead IC is controlled by an independent SoPEC device, with the remaining PHI port typically unused. Again the SoPEC with USB 1.1 connection is the ISIMaster with the other SoPECs as ISISIaves. In total, the system contains δMbytes of compressed page store (2Mbytes per SoPEC), so the increased page size does not degrade the system print quality, from that of an A4 simplex printer. The ISIMaster receives all the compressed page data for all SoPECs and re-distributes the compressed data over the Inter- SoPEC Interface (ISI) bus.
It may not be possible to print an A3 page every 2 seconds in this configuration since the USB 1.1 connection to the host will only have enough bandwidth to supply 2Mbytes every 2 seconds. Pages which require more than 2MByt.es every 2 seconds will therefore print more slowly. An alternative would be for each SoPEC or set of SoPECs on the same side of the page to have their own USB 1.1 connection (as ISISIaves may also have direct USB connections to the host). This would allow a faster average print speed.
7.2.5 SoPEC DRAM storage solution: A4 Simplex with 1 printing SoPEC and 1 memory SoPEC Extra SoPECs can be used for DRAM storage e.g. in Figure 7 an A4 simplex printer can be built with a single extra SoPEC used for DRAM storage. The DRAM SoPEC can provide guaranteed bandwidth delivery of data to the printing SoPEC. SoPEC configurations can have multiple extra SoPECs used for DRAM storage.
7.2.6 ISI-Bridge chip solution: A3 Duplex system with 4 SoPEC devices In Figure 8, an ISI-Bridge chip provides slave-only ISI connections to SoPEC devices. Figure 8 shows a ISI-Bridge chip with 2 separate ISI ports. The ISI-Bridge chip is the ISIMaster on each of the ISI busses it is connected to. All connected SoPECs are ISISIaves. The ISI-Bridge chip will typically have a high bandwidth connection to a host and may have an attached external DRAM for compressed page storage. An alternative to having a ISI-Bridge chip would be for each SoPEC or each set of SoPECs on the same side of a page to have their own USB 1.1 connection. This would allow a faster average print speed.
8 Page Format and Printflow When rendering a page, the RIP produces a page header and a number of bands (a non-blank page requires at least one band) for a page. The page header contains high level rendering parameters, and each band contains compressed page data. The size of the band will depend on the memory available to the RIP, the speed of the RIP, and the amount of memory remaining in SoPEC while printing the previous band(s). Figure 9 shows the high level data structure of a number of pages with different numbers of bands in the page. Each compressed band contains a mandatory band header, an optional bi-level plane, optional sets of interleaved contone planes, and an optional tag data plane (for Netpage enabled applications). Since each of these planes is optional1, the band header specifies which planes are included with the band. Figure 10 gives a high-level breakdown of the contents of a page band.
A single SoPEC has maximum rendering restrictions as follows:
• 1 bi-level plane
• 1 contone interleaved plane set containing a maximum of 4 contone planes
• 1 tag data plane
• a bi-lithic printhead with a maximum of 2 printhead ICs The requirement for single-sided A4 single SoPEC printing is
• average contone JPEG compression ratio of 10:1 , with a local minimum compression ratio of 5:1 for a single line of interleaved JPEG blocks.
• average bi-level compression ratio of 10:1, with a local minimum compression ratio of 1 :1 for a single line. If the page contains rendering parameters that exceed these specifications, then the RIP or the Host PC must split the page into a format that can be handled by a single SoPEC. In the general case, the SoPEC CPU must analyze the page and band headers and generate an appropriate set of register write commands to configure the units in SoPEC for that page. The various bands are passed to the destination SoPEC(s) to locations in DRAM determined by the host.
The host keeps a memory map for the DRAM, and ensures that as a band is passed to a SoPEC, it is stored in a suitable free area in DRAM. Each SoPEC is connected to the ISI bus or USB bus via its Serial communication Block (SCB). The SoPEC CPU configures the SCB to allow compressed data bands to pass from the USB or ISI through the SCB to SoPEC DRAM. Figure 11 shows an example data flow for a page destined to be printed by a single SoPEC. Band usage information is generated by the individual SoPECs and passed back to the host.
SoPEC has an addressing mechanism that permits circular band memory allocation, thus facilitating easy memory management. However it is not strictly necessary that all bands be stored together. As long as the appropriate registers in SoPEC are set up for each band, and a given band is contiguous , the memory can be allocated in any way.
1Although a band must contain at least one plane
Contiguous allocation also includes wrapping around in SoPECs band store memory. 8.1 PRINT ENGINE EXAMPLE PAGE FORMAT
This section describes a possible format of compressed pages expected by the embedded CPU in SoPEC. The format is generated by software in the host PC and interpreted by embedded software in SoPEC. This section indicates the type of information in a page format structure, but implementations need not be limited to this format. The host PC can optionally perform the majority of the header processing. The compressed format and the print engines are designed to allow real-time page expansion during printing, to ensure that printing is never interrupted in the middle of a page due to data underrun. The page format described here is for a single black bi-level layer, a contone layer, and a Netpage tag layer. The black bi-level layer is defined to composite over the contone layer. The black bi-level layer consists of a bitmap containing a 1-bit opacity for each pixel. This black layer matte has a resolution which is an integer or non-integer factor of the printer's dot resolution. The highest supported resolution is 1600 dpi, i.e. the printer's full dot resolution. The contone layer, optionally passed in as YCrCb, consists of a 24-bit CMY or 32-bit CMYK color for each pixel. This contone image has a resolution which is an integer or non-integer factor of the printer's dot resolution. The requirement for a single SoPEC is to support 1 side per 2 seconds A4/Letter printing at a resolution of 267 ppi, i.e. one-sixth the printer's dot resolution. Non-integer scaling can be performed on both the contone and bi-level images. Only integer scaling can be performed on the tag data. The black bi-level layer and the contone layer are both in compressed form for efficient storage in the printer's internal memory. 8.1.1 Page structure A single SoPEC is able to print with full edge bleed for Letter and A3 via different stitch part combinations of the bi-lithic printhead. It imposes no margins and so has a printable page area which corresponds to the size of its paper. The target page size is constrained by the printable page area, less the explicit (target) left and top margins specified in the page description. These relationships are illustrated below. 8.1.2 Compressed page format Apart from being implicitly defined in relation to the printable page area, each page description is complete and self-contained. There is no data stored separately from the page description to which the page description refers. The page description consists of a page header which describes the size and resolution of the page, followed by one or more page bands which describe the actual page content. 8.1.2.1 Page header Table 3 shows an example format of a page header.
3SoPEC relies on dither matrices and tag structures to have already been set up, but these are not considered to be part of a general page format. It is trivial to extend the page format to allow exact specification of dither matrices and tag structures. Table 3. Page header format
Figure imgf000061_0001
Figure imgf000062_0001
Figure imgf000063_0001
The page header contains a signature and version which allow the CPU to identify the page header format. If the signature and/or version are missing or incompatible with the CPU, then the CPU can reject the page.
The contone flags define how many contone layers are present, which typically is used for defining whether the contone layer is CMY or CMYK. Additionally, if the color planes are CMY, they can be optionally stored as YCrCb, and further optionally color space converted from CMY directly or via
RGB. Finally the contone data is specified as being either JPEG compressed or non-compressed. The page header defines the resolution and size of the target page. The bi-level and contone layers are clipped to the target page if necessary. This happens whenever the bi-level or contone scale factors are not factors of the target page width or height.
The target left, top, right and bottom margins define the positioning of the target page within the printable page area. The tag parameters specify whether or not Netpage tags should be produced for this page and what orientation the tags should be produced at (landscape or portrait mode). The fixed tag data is also provided.
The contone, bi-level and tag layer parameters define the page size and the scale factors.
8.1.2.2 Band format Table 4 shows the format of the page band header. Table 4. Band header format
Figure imgf000063_0002
h/ersion 16-bit integer Page band header format version number. structure size 16-bit integer Size of page band header. bi-level layer band height 16-bit integer Height of bi-level layer band, in black pixels. bi-level layer band data size 32-bit integer Size of bi-level layer band data, in bytes. contone band height 16-bit integer Height of contone band, in contone pixels. contone band data size 32-bit integer Size of contone plane band data, in bytes. Hag band height 16-bit integer Height of tag band, in dots. tag band data size 32-bit integer Size of unencoded tag data band, in bytes. Can 0 which indicates that no tag data is provided. reserved up to 128 bytes Reserved and 0 pads out band header to multiple of 128 bytes.
The bi-level layer parameters define the height of the black band, and the size of its compressed band data. The variable-size black data follows the page band header.
The contone layer parameters define the height of the contone band, and the size of its compressed page data. The variable-size contone data follows the black data.
The tag band data is the set of variable tag data half-lines as required by the tag encoder. The format of the tag data is found in Section 26.5.2. The tag band data follows the contone data.
Table 5 shows the format of the variable-size compressed band data which follows the page band header. Table 5. Page band data format field fformat Description black data Modified G4 facsimile bitstream Compressed bi-level layer. contone data UPEG bytestream Compressed contone datalayer. tag data map [Tag data array [Tag data format. See Section 26.5.2.
The start of each variable-size segment of band data should be aligned to a 256-bit DRAM word boundary.
The following sections describe the format of the compressed bi-level layers and the compressed contone layer, section 26.5.1 on page 442 describes the format of the tag data structures.
8.1.2.3 Bi-level data compression
The (typically 1600 dpi) black bi-level layer is losslessly compressed using Silverbrook Modified
Group 4 (SMG4) compression which is a version of Group 4 Facsimile compression [22] without
Huffman and with simplified run length encodings. Typically compression ratios exceed 10:1. The encoding are listed in Table 6 and Table 7. Table 6. Bi-Level group 4 facsimile style compression encodings
Encoding Description same as Group 41000 Pass Command: aO <- b2, skip next two edges
See section 8.1.2.3 on page 63 for note regarding the use of this standard
Figure imgf000065_0001
activated by a special run-length code. Pass through mode continues to either end of line or for a pre-programmed number of bits, whichever is shorter. The special run-length code is always executed as a run-length code, followed by pass through. The pass through escape code is a medium length run-length with a run of less than or equal to 31. Table 7. Run length (RL) encodings
Figure imgf000065_0002
Since the compression is a bitstream, the encodings are read right (least significant bit) to left (most significant bit). The run lengths given as RRRR in Table are read in the same way (least significant bit at the right to most significant bit at the left).
Each band of bi-level data is optionally self contained. The first line of each band therefore is based on a 'previous' blank line or the last line of the previous band.
8.1.2.3.1 Group 3 and 4 facsimile compression
The Group 3 Facsimile compression algorithm [22] losslessly compresses bi-level data for transmission over slow and noisy telephone lines. The bi-level data represents scanned black text and graphics on a white background, and the algorithm is tuned for this class of images (it is explicitly not tuned, for example, for halftoned bi-level images). The 1D Group 3 algorithm runlength-encodes each scanline and then Huffman-encodes the resulting runlengths. Runlengths in the range 0 to 63 are coded with terminating codes. Runlengths in the range 64 to 2623 are coded with make-up codes, each representing a multiple of 64, followed by a terminating code. Runlengths exceeding 2623 are coded with multiple make-up codes followed by a terminating code. The Huffman tables are fixed, but are separately tuned for black and white runs (except for make-up codes above 1728, which are common). When possible, the 2D Group 3 algorithm encodes a scanline as a set of short edge deltas (0, +1 , +2, +3) with reference to the previous scanline. The delta symbols are entropy-encoded (so that the zero delta symbol is only one bit long etc.) Edges within a 2D-encoded line which can't be delta-encoded are runlength-encoded, and are identified by a prefix. 1 D- and 2D-encoded lines are marked differently. 1 D-encoded lines are generated at regular intervals, whether actually required or not, to ensure that the decoder can recover from line noise with minimal image degradation. 2D Group 3 achieves compression ratios of up to 6:1 [32]. The Group 4 Facsimile algorithm [22] losslessly compresses bi-level data for transmission over error-free communications lines (i.e. the lines are truly error-free, or error-correction is done at a lower protocol level). The Group 4 algorithm is based on the 2D Group 3 algorithm, with the essential modification that since transmission is assumed to be error-free, ID-encoded lines are no longer generated at regular intervals as an aid to error-recovery. Group 4 achieves compression ratios ranging from 20:1 to 60:1 for the CCITT set of test images [32]. The design goals and performance of the Group 4 compression algorithm qualify it as a compression algorithm for the bi-level layers. However, its Huffman tables are tuned to a lower scanning resolution (100-400 dpi), and it encodes runlengths exceeding 2623 awkwardly. 8.1.2.4 Contone data compression
The contone layer (CMYK) is either a non-compressed bytestream or is compressed to an interleaved JPEG bytestream. The JPEG bytestream is complete and self-contained. It contains all data required for decompression, including quantization and Huffman tables.
The contone data is optionally converted to YCrCb before being compressed (there is no specific advantage in color-space converting if not compressing). Additionally, the CMY contone pixels are optionally converted (on an individual basis) to RGB before color conversion using R=255-C, G=255-M, B=255-Y. Optional bitwise inversion of the K plane may also be performed. Note that this CMY to RGB conversion is not intended to be accurate for display purposes, but rather for the purposes of later converting to YCrCb. The inverse transform will be applied before printing. 8.1.2.4.1 JPEG compression
The JPEG compression algorithm [27] lossily compresses a contone image at a specified quality level. It introduces imperceptible image degradation at compression ratios below 5:1 , and negligible image degradation at compression ratios below 10:1 [33].
JPEG typically first transforms the image into a color space which separates luminance and chrominance into separate color channels. This allows the chrominance channels to be subsampled without appreciable loss because of the human visual system's relatively greater sensitivity to luminance than chrominance. After this first step, each color channel is compressed separately. The image is divided into 8x8 pixel blocks. Each block is then transformed into the frequency domain via a discrete cosine transform (DCT). This transformation has the effect of concentrating image energy in relatively lower-frequency coefficients, which allows higher-frequency coefficients to be more crudely quantized. This quantization is the principal source of compression in JPEG. Further compression is achieved by ordering coefficients by frequency to maximize the likelihood of adjacent zero coefficients, and then runlength-encoding runs of zeroes. Finally, the runlengths and non-zero frequency coefficients are entropy coded. Decompression is the inverse process of compression. 8.1.2.4.2 Non-compressed format If the contone data is non-compressed, it must be in a block-based format bytestream with the same pixel order as would be produced by a JPEG decoder. The bytestream therefore consists of a series of 8x8 block of the original image, starting with the top left 8x8 block, and working horizontally across the page (as it will be printed) until the top rightmost 8x8 block, then the next row of 8x8 blocks (left to right) and so on until the lower row of 8x8 blocks (left to right). Each 8x8 block consists of 64 8-bit pixels for color plane 0 (representing 8 rows of 8 pixels in the order top left to bottom right) followed by 64 8-bit pixels for color plane 1 and so on for up to a maximum of 4 color planes.
If the original image is not a multiple of 8 pixels in X or Y, padding must be present (the extra pixel data will be ignored by the setting of margins). 8.1.2.4.3 Compressed format
If the contone data is compressed the first memory band contains JPEG headers (including tables) plus MCUs (minimum coded units). The ratio of space between the various color planes in the JPEG stream is 1 :1 :1 :1. No subsampling is permitted. Banding can be completely arbitrary i.e there can be multiple JPEG images per band or 1 JPEG image divided over multiple bands. The break between bands is only memory alignment based. 8.1.2.4.4 Conversion of RGB to YCrCb (in RIP)
YCrCb is defined as per CCIR 601-1 [24] except that Y, Cr and Cb are normalized to occupy all 256 levels of an 8-bit binary encoding and take account of the actual hardware implementation of the inverse transform within SoPEC. The exact color conversion computation is as follows:
• Y* = (9805/32768)R + (19235/32768)G + (3728/32768)B
• Cr* = (16375/32768)R - (13716/32768)G - (2659/32768)B + 128
• Cb* = -(5529/32768)R - (10846/32768)G + (16375/32768)B + 128
Y, Cr and Cb are obtained by rounding to the nearest integer. There is no need for saturation since ranges of Y*, Cr* and Cb* after rounding are [0-255], [1 -255] and [1 -255] respectively. Note that full accuracy is possible with 24 bits. See [14] for more information.
SoPEC ASIC
9 Overview
The Small Office Home Office Print Engine Controller (SoPEC) is a page rendering engine ASIC that takes compressed page images as input, and produces decompressed page images at up to 6 channels of bi-level dot data as output. The bi-level dot data is generated for the Memjet bi-lithic printhead. The dot generation process takes account of printhead construction, dead nozzles, and allows for fixative generation.
A single SoPEC can control 2 bi-lithic printheads and up to 6 color channels at 10,000 lines/sec5, equating to 30 pages per minute. A single SoPEC can perform full-bleed printing of A3, A4 and Letter pages. The 6 channels of colored ink are the expected maximum in a consumer SOHO, or office Bi-lithic printing environment: • CMY, for regular color printing. K, for black text, line graphics and gray-scale printing.* IR (infrared), for Netpage-enabled [5] applications. F (fixative), to enable printing at high speed. Because the bi-lithic printer is capable of printing so fast, a fixative may be required to enable the ink to dry before the page touches the page already printed. Otherwise the pages may bleed on each other. In low speed printing environments the fixative may not be required. SoPEC is color space agnostic. Although it can accept contone data as CMYX or RGBX, where X is an optional 4th channel, it also can accept contone data in any print color space. Additionally, SoPEC provides a mechanism for arbitrary mapping of input channels to output channels, including combining dots for ink optimization, generation of channels based on any number of other channels etc. However, inputs are typically CMYK for contone input, K for the bi-level input, and the optional Netpage tag dots are typically rendered to an infra-red layer. A fixative channel is typically generated for fast printing applications.
SoPEC is resolution agnostic. It merely provides a mapping between input resolutions and output resolutions by means of scale factors. The expected output resolution is 1600 dpi, but SoPEC actually has no knowledge of the physical resolution of the Bi-lithic printhead. SoPEC is page-length agnostic. Successive pages are typically split into bands and downloaded into the page store as each band of information is consumed and becomes free. SoPEC provides an interface for synchronization with other SoPECs. This allows simple multi- SoPEC solutions for simultaneous A3/A4/Letter duplex printing. However, SoPEC is also capable of printing only a portion of a page image. Combining synchronization functionality with partial page rendering allows multiple SoPECs to be readily combined for alternative printing requirements including simultaneous duplex printing and wide format printing. Table 8 lists some of the features and corresponding benefits of SoPEC. Table 8. Features and Benefits of SoPEC
Figure imgf000068_0001
510,000 lines per second equates to 30 A4/Letter pages per minute at 1600 dpi
Figure imgf000069_0001
Figure imgf000070_0001
9.1 PRINTING RATES The required printing rate for SoPEC is 30 sheets per minute with an inter-sheet spacing of 4 cm. To achieve a 30 sheets per minute print rate, this requires: 300mm x 63 (dot/mm) / 2 sec = 105.8 μseconds per line, with no inter-sheet gap. 340mm x 63 (dot/mm) / 2 sec = 93.3 μseconds per line, with a 4 cm inter-sheet gap. A Printline for an A4 page consists of 13824 nozzles across the page [2]. At a system clock rate of 160 MHz 13824 dots of data can be generated in 86.4 μseconds. Therefore data can be generated fast enough to meet the printing speed requirement. It is necessary to deliver this print data to the print-heads. Printheads can be made up of 5:5, 6:4, 7:3 and 8:2 inch printhead combinations [2]. Print data is transferred to both print heads in a pair simultaneously. This means the longest time to print a line is determined by the time to transfer print data to the longest print segment. There are 9744 nozzles across a 7 inch printhead. The print data is transferred to the printhead at a rate of 106 MHz (2/3 of the system clock rate) per color plane. This means that it will take 91.9 μs to transfer a single line for a 7:3 printhead configuration. So we can meet the requirement of 30 sheets per minute printing with a 4 cm gap with a 7:3 printhead combination. There are 11160 across an 8 inch printhead. To transfer the data to the printhead at 106 MHz will take 105.3 μs. So an 8:2 printhead combination printing with an inter-sheet gap will print slower than 30 sheets per minute. 9.2 SoPEC BASIC ARCHITECTURE From the highest point of view the SoPEC device consists of 3 distinct subsystems CPU Subsystem DRAM Subsystem Print Engine Pipeline (PEP) Subsystem See Figure 13 for a block level diagram of SoPEC. 9.2.1 CPU Subsystem
The CPU subsystem controls and configures all aspects of the other subsystems. It provides general support for interfacing and synchronising the external printer with the internal print engine. It also controls the low speed communication to the QA chips. The CPU subsystem contains various peripherals to aid the CPU, such as GPIO (includes motor control), interrupt controller, LSS Master and general timers. The Serial Communications Block (SCB) on the CPU subsystem provides a full speed USB1.1 interface to the host as well as an Inter SoPEC Interface (ISI) to other SoPEC devices. 9.2.2 DRAM Subsystem The DRAM subsystem accepts requests from the CPU, Serial Communications Block (SCB) and blocks within the PEP subsystem. The DRAM subsystem (in particular the DIU) arbitrates the various requests and determines which request should win access to the DRAM. The DIU arbitrates based on configured parameters, to allow sufficient access to DRAM for all requestors. The DIU also hides the implementation specifics of the DRAM such as page size, number of banks, refresh rates etc.
9.2.3 Print Engine Pipeline (PEP) subsystem
The Print Engine Pipeline (PEP) subsystem accepts compressed pages from DRAM and renders them to bi-level dots for a given print line destined for a printhead interface that communicates directly with up to 2 segments of a bi-lithic printhead.
The first stage of the page expansion pipeline is the CDU, LBD and TE. The CDU expands the
JPEG-com pressed contone (typically CMYK) layer, the LBD expands the compressed bi-level layer
(typically K), and the TE encodes Netpage tags for later rendering (typically in IR or K ink). The output from the first stage is a set of buffers: the CFU, SFU, and TFU. The CFU and SFU buffers are implemented in DRAM.
The second stage is the HCU, which dithers the contone layer, and composites position tags and the bi-level spotO layer over the resulting bi-level dithered layer. A number of options exist for the way in which compositing occurs. Up to 6 channels of bi-level data are produced from this stage.
Note that not all 6 channels may be present on the printhead. For example, the printhead may be
CMY only, with K pushed into the CMY channels and IR ignored. Alternatively, the position tags may be printed in K if IR ink is not available (or for testing purposes).
The third stage (DNC) compensates for dead nozzles in the printhead by color redundancy and error diffusing dead nozzle data into surrounding dots.
The resultant bi-level 6 channel dot-data (typically CMYK-IRF) is buffered and written out to a set of line buffers stored in DRAM via the DWU.
Finally, the dot-data is loaded back from DRAM, and passed to the printhead interface via a dot
FIFO. The dot FIFO accepts data from the LLU at the system clock rate (pclk), while the PHI removes data from the FIFO and sends it to the printhead at a rate of 2/3 times the system clock rate (see Section 9.1 ).
9.3 SoPEC BLOCK DESCRIPTION Looking at Figure 13, the various units are described here in summary form: Table 9. Units within SoPEC
Figure imgf000071_0001
Figure imgf000072_0001
Figure imgf000073_0001
9.4 ADDRESSING SCHEME IN SOPEC SoPEC must address 20 Mbit DRAM. • PCU addressed registers in PEP. CPU-subsystem addressed registers. SoPEC has a unified address space with the CPU capable of addressing all CPU-subsystem and PCU-bus accessible registers (in PEP) and all locations in DRAM. The CPU generates byte-aligned addresses for the whole of SoPEC. 22 bits are sufficient to byte address the whole SoPEC address space.
9.4.1 DRAM addressing scheme
The embedded DRAM is composed of 256-bit words. However the CPU-subsystem may need to write individual bytes of DRAM. Therefore it was decided to make the DIU byte addressable. 22 bits are required to byte address 20 Mbits of DRAM. Most blocks read or write 256-bit words of DRAM. Therefore only the top 17 bits i.e. bits 21 to 5 are required to address 256-bit word aligned locations.
The exceptions are CDU which can write 64-bits so only the top 19 address bits i.e. bits 21-3 are required. The CPU-subsystem always generates a 22-bit byte-aligned DIU address but it will send flags to the DIU indicating whether it is an 8, 16 or 32-bit write.
All DIU accesses must be within the same 256-bit aligned DRAM word.
9.4.2 PEP Unit DRAM addressing PEP Unit configuration registers which specify DRAM locations should specify 256-bit aligned DRAM addresses i.e. using address bits 21 :5. Legacy blocks from PEC1 e.g. the LBD and TE may need to specify 64-bit aligned DRAM addresses if these reused blocks DRAM addressing is difficult to modify. These 64-bit aligned addresses require address bits 21 :3. However, these 64-bit aligned addresses should be programmed to start at a 256-bit DRAM word boundary.
Unlike PEC1 , there are no constraints in SoPEC on data organization in DRAM except that all data structures must start on a 256-bit DRAM boundary. If data stored is not a multiple of 256-bits then the last word should be padded.
9.4.3 CPU subsystem bus addressed registers The CPU subsystem bus supports 32-bit word aligned read and write accesses with variable access timings. See section 11.4 for more details of the access protocol used on this bus. The CPU subsystem bus does not currently support byte reads and writes but this can be added at a later date if required by imported IP.
9.4.4 PCU addressed registers in PEP The PCU only supports 32-bit register reads and writes for the PEP blocks. As the PEP blocks only occupy a subsection of the overall address map and the PCU is explicitly selected by the MMU when a PEP block is being accessed the PCU does not need to perform a decode of the higher- order address bits. See Table 11 for the PEP subsystem address map. 9.5 SoPEC MEMORY MAP 9.5.1 Main memory map
The system wide memory map is shown in Figure 14 below. The memory map is discussed in detail in Section 11 11 Central Processing Unit (CPU).
9.5.2 CPU-bus peripherals address map
The address mapping for the peripherals attached to the CPU-bus is shown in Table 10 below. The MMU performs the decode of cpu_adr[21:12] to generate the relevant cpu_block_select signal for each block. The addressed blocks decode however many of the lower order bits of cpujadr[11:2] are required to address all the registers within the block. Table 10. CPU-bus peripherals address map
Figure imgf000074_0001
Figure imgf000075_0001
9.5.3 PCU Mapped Registers (PEP blocks) address map
The PEP blocks are addressed via the PCU. From Figure 14, the PCU mapped registers are in the range 0x0002_0000 to 0x0002_BFFF. From Table 11 it can be seen that there are 12 sub-blocks within the PCU address space. Therefore, only four bits are necessary to address each of the sub- blocks within the PEP part of SoPEC. A further 12 bits may be used to address any configurable register within a PEP block. This gives scope for 1024 configurable registers per sub-block (the PCU mapped registers are all 32-bit addressed registers so the upper 10 bits are required to individually address them). This address will come either from the CPU or from a command stored in DRAM. The bus is assembled as follows: address[15:12] = sub-block address, address[n:2] = register address within sub-block, only the number of bits required to decode the registers within each sub-block are used, address[1 :0] = byte address, unused as PCU mapped registers are all 32-bit addressed registers. So for the case of the HCU, its addresses range from 0x7000 to 0x7FFF within the PEP subsystem or from 0x0002_7000 to 0x0002_7FFF in the overall system. Table 11. PEP blocks address map
Figure imgf000075_0002
9.6 BUFFER MANAGEMENT IN SoPEC As outlined in Section 9.1 , SoPEC has a requirement to print 1 side every 2 seconds i.e. 30 sides per minute. 9.6.1 Page buffering Approximately 2 Mbytes of DRAM are reserved for compressed page buffering in SoPEC. If a page is compressed to fit within 2 Mbyte then a complete page can be transferred to DRAM before printing. However, the time to transfer 2 Mbyte using USB 1.1 is approximately 2 seconds. The worst case cycle time to print a page then approaches 4 seconds. This reduces the worst-case print speed to 15 pages per minute. 9.6.2 Band buffering
The SoPEC page-expansion blocks support the notion of page banding. The page can be divided into bands and another band can be sent down to SoPEC while we are printing the current band. Therefore we can start printing once at least one band has been downloaded. The band size granularity should be carefully chosen to allow efficient use of the USB bandwidth and DRAM buffer space. It should be small enough to allow seamless 30 sides per minute printing but not so small as to introduce excessive CPU overhead in orchestrating the data transfer and parsing the band headers. Band-finish interrupts have been provided to notify the CPU of free buffer space. It is likely that the host PC will supervise the band transfer and buffer management instead of the SoPEC CPU.
If SoPEC starts printing before the complete page has been transferred to memory there is a risk of a buffer underrun occurring if subsequent bands are not transferred to SoPEC in time e.g. due to insufficient USB bandwidth caused by another USB peripheral consuming USB bandwidth. A buffer underrun occurs if a line synchronisation pulse is received before a line of data has been transferred to the printhead and causes the print job to fail at that line. If there is no risk of buffer underrun then printing can safely start once at least one band has been downloaded. If there is a risk of a buffer underrun occurring due to an interruption of compressed page data transfer, then the safest approach is to only start printing once we have loaded up the data for a complete page. This means that a worst case latency in the region of 2 seconds (with USB1.1) will be incurred before printing the first page. Subsequent pages will take 2 seconds to print giving us the required sustained printing rate of 30 sides per minute.
A Storage SoPEC (Section 7.2.5) could be added to the system to provide guaranteed bandwidth data delivery. The print system could also be constructed using an ISI-Bridge chip (Section 7.2.6) to provide guaranteed data delivery. The most efficient page banding strategy is likely to be determined on a per page/ print job basis and so SoPEC will support the use of bands of any size. 10 SoPEC Use Cases 10.1 INTRODUCTION This chapter is intended to give an overview of a representative set of scenarios or use cases which SoPEC can perform. SoPEC is by no means restricted to the particular use cases described and not every SoPEC system is considered here. In this chapter we discuss SoPEC use cases under four headings:
1 ) Normal operation use cases.
2) Security use cases. 3) Miscellaneous use cases. 4) Failure mode use cases.
Use cases for both single and multi-SoPEC systems are outlined. Some tasks may be composed of a number of sub-tasks.
The realtime requirements for SoPEC software tasks are discussed in " 11 Central Processing Unit (CPU)" under Section 11.3 Realtime requirements.
10.2 NORMAL OPERATION IN A SINGLE SOPEC SYSTEM WITH USB HOST CONNECTION SoPEC operation is broken up into a number of sections which are outlined below. Buffer management in a SoPEC system is normally performed by the host. 10.2.1 Powerup Powerup describes SoPEC initialisation following an external reset or the watchdog timer system reset. A typical powerup sequence is:
1 ) Execute reset sequence for com plete SoPEC.
2) CPU boot from ROM. 3) Basic configuration of CPU peripherals, SCB and DIU. DRAM initialisation. USB Wakeup.
4) Download and authentication of program (see Section 10.5.2).
5) Execution of program from DRAM.
6) Retrieve operating parameters from PRINTER_QA and authenticate operating parameters.
7) Download and authenticate any further datasets. 10.2.2 USB wakeup
The CPU can put different sections of SoPEC into sleep mode by writing to registers in the CPR block (chapter 16). Normally the CPU sub-system and the DRAM will be put in sleep mode but the SCB and power-safe storage (PSS) will still be enabled.
Wakeup describes SoPEC recovery from sleep mode with the SCB and power-safe storage (PSS) still enabled. In a single SoPEC system, wakeup can be initiated following a USB reset from the SCB. A typical USB wakeup sequence is:
1 ) Execute reset sequence for sections of SoPEC in sleep mode.
2) CPU boot from ROM, if CPU-subsystem was in sleep mode. 3) Basic configuration of CPU peripherals and DIU, and DRAM initialisation, if required.
4) Download and authentication of program using results in Power-Safe Storage (PSS) (see Section 10.5.2).
5) Execution of program from DRAM.
6) Retrieve operating parameters from PRINTER_QA and authenticate operating parameters. 7) Download and authenticate using results in PSS of any further datasets (programs).
10.2.3 Print initialization
This sequence is typically performed at the start of a print job following powerup or wakeup:
1 ) Check amount of ink remaining via QA chips.
2) Download static data e.g. dither matrices, dead nozzle tables from host to DRAM. 3) Check printhead temperature, if required, and configure printhead with firing pulse profile etc. accordingly.
4) Initiate printhead pre-heat sequence, if required.
10.2.4 First page download Buffer management in a SoPEC system is normally performed by the host. First page, first band download and processing:
1 ) The host communicates to the SoPEC CPU over the USB to check that DRAM space remaining is sufficient to download the first band.
2) The host downloads the first band (with the page header) to DRAM. 3) When the complete page header has been downloaded the SoPEC CPU processes the page header, calculates PEP register commands and writes directly to PEP registers or to DRAM.
4) If PEP register commands have been written to DRAM, execute PEP commands from DRAM via PCU.
Remaining bands download and processing: 1) Check DRAM space remaining is sufficient to download the next band.
2) Download the next band with the band header to DRAM.
3) When the complete band header has been downloaded, process the band header according to whichever band-related register updating mechanism is being used.
10.2.5 Start printing 1 ) Wait until at least one band of the first page has been downloaded. One approach is to only start printing once we have loaded up the data for a complete page. If we start printing before the complete page has been transferred to memory we run the risk of a buffer underrun occurring because compressed page data was not transferred to SoPEC in time e.g. due to insufficient USB bandwidth caused by another USB peripheral consuming USB bandwidth.
2) Start all the PEP Units by writing to their Go registers, via PCU commands executed from DRAM or direct CPU writes. A rapid startup order for the PEP units is outlined in Table 12. Table 12. Typical PEP Unit startup order for printing a page.
Figure imgf000078_0001
3) Print ready interrupt occurs (from PHI). 4) Start motor control, if first page, otherwise feed the next page. This step could occur before the print ready interrupt.
5) Drive LEDs, monitor paper status.
6) Wait for page alignment via page sensor(s) GPIO interrupt. 7) CPU instructs PHI to start producing line syncs and hence commence printing, or wait for an external device to produce line syncs. 8) Continue to download bands and process page and band headers for next page. 10.2.6 Next page(s) download As for first page download, performed during printing of current page. 10.2.7 Between bands
When the finished band flags are asserted band related registers in the CDU, LBD, TE need to be re-programmed before the subsequent band can be printed. This can be via PCU commands from DRAM. Typically only 3-5 commands per decompression unit need to be executed. These registers can also be reprogrammed directly by the CPU or most likely by updating from shadow registers. The finished band flag interrupts the CPU to tell the CPU that the area of memory associated with the band is now free.
10.2.8 During page print
Typically during page printing ink usage is communicated to the QA chips.
1) Calculate ink printed (from PHI). 2) Decrement ink remaining (via QA chips).
3) Check amount of ink remaining (via QA chips). This operation may be better performed while the page is being printed rather than at the end of the page.
10.2.9 Page finish
These operations are typically performed when the page is finished: 1 ) Page finished interrupt occurs from PHI.
2) Shutdown the PEP blocks by de-asserting their Go registers. A typical shutdown order is defined in Table 13. This will set the PEP Unit state-machines to their idle states without resetting their configuration registers.
3) Communicate ink usage to QA chips, if required. Table 3. End of page shutdown order for PEP Units.
Figure imgf000079_0001
CFU, SFU, TFU, LBD (order unimportant, and should already be halted due to end of band) HCU, DNC (order unimportant, should already have halted) 10.2.10 Start of next page These operations are typically performed before printing the next page: 1) Re-program the PEP Units via PCU command processing from DRAM based on page header. 2) Go to Start printing. 10.2.11 End of document 1 ) Stop motor control. 10.2.12 Sleep mode The CPU can put different sections of SoPEC into sleep mode by writing to registers in the CPR block described in Section 16. 1 ) Instruct host PC via USB that SoPEC is about to sleep. 2) Store reusable authentication results in Power-Safe Storage (PSS). 3) Put SoPEC into defined sleep mode. 10.3 NORMAL OPERATION IN A MULTI-SOPEC SYSTEM - ISIMASTER SoPEC In a multi-SoPEC system the host generally manages program and compressed page download to all the SoPECs. Inter-SoPEC communication is over the ISI link which will add a latency. In the case of a multi-SoPEC system with just one USB 1.1 connection, the SoPEC with the USB connection is the ISIMaster. The ISI-bridge chip is the ISIMaster in the case of an ISI-Bridge SoPEC configuration. While it is perfectly possible for an ISISIave to have a direct USB connection to the host we do not treat this scenario explicitly here to avoid possible confusion. In a multi-SoPEC system one of the SoPECs will be the PrintMaster. This SoPEC must manage and control sensors and actuators e.g. motor control. These sensors and actuators could be distributed over all the SoPECs in the system. An ISIMaster SoPEC may also be the PrintMaster SoPEC. In a multi-SoPEC system each printing SoPEC will generally have its own PRINTER_QA chip (or at least access to a PRlNTER_QA chip that contains the SoPECs SOPEC_id_key) to validate operating parameters and ink usage. The results of these operations may be communicated to the PrintMaster SoPEC. In general the ISIMaster may need to be able to:
• Send messages to the ISISIaves which will cause the ISISIaves to send their status to the ISIMaster.
• Instruct the ISISIaves to perform certain operations. As the ISI is an insecure interface commands issued over the ISI are regarded as user mode commands. Supervisor mode code running on the SoPEC CPUs will allow or disallow these commands. The software protocol needs to be constructed with this in mind. The ISIMaster will initiate all communication with the ISISIaves. SoPEC operation is broken up into a number of sections which are outlined below. 10.3.1 Powerup Powerup describes SoPEC initialisation following an external reset or the watchdog timer system reset.
1 ) Execute reset sequence for complete SoPEC.
2) CPU boot from ROM. 3) Basic configuration of CPU peripherals, SCB and DIU. DRAM initialisation USB Wakeup
4) SoPEC identification by activity on USB end-points 2-4 indicates it is the ISIMaster (unless the SoPEC CPU has explicitly disabled this function).
5) Download and authentication of program (see Section 10.5.3).
6) Execution of program from DRAM. 7) Retrieve operating parameters from PRINTER_QA and authenticate operating parameters.
8) Download and authenticate any further datasets (programs).
9) The initial dataset may be broadcast to all the ISISIaves.
10) ISIMaster master SoPEC then waits for a short time to allow the authentication to take place on the ISISIave SoPECs. 11) Each ISISIave SoPEC is polled for the result of its program code authentication process.
12) If all ISISIaves report successful authentication the OEM code module can be distributed and authenticated. OEM code will most likely reside on one SoPEC.
10.3.2 USB wakeup
The CPU can put different sections of SoPEC into sleep mode by writing to registers in the CPR block [16]. Normally the CPU sub-system and the DRAM will be put in sleep mode but the SCB and power-safe storage (PSS) will still be enabled.
Wakeup describes SoPEC recovery from sleep mode with the SCB and power-safe storage (PSS) still enabled. For an ISIMaster SoPEC connected to the host via USB, wakeup can be initiated following a USB reset from the SCB. A typical USB wakeup sequence is:
1 ) Execute reset sequence for sections of SoPEC in sleep mode.
2) CPU boot from ROM, if CPU-subsystem was in sleep mode.
3) Basic configuration of CPU peripherals and DIU, and DRAM initialisation, if required.
4) SoPEC identification by activity on USB end-points 2-4 indicates it is the ISIMaster (unless the SoPEC CPU has explicitly disabled this function).
5) Download and authentication of program using results in Power-Safe Storage (PSS) (see Section 10.5.3).
6) Execution of program from DRAM.
7) Retrieve operating parameters from PRINTER_QA and authenticate operating parameters. 8) Download and authenticate any further datasets (programs) using results in Power-Safe Storage (PSS) (see Section 10.5.3). 9) Following steps as per Powerup.
10.3.3 Print initialization
This sequence is typically performed at the start of a print job following powerup or wakeup: 1 ) Check amount of ink remaining via QA chips which may be present on a ISISIave SoPEC. 2) Download static data e.g. dither matrices, dead nozzle tables from host to DRAM.
3) Check printhead temperature, if required, and configure printhead with firing pulse profile etc. accordingly. Instruct ISISIaves to also perform this operation.
4) Initiate printhead pre-heat sequence, if required. Instruct ISISIaves to also perform this operation
10.3.4 First page download
Buffer management in a SoPEC system is normally performed by the host. 1 ) The host communicates to the SoPEC CPU over the USB to check that DRAM space remaining is sufficient to download the first band. 2) The host downloads the first band (with the page header) to DRAM.
3) When the complete page header has been downloaded the SoPEC CPU processes the page header, calculates PEP register commands and write directly to PEP registers or to DRAM.
4) If PEP register commands have been written to DRAM, execute PEP commands from DRAM via PCU. Poll ISISIaves for DRAM status and download compressed data to ISISIaves. Remaining first page bands download and processing:
1 ) Check DRAM space remaining is sufficient to download the next band.
2) Download the next band with the band header to DRAM.
3) When the complete band header has been downloaded, process the band header according to whichever band-related register updating mechanism is being used.
Poll ISISIaves for DRAM status and download compressed data to ISISIaves.
10.3.5 Start printing
1 ) Wait until at least one band of the first page has been downloaded.
2) Start all the PEP Units by writing to their Go registers, via PCU commands executed from DRAM or direct CPU writes, in the suggested order defined in Table .
3) Print ready interrupt occurs (from PHI). Poll ISISIaves until print ready interrupt.
4) Start motor control (which may be on an ISISIave SoPEC), if first page, otherwise feed the next page. This step could occur before the print ready interrupt.
5) Drive LEDS, monitor paper status (which may be on an ISISIave SoPEC). 6) Wait for page alignment via page sensor(s) GPIO interrupt (which may be on an ISISIave SoPEC).
7) If the LineSyncMaster is a SoPEC its CPU instructs PHI to start producing master line syncs. Otherwise wait for an external device to produce line syncs.
8) Continue to download bands and process page and band headers for next page. 10.3.6 Next page(s) download
As for first page download, performed during printing of current page. 10.3.7 Between bands
When the finished band flags are asserted band related registers in the CDU, LBD and TE need to be re-programmed. This can be via PCU commands from DRAM. Typically only 3-5 commands per decompression unit need to be executed. These registers can also be reprogrammed directly by the CPU or by updating from shadow registers. The finished band flag interrupts to the CPU, tell the CPU that the area of memory associated with the band is now free.
10.3.8 During page print
Typically during page printing ink usage is communicated to the QA chips. 1) Calculate ink printed (from PHI).
2) Decrement ink remaining (via QA chips).
3) Check amount of ink remaining (via QA chips). This operation may be better performed while the page is being printed rather than at the end of the page.
10.3.9 Page finish These operations are typically performed when the page is finished:
1 ) Page finished interrupt occurs from PHI. Poll ISISIaves for page finished interrupts.
2) Shutdown the PEP blocks by de-asserting their Go registers in the suggested order in Table . This will set the PEP Unit state-machines to their startup states.
3) Communicate ink usage to QA chips, if required. 10.3.10 Start of next page
These operations are typically performed before printing the next page:
1) Re-program the PEP Units via PCU command processing from DRAM based on page header.
2) Go to Start printing. 10.3.11 End of document
1 ) Stop motor control. This may be on an ISISIave SoPEC. 10.3.12 Sleep mode
The CPU can put different sections of SoPEC into sleep mode by writing to registers in the CPR block [16]. This may be as a result of a command from the host or as a result of a timeout. 1 ) Inform host PC of which parts of SoPEC system are about to sleep.
2) Instruct ISISIaves to enter sleep mode.
3) Store reusable cryptographic results in Power-Safe Storage (PSS).
4) Put ISIMaster SoPEC into defined sleep mode.
10.4 NORMAL OPERATION IN A MULTI-SOPEC SYSTEM - ISISLAVE SoPEC This section the outline typical operation of an ISISIave SoPEC in a multi-SoPEC system. The
ISIMaster can be another SoPEC or an ISI-Bridge chip. The ISISIave communicates with the host either via the ISIMaster or using a direct connection such as USB. For this use case we consider only an ISISIave that does not have a direct host connection. Buffer management in a SoPEC system is normally performed by the host. 10.4.1 Powerup
Powerup describes SoPEC initialisation following an external reset or the watchdog timer system reset.
A typical powerup sequence is:
1 ) Execute reset sequence for complete SoPEC. 2) CPU boot from ROM. 3) Basic configuration of CPU peripherals, SCB and DIU. DRAM initialisation.
4) Download and authentication of program (see Section 10.5.3).
5) Execution of program from DRAM.
6) Retrieve operating parameters from PRINTER_QA and authenticate operating parameters. 7) SoPEC identification by sampling GPIO pins to determine ISIId. Communicate ISIId to ISIMaster.
8) Download and authenticate any further datasets.
10.4.2 ISI wakeup
The CPU can put different sections of SoPEC into sleep mode by writing to registers in the CPR block [16]. Normally the CPU sub-system and the DRAM will be put in sleep mode but the SCB and power-safe storage (PSS) will still be enabled.
Wakeup describes SoPEC recovery from sleep mode with the SCB and power-safe storage (PSS) still enabled. In an ISISIave SoPEC, wakeup can be initiated following an ISI reset from the SCB.
A typical ISI wakeup sequence is: 1 ) Execute reset sequence for sections of SoPEC in sleep mode.
2) CPU boot from ROM, if CPU-subsystem was in sleep mode.
3) Basic configuration of CPU peripherals and DIU, and DRAM initialisation, if required.
4) Download and authentication of program using results in Power-Safe Storage (PSS) (see Section 10.5.3). 5) Execution of program from DRAM.
6) Retrieve operating parameters from PRINTER_QA and authenticate operating parameters.
7) SoPEC identification by sampling GPIO pins to determine ISIId. Communicate ISIId to ISIMaster.
8) Download and authenticate any further datasets. 10.4.3 Print initialization
This sequence is typically performed at the start of a print job following powerup or wakeup: 1 ) Check amount of ink remaining via QA chips. 2) Download static data e.g. dither matrices, dead nozzle tables from ISI to DRAM. 3) Check printhead temperature, if required, and configure printhead with firing pulse profile etc. accordingly. 4) Initiate printhead pre-heat sequence, if required. 10.4.4 First page download
Buffer management in a SoPEC system is normally performed by the host via the ISI. 1 ) Check DRAM space remaining is sufficient to download the first band. 2) The host downloads the first band (with the page header) to DRAM via the ISI.
3) When the complete page header has been downloaded, process the page header, calculate PEP register commands and write directly to PEP registers or to DRAM.
4) If PEP register commands have been written to DRAM, execute PEP commands from DRAM via PCU. Remaining first page bands download and processing: 1 ) Check DRAM space remaining is sufficient to download the next band.
2) The host downloads the first band (with the page header) to DRAM via the ISI.
3) When the complete band header has been downloaded, process the band header according to whichever band-related register updating mechanism is being used. 10.4.5 Start printing
1 ) Wait until at least one band of the first page has been downloaded.
2) Start all the PEP Units by writing to their Go registers, via PCU commands executed from DRAM or direct CPU writes, in the order defined in Table .
3) Print ready interrupt occurs (from PHI). Communicate to PrintMaster via ISI. 4) Start motor control, if attached to this ISISIave, when requested by PrintMaster, if first page, otherwise feed next page. This step could occur before the print ready interrupt
5) Drive LEDS, monitor paper status, if on this ISISIave SoPEC, when requested by PrintMaster
6) Wait for page alignment via page sensor(s) GPIO interrupt, if on this ISISIave SoPEC, and send to PrintMaster. 7) Wait for line sync and commence printing.
8) Continue to download bands and process page and band headers for next page.
10.4.6 Next page(s) download
As for first band download, performed during printing of current page.
10.4.7 Between bands When the finished band flags are asserted band related registers in the CDU, LBD and TE need to be re-programmed. This can be via PCU commands from DRAM. Typically only 3-5 commands per decompression unit need to be executed. These registers can also be reprogrammed directly by the CPU or by updating from shadow registers. The finished band flag interrupts to the CPU tell the CPU that the area of memory associated with the band is now free. 10.4.8 During page print
Typically during page printing ink usage is communicated to the QA chips.
1 ) Calculate ink printed (from PHI).
2) Decrement ink remaining (via QA chips).
3) Check amount of ink remaining (via QA chips). This operation may be better performed while the page is being printed rather than at the end of the page.
10.4.9 Page finish
These operations are typically performed when the page is finished:
1 ) Page finished interrupt occurs from PHI. Communicate page finished interrupt to PrintMaster.
2) Shutdown the PEP blocks by de-asserting their Go registers in the suggested order in Table . This will set the PEP Unit state-machines to their startup states.
3) Communicate ink usage to QA chips, if required.
10.4.10 Start of next page
These operations are typically performed before printing the next page: 1) Re-program the PEP Units via PCU command processing from DRAM based on page header. 2) Go to Start printing.
10.4.11 End of document
Stop motor control, if attached to this ISISIave, when requested by PrintMaster.
10.4.12 Powerdown In this mode SoPEC is no longer powered.
1) Powerdown ISISIave SoPEC when instructed by ISIMaster.
10.4.13 Sleep
The CPU can put different sections of SoPEC into sleep mode by writing to registers in the CPR block [16]. This may be as a result of a command from the host or ISIMaster or as a result of a timeout.
1 ) Store reusable cryptographic results in Power-Safe Storage (PSS).
2) Put SoPEC into defined sleep mode. 10.5 SECURITY USE CASES
Please see the 'SoPEC Security Overview' [9] document for a more complete description of SoPEC security issues. The SoPEC boot operation is described in the ROM chapter of the SoPEC hardware design specification, Section 17.2. 10.5.1 Communication with the QA chips
Communication between SoPEC and the QA chips (i.e. INK_QA and PRINTER_QA) will take place on at least a per power cycle and per page basis. Communication with the QA chips has three principal purposes: validating the presence of genuine QA chips (i.e the printer is using approved consumables), validation of the amount of ink remaining in the cartridge and authenticating the operating parameters for the printer. After each page has been printed, SoPEC is expected to communicate the number of dots fired per ink plane to the QA chipset. SoPEC may also initiate decoy communications with the QA chips from time to time. Process:
• When validating ink consumption SoPEC is expected to principally act as a conduit between the PRINTER_QA and INK_QA chips and to take certain actions (basically enable or disable printing and report status to host PC) based on the result. The communication channels are insecure but all traffic is signed to guarantee authenticity. Known Weaknesses All communication to the QA chips is over the LSS interfaces using a serial communication protocol. This is open to observation and so the communication protocol could be reverse engineered. In this case both the PRINTER_QA and INK_QA chips could be replaced by impostor devices (e.g. a single FPGA) that successfully emulated the communication protocol. As this would require physical modification of each printer this is considered to be an acceptably low risk. Any messages that are not signed by one of the symmetric keys (such as the SoPEC_id_key) could be reverse engineered. The imposter device must also have access to the appropriate keys to crack the system. If the secret keys in the QA chips are exposed or cracked then the system, or parts of it, is compromised. Assumptions:
[1] The QA chips are not involved in the authentication of downloaded SoPEC code [2] The QA chip in the ink cartridge (INK_QA) does not directly affect the operation of the cartridge in any way i.e. it does not inhibit the flow of ink etc. [3] The INK_QA and PRINTER_QA chips are identical in their virgin state. They only become a INK_QA or PRINTER_QA after their FlashROM has been programmed. 10.5.2 Authentication of downloaded code in a single SoPEC system Process:
1 ) SoPEC identification by activity on USB end-points 2-4 indicates it is the ISIMaster (unless the SoPEC CPU has explicitly disabled this function).
2) The program is downloaded to the embedded DRAM.
3) The CPU calculates a SHA-1 hash digest of the downloaded program.
4) The ResetSrc register in the CPR block is read to determine whether or not a power-on reset occurred. 5) If a power-on reset occurred the signature of the downloaded code (which needs to be in a known location such as the first or last N bytes of the downloaded code) is decrypted using the Silverbrook public bootOkey stored in ROM. This decrypted signature is the expected SHA-1 hash of the accompanying program. The encryption algorithm is likely to be a public key algorithm such as RSA. If a power-on reset did not occur then the expected SHA-1 hash is retrieved from the PSS and the compute intensive decryption is not required.
6) The calculated and expected hash values are compared and if they match then the programs authenticity has been verified.
7) If the hash values do not match then the host PC is notified of the failure and the SoPEC will await a new program download. 8) If the hash values match then the CPU starts executing the downloaded program.
9) If, as is very likely, the downloaded program wishes to download subsequent programs (such as OEM code) it is responsible for ensuring the authenticity of everything it downloads. The downloaded program may contain public keys that are used to authenticate subsequent downloads, thus forming a hierarchy of authentication. The SoPEC ROM does not control these authentications - it is solely concerned with verifying that the first program downloaded has come from a trusted source.
10) At some subsequent point OEM code starts executing. The Silverbrook supervisor code acts as an O/S to the OEM user mode code. The OEM code must access most SoPEC functionality via system calls to the Silverbrook code. 11 ) The OEM code is expected to perform some simple 'turn on the lights' tasks after which the host PC is informed that the printer is ready to print and the Start Printing use case comes into play. Known Weaknesses: If the Silverbrook private bootOkey is exposed or cracked then the system is seriously compromised. A ROM mask change would be required to reprogram the bootOkey. 10.5.3 Authentication of downloaded code in a multi-SoPEC system 10.5.3.1 ISIMaster SoPEC Process:
1 ) SoPEC identification by activity on USB end-points 2-4 indicates it is the ISIMaster.
2) The SCB is configured to broadcast the data received from the host PC. 3) The program is downloaded to the embedded DRAM and broadcasted to all ISISIave SoPECs over the ISI.
4) The CPU calculates a SHA-1 hash digest of the downloaded program.
5) The ResetSrc register in the CPR block is read to determine whether or not a power-on reset occurred. 6) If a power-on reset occurred the signature of the downloaded code (which needs to be in a known location such as the first or last N bytes of the downloaded code) is decrypted using the Silverbrook public bootOkey stored in ROM. This decrypted signature is the expected SHA-1 hash of the accompanying program. The encryption algorithm is likely to be a public key algorithm such as RSA. If a power-on reset did not occur then the expected SHA-1 hash is retrieved from the PSS and the compute intensive decryption is not required.
7) The calculated and expected hash values are compared and if they match then the programs authenticity has been verified.
8) If the hash values do not match then the host PC is notified of the failure and the SoPEC will await a new program download. 9) If the hash values match then the CPU starts executing the downloaded program.
10) It is likely that the downloaded program will poll each ISISIave SoPEC for the result of its authentication process and to determine the number of slaves present and their ISIIds.
11 ) If any ISISIave SoPEC reports a failed authentication then the ISIMaster communicates this to the host PC and the SoPEC will await a new program download. 12) If all ISISIaves report successful authentication then the downloaded program is responsible for the downloading, authentication and distribution of subsequent programs within the multi- SoPEC system.
13) At some subsequent point OEM code starts executing. The Silverbrook supervisor code acts as an O/S to the OEM user mode code. The OEM code must access most SoPEC functionality via system calls to the Silverbrook code.
14) The OEM code is expected to perform some simple 'turn on the lights' tasks after which the master SoPEC determines that all SoPECs are ready to print. The host PC is informed that the printer is ready to print and the Sfarf Printing use case comes into play.
10.5.3.2 ISISIave SoPEC Process:
1 ) When the CPU comes out of reset the SCB will be in slave mode, and the SCB is already configured to receive data from both the ISI and USB.
2) The program is downloaded (via ISI or USB) to embedded DRAM.
3) The CPU calculates a SHA-1 hash digest of the downloaded program. 4) The ResetSrc register in the CPR block is read to determine whether or not a power-on reset occurred.
5) If a power-on reset occurred the signature of the downloaded code (which needs to be in a known location such as the first or last N bytes of the downloaded code) is decrypted using the Silverbrook public bootOkey stored in ROM. This decrypted signature is the expected SHA-1 hash of the accompanying program. The encryption algorithm is likely to be a public key algorithm such as RSA. If a power-on reset did not occur then the expected SHA-1 hash is retrieved from the PSS and the compute intensive decryption is not required.
6) The calculated and expected hash values are compared and if they match then the programs authenticity has been verified.
7) If the hash values do not match, then the ISISIave device will await a new program again
8) If the hash values match then the CPU starts executing the downloaded program.
9) It is likely that the downloaded program will communicate the result of its authentication process to the ISIMaster. The downloaded program is responsible for determining the SoPECs ISIId, receiving and authenticating any subsequent programs.
10) At some subsequent point OEM code starts executing. The Silverbrook supervisor code acts as an O/S to the OEM user mode code. The OEM code must access most SoPEC functionality via system calls to the Silverbrook code.
11 ) The OEM code is expected to perform some simple 'turn on the lights' tasks after which the master SoPEC is informed that this slave is ready to print. The Sfarf Printing use case then comes into play. Known Weaknesses • If the Silverbrook private bootOkey is exposed or cracked then the system is seriously compromised. • ISI is an open interface i.e. messages sent over the ISI are in the clear. The communication channels are insecure but all traffic is signed to guarantee authenticity. As all communication over the ISI is controlled by Supervisor code on both the ISIMaster and ISISIave then this also provides some protection against software attacks. 10.5.4 Authentication and upgrade of operating parameters for a printer The SoPEC IC will be used in a range of printers with different capabilities (e.g. A3/A4 printing, printing speed, resolution etc.). It is expected that some printers will also have a software upgrade capability which would allow a user to purchase a license that enables an upgrade in their printer's capabilities (such as print speed). To facilitate this it must be possible to securely store the operating parameters in the PRINTER_QA chip, to securely communicate these parameters to the SoPEC and to securely reprogram the parameters in the event of an upgrade. Note that each printing SoPEC (as opposed to a SoPEC that is only used for the storage of data) will have its own PRINTER_QA chip (or at least access to a PRINTER_QA that contains the SoPECs SoPECJd_key). Therefore both ISIMaster and ISISIave SoPECs will need to authenticate operating parameters. Process: 1) Program code is downloaded and authenticated as described in sections 10.5.2 and 10.5.3 above.
2) The program code has a function to create the SoPEC_id_key from the unique SoPEC d that was programmed when the SoPEC was manufactured. 3) The SoPEC retrieves the signed operating parameters from its PRINTER_QA chip. The PRINTER_QA chip uses the SoPEC_id_key (which is stored as part of the pairing process executed during printhead assembly manufacture & test) to sign the operating parameters which are appended with a random number to thwart replay attacks.
4) The SoPEC checks the signature of the operating parameters using its SoPEC_id_key. If this signature authentication process is successful then the operating parameters are considered valid and the overall boot process continues. If not the error is reported to the host PC.
5) Operating parameters may also be set or upgraded using a second key, the PrintEngineLicense_key, which is stored on the PRINTER_QA and used to authenticate the change in operating parameters. Known Weaknesses: It may be possible to retrieve the unique SoPECJd by placing the SoPEC in test mode and scanning it out. It is certainly possible to obtain it by reverse engineering the device. Either way the SoPECjd (and by extension the SoPEC_id_key) so obtained is valid only for that specific SoPEC and so printers may only be compromised one at a time by parties with the appropriate specialised equipment. Furthermore even if the SoPECJd is compromised, the other keys in the system, which protect the authentication of consumables and of program code, are unaffected.
10.6 MISCELLANEOUS USE CASES
There are many miscellaneous use cases such as the following examples. Software running on the SoPEC CPU or host will decide on what actions to take in these scenarios. 10.6.1 Disconnect / Re-connect of QA chips.
1 ) Disconnect of a QA chip between documents or if ink runs out mid-document.
2) Re-connect of a QA chip once authenticated e.g. ink cartridge replacement should allow the system to resume and print the next document 10.6.2 Page arrives before print ready interrupt.
1 ) Engage clutch to stop paper until print ready interrupt occurs. 10.6.3 Dead-nozzle table upgrade
This sequence is typically performed when dead nozzle information needs to be updated by performing a printhead dead nozzle test. 1) Run printhead nozzle test sequence
2) Either host or SoPEC CPU converts dead nozzle information into dead nozzle table.
3) Store dead nozzle table on host.
4) Write dead nozzle table to SoPEC DRAM.
10.7 FAILURE MODE USE CASES 10.7.1 System errors and security violations System errors and security violations are reported to the SoPEC CPU and host. Software running on the SoPEC CPU or host will then decide what actions to take. Silverbrook code authentication failure. 1 ) Notify host PC of authentication failure. 2) Abort print run.
OEM code authentication failure.
1 ) Notify host PC of authentication failure.
2) Abort print run. Invalid QA chip(s). 1 ) Report to host PC. 2) Abort print run. MMU security violation interrupt.
1 ) This is handled by exception handler.
2) Report to host PC 3) Abort print run.
Invalid address interrupt from PCU.
1 ) This is handled by exception handler.
2) Report to host PC.
3) Abort print run. Watchdog timer interrupt.
1 ) This is handled by exception handler.
2) Report to host PC.
3) Abort print run.
Host PC does not acknowledge message that SoPEC is about to power down. 1 ) Power down anyway.
10.7.2 Printing errors
Printing errors are reported to the SoPEC CPU and host. Software running on the host or SoPEC
CPU will then decide what actions to take.
Insufficient space available in SoPEC compressed band-store to download a band. 1) Report to the host PC.
Insufficient ink to print.
1) Report to host PC.
Page not downloaded in time while printing.
1 ) Buffer underrun interrupt will occur. 2) Report to host PC and abort print run.
JPEG decoder error interrupt.
1) Report to host PC.
CPU SUBSYSTEM 11 Central Processing Unit (CPU) 11.1 OVERVIEW
The CPU block consists of the CPU core, MMU, cache and associated logic. The principal tasks for the program running on the CPU to fulfill in the system are:
Communications: Control the flow of data from the USB interface to the DRAM and ISI Communication with the host via USB or ISI Running the USB device driver PEP Subsystem Control: Page and band header processing (may possibly be performed on host PC) Configure printing options on a per band, per page, per job or per power cycle basis Initiate page printing operation in the PEP subsystem Retrieve dead nozzle information from the printhead interface (PHI) and forward to the host PC Select the appropriate firing pulse profile from a set of predefined profiles based on the printhead characteristics Retrieve printhead temperature via the PHI Security. Authenticate downloaded program code Authenticate printer operating parameters Authenticate consumables via the PRINTER_QA and INK_QA chips Monitor ink usage Isolation of OEM code from direct access to the system resources Other: Drive the printer motors using the GPIO pins Monitoring the status of the printer (paper jam, tray empty etc.) Driving front panel LEDs Perform post-boot initialisation of the SoPEC device Memory management (likely to be in conjunction with the host PC) Miscellaneous housekeeping tasks
To control the Print Engine Pipeline the CPU is required to provide a level of performance at least equivalent to a 16-bit Hitachi H8-3664 microcontroller running at 16 MHz. An as yet undetermined amount of additional CPU performance is needed to perform the other tasks, as well as to provide the potential for such activity as Netpage page assembly and processing, RIPing etc. The extra performance required is dominated by the signature verification task and the SCB (including the USB) management task. An operating system is not required at present. A number of CPU cores have been evaluated and the LEON P1754 is considered to be the most appropriate solution. A diagram of the CPU block is shown in Figure 15 below. 11.2 DEFINITIONS OF I/OS Table 14. CPU Subsystem l/Os
Figure imgf000093_0001
Figure imgf000094_0001
Figure imgf000095_0001
11.3 REALTIME REQUIREMENTS
The SoPEC realtime requirements have yet to be fully determined but they may be split into three categories: hard, firm and soft
11.3.1 Hard realtime requirements Hard requirements are tasks that must be completed before a certain deadline or failure to do so will result in an error perceptible to the user (printing stops or functions incorrectly). There are three hard realtime tasks: Motor control: The motors which feed the paper through the printer at a constant speed during printing are driven directly by the SoPEC device. Four periodic signals with different phase relationships need to be generated to ensure the paper travels smoothly through the printer. The generation of these signals is handled by the GPIO hardware (see section 13.2 for more details) but the CPU is responsible for enabling these signals (i.e. to start or stop the motors) and coordinating the movement of the paper with the printing operation of the printhead. Buffer management: Data enters the SoPEC via the SCB at an uneven rate and is consumed by the PEP subsystem at a different rate. The CPU is responsible for managing the DRAM buffers to ensure that neither overrun nor underrun occur. This buffer management is likely to be performed under the direction of the host. • Band processing: In certain cases PEP registers may need to be updated between bands. As the timing requirements are most likely too stringent to be met by direct CPU writes to the PCU a more likely scenario is that a set of shadow registers will programmed in the compressed page units before the current band is finished, copied to band related registers by the finished band signals and the processing of the next band will continue immediately. An alternative solution is that the CPU will construct a DRAM based set of commands (see section 21.8.5 for more details) that can be executed by the PCU. The task for the CPU here is to parse the band headers stored in DRAM and generate a DRAM based set of commands for the next number of bands. The location of the DRAM based set of commands must then be written to the PCU before the current band has been processed by the PEP subsystem. It is also conceivable (but currently considered unlikely) that the host PC could create the DRAM based commands. In this case the CPU will only be required to point the PCU to the correct location in DRAM to execute commands from. 11.3.2 Firm requirements Firm requirements are tasks that should be completed by a certain time or failure to do so will result in a degradation of performance but not an error. The majority of the CPU tasks for SoPEC fall into this category including all interactions with the QA chips, program authentication, page feeding, configuring PEP registers for a page or job, determining the firing pulse profile, communication of printer status to the host over the USB and the monitoring of ink usage. The authentication of downloaded programs and messages will be the most compute intensive operation the CPU will be required to perform. Initial investigations indicate that the LEON processor, running at 160 MHz, will easily perform three authentications in under a second. Table 15. Expected firm requirements
Figure imgf000096_0001
Figure imgf000097_0001
11.3.3 Soft requirements
Soft requirements are tasks that need to be done but there are only light time constraints on when they need to be done. These tasks are performed by the CPU when there are no pending higher priority tasks. As the SoPEC CPU is expected to be lightly loaded these tasks will mostly be executed soon after they are scheduled. 11.4 Bus PROTOCOLS
As can be seen from Figure 15 above there are different buses in the CPU block and different protocols are used for each bus. There are three buses in operation: 11.4.1 AHB bus
The LEON CPU core uses an AMBA2.0 AHB bus to communicate with memory and peripherals (usually via an APB bridge). See the AMBA specification [38], section 5 of the LEON users manual [37] and section 11.6.6.1 of this document for more details.
11.4.2 CPU to DIU bus This bus conforms to the DIU bus protocol described in Section 20.14.8. Note that the address bus used for DIU reads (i.e. cpu_adr(21 :2)) is also that used for CPU subsystem with bus accesses while the write address bus (cpu_diu_wadr) and the read and write data buses (dram_cpu_data and cpu_diu_wdata) are private buses between the CPU and the DIU. The effective bus width differs between a read (256 bits) and a write (128 bits). As certain CPU instructions may require byte write access this will need to be supported by both the DRAM write buffer (in the AHB bridge) and the DIU. See section 11.6.6.1 for more details.
11.4.3 CPU Subsystem Bus
For access to the on-chip peripherals a simple bus protocol is used. The MMU must first determine which particular block is being addressed (and that the access is a valid one) so that the appropriate block select signal can be generated. During a write access CPU write data is driven out with the address and block select signals in the first cycle of an access. The addressed slave peripheral responds by asserting its ready signal indicating that it has registered the write data and the access can complete. The write data bus is common to all peripherals and is also used for CPU writes to the embedded DRAM. A read access is initiated by driving the address and select signals during the first cycle of an access. The addressed slave responds by placing the read data on its bus and asserting its ready signal to indicate to the CPU that the read data is valid. Each block has a separate point-to-point data bus for read accesses to avoid the need for a tri-stateable bus. All peripheral accesses are 32-bit (Programming note: char or short C types should not be used to access peripheral registers). The use of the ready signal allows the accesses to be of variable length. In most cases accesses will complete in two cycles but three or four (or more) cycles accesses are likely for PEP blocks or IP blocks with a different native bus interface. All PEP blocks are accessed via the PCU which acts as a bridge. The PCU bus uses a similar protocol to the CPU subsystem bus but with the PCU as the bus master. The duration of accesses to the PEP blocks is influenced by whether or not the PCU is executing commands from DRAM. As these commands are essentially register writes the CPU access will need to wait until the PCU bus becomes available when a register access has been completed. This could lead to the CPU being stalled for up to 4 cycles if it attempts to access PEP blocks while the PCU is executing a command. The size and probability of this penalty is sufficiently small to have any significant impact on performance. In order to support user mode (i.e. OEM code) access to certain peripherals the CPU subsystem bus propagates the CPU function code signals (cpu_acode[1 :0J). These signals indicate the type of address space (i.e. User/Supervisor and Program/Data) being accessed by the CPU for each access. Each peripheral must determine whether or not the CPU is in the correct mode to be granted access to its registers and in some cases (e.g. Timers and GPIO blocks) different access permissions can apply to different registers within the block. If the CPU is not in the correct mode then the violation is flagged by asserting the block's bus error signal (block_cpu_berr) with the same timing as its ready signal (block_cpu_rdy) which remains deasserted. When this occurs invalid read accesses should return 0 and write accesses should have no effect. Figure 16 shows two examples of the peripheral bus protocol in action. A write to the LSS block from code running in supervisor mode is successfully completed. This is immediately followed by a read from a PEP block via the PCU from code running in user mode. As this type of access is not permitted the access is terminated with a bus error. The bus error exception processing then starts directly after this - no further accesses to the peripheral should be required as the exception handler should be located in the DRAM. Each peripheral acts as a slave on the CPU subsystem bus and its behavior is described by the state machine in section 11.4.3.1 11.4.3.1 CPU subsystem bus slave state machine
CPU subsystem bus slave operation is described by the state machine in Figure 17.This state machine will be implemented in each CPU subsystem bus slave. The only new signals mentioned here are the validjaccess and regjavallable signals. The validjaccess is determined by comparing the cpujacode value with the block or register (in the case of a block that allow user access on a per register basis such as the GPIO block) access permissions and asserting validjaccess if the permissions agree with the CPU mode. The regjavallable signal is only required in the PCU or in blocks that are not capable of two-cycle access (e.g. blocks containing imported IP with different bus protocols). In these blocks the regjavallable signal is an internal signal used to insert wait states (by delaying the assertion of blockjcpujrdy) until the CPU bus slave interface can gain access to the register.
When reading from a register that is less than 32 bits wide the CPU subsystems bus slave should return zeroes on the unused upper bits of the block_cpu_data bus. To support debug mode the contents of the register selected for debug observation, debug_reg, are always output on the block_cpu_data bus whenever a read access is not taking place. See section 11.8 for more details of debug operation. 11.5 LEON CPU
The LEON processor is an open-source implementation of the IEEE-1754 standard (SPARC V8) instruction set. LEON is available from and actively supported by Gaisler Research (www.gaisler.com). The following features of the LEON-2 processor will be utilised on SoPEC:
• IEEE-1754 (SPARC V8) compatible integer unit with 5-stage pipeline • Separate instruction and data cache (Harvard architecture). 1 kbyte direct mapped caches will be used for both.
• Full implementation of AMBA-2.0 AHB on-chip bus
The standard release of LEON incorporates a number of peripherals and support blocks which will not be included on SoPEC. The LEON core as used on SoPEC will consist of: 1 ) the LEON integer unit, 2) the instruction and data caches (currently 1 kB each), 3) the cache control logic, 4) the AHB interface and 5) possibly the AHB controller (although this functionality may be implemented in the
LEON AHB bridge).
The version of the LEON database that the SoPEC LEON components will be sourced from is
LEON2-1.0.7 although later versions may be used if they offer worthwhile functionality or bug fixes that affect the SoPEC design.
The LEON core will be clocked using the system clock, pclk, and reset using the prst_n_section[1] signal. The ICU will assert all the hardware interrupts using the protocol described in section 11.9.
The LEON hardware multipliers and floating-point unit are not required. SoPEC will use the recommended 8 register window configuration. Further details of the SPARC V8 instruction set and the LEON processor can be found in [36] and
[37] respectively.
11.5.1 LEON Registers
Only two of the registers described in the LEON manual are implemented on SoPEC - the LEON configuration register and the Cache Control Register (CCR). The addresses of these registers are shown in Table 16. The configuration register bit fields are described below and the CCR is described in section 11.7.1.1.
11.5.1.1 LEON configuration register
The LEON configuration register allows runtime software to determine the settings of LEONs various configuration options. This is a read-only register whose value for the SoPEC ASIC will be 0x1071_8C00. Further descriptions of many of the bitfields can be found in the LEON manual. The values used for SoPEC are highlighted in bold for clarity. Table 16. LEON Configuration Register
Figure imgf000100_0001
11.6 MEMORY MANAGEMENT UNIT (MMU)
Memory Management Units are typically used to protect certain regions of memory from invalid accesses, to perform address translation for a virtual memory system and to maintain memory page status (swapped-in, swapped-out or unmapped)
The SoPEC MMU is a much simpler affair whose function is to ensure that all regions of the SoPEC memory map are adequately protected. The MMU does not support virtual memory and physical addresses are used at all times. The SoPEC MMU supports a full 32-bit address space. The SoPEC memory map is depicted in Figure 18 below. The MMU selects the relevant bus protocol and generates the appropriate control signals depending on the area of memory being accessed. The MMU is responsible for performing the address decode and generation of the appropriate block select signal as well as the selection of the correct block read bus during a read access. The MMU will need to support all of the bus transactions the CPU can produce including interrupt acknowledge cycles, aborted transactions etc. When an MMU error occurs (such as an attempt to access a supervisor mode only region when in user mode) a bus error is generated. While the LEON can recognise different types of bus error (e.g. data store error, instruction access error) it handles them in the same manner as it handles all traps i.e it will transfer control to a trap handler. No extra state information is be stored because of the nature of the trap. The location of the trap handler is contained in the TBR (Trap Base Register). This is the same mechanism as is used to handle interrupts. 11.6.1 CPU-bus peripherals address map
The address mapping for the peripherals attached to the CPU-bus is shown in Table 17 below. The MMU performs the decode of the high order bits to generate the relevant cpujblockjselect signal. Apart from the PCU, which decodes the address space for the PEP blocks, each block only needs to decode as many bits of cpujad 1:2] as required to address all the registers within the block. Table 17. CPU-bus peripherals address map
Figure imgf000101_0002
Figure imgf000102_0001
11.6.2 DRAM Region Mapping
The embedded DRAM is broken into 8 regions, with each region defined by a lower and upper bound address and with its own access permissions.
The association of an area in the DRAM address space with a MMU region is completely under software control. Table 18 below gives one possible region mapping. Regions should be defined according to their access requirements and position in memory. Regions that share the same access requirements and that are contiguous in memory may be combined into a single region. The example below is purely for indicative purposes - real mappings are likely to differ significantly from this. Note that the RegionBottom and RegionTop fields in this example include the DRAM base address offset (0x4000_0000) which is not required when programming the RegionNTop and RegionNBottom registers. For more details, see 11.6.5.1 and 11.6.5.2. Table 18. Example region mapping
Figure imgf000102_0002
11.6.3 Non-DRAM regions As shown in Figure 18 the DRAM occupies only 2.5 MBytes of the total 4 GB SoPEC address space. The non-DRAM regions of SoPEC are handled by the MMU as follows: ROM (0x0000_0000 to 0x0000_FFFF): The ROM block will control the access types allowed. The cpujacode[1 :0] signals will indicate the CPU mode and access type and the ROM block will assert rom_cpu_berr if an attempted access is forbidden. The protocol is described in more detail in section 11.4.3. The ROM block access permissions are hard wired to allow all read accesses except to the FuseChiplD registers which may only be read in supervisor mode. MMU Internal Registers (0x0001_0000 to 0x0001_0FFF): The MMU is responsible for controlling the accesses to its own internal registers and will only allow data reads and writes (no instruction fetches) from supervisor data space. All other accesses will result in the mmuj pujberr signal being asserted in accordance with the CPU native bus protocol.
CPU Subsystem Peripheral Registers (0x0001_1000 to 0x0001_FFFF): Each peripheral block will control the access types allowed. Every peripheral will allow supervisor data accesses (both read and write) and some blocks (e.g. Timers and GPIO) will also allow user data space accesses as outlined in the relevant chapters of this specification. Neither supervisor nor user instruction fetch accesses are allowed to any block as it is not possible to execute code from peripheral registers. The bus protocol is described in section 11.4.3.
PCU Mapped Registers (0x0002_0000 to 0x0002_BFFF): All of the PEP blocks registers which are accessed by the CPU via the PCU will inherit the access permissions of the PCU. These access permissions are hard wired to allow supervisor data accesses only and the protocol used is the same as for the CPU peripherals.
Unused address space (0x0002_C000 to 0x3FFF_FFFF and 0x4028_0000 to 0xFFFF_FFFF): All accesses to the unused portion of the address space will result in the mmu_cpu_berr signal being asserted in accordance with the CPU native bus protocol. These accesses will not propagate outside of the MMU i.e. no external access will be initiated.
11.6.4 Reset exception vector and reference zero traps
When a reset occurs the LEON processor starts executing code from address 0x0000_0000. A common software bug is zero-referencing or null pointer de-referencing (where the program attempts to access the contents of address 0x0000_0000). To assist software debug the MMU will assert a bus error every time the locations 0x0000_0000 to 0x0000_000F (i.e. the first 4 words of the reset trap) are accessed after the reset trap handler has legitimately been retrieved immediately after reset.
11.6.5 MMU Configuration Registers
The MMU configuration registers include the RDU configuration registers and two LEON registers. Note that all the MMU configuration registers may only be accessed when the CPU is running in supervisor mode. Table 19. MMU Configuration Registers
Figure imgf000103_0001
Figure imgf000104_0001
Figure imgf000105_0001
11.6.5.1 RegionTop and RegionBottom registers
The 20 Mbit of embedded DRAM on SoPEC is arranged as 81920 words of 256 bits each. All region boundaries need to align with a 256-bit word. Thus only 17 bits are required for the
RegionNTop and RegionNBottom registers. Note that the bottom 5 bits of the RegionNTop and
RegionNBottom registers cannot be written to and read as '0' i.e. the RegionNTop and
RegionNBottom registers represent byte-aligned DRAM addresses
Both the RegionNTop and RegionNBottom registers are inclusive i.e. the addresses in the registers are included in the region. Thus the size of a region is (RegionNTop - RegionNBottom) +1 DRAM words.
If DRAM regions overlap (there is no reason for this to be the case but there is nothing to prohibit it either) then only accesses allowed by all overlapping regions are permitted. That is if a DRAM address appears in both Regionl and Region3 (for example) the cpujacode of an access is checked against the access permissions of both regions. If both regions permit the access then it will proceed but if either or both regions do not permit the access then it will not be allowed.
The MMU does not support negatively sized regions i.e. the value of the RegionNTop register should always be greater than or equal to the value of the RegionNBottom register. If RegionNTop is lower in the address map than RegionNTop then the region is considered to be zero-sized and is ignored.
When both the RegionNTop and RegionNBottom registers for a region contain the same value the region is then simply one 256-bit word in length and this corresponds to the smallest possible active region.
11.6.5.2 Region Control registers
Each memory region has a control register associated with it. The RegionNControl register is used to set the access conditions for the memory region bounded by the RegionNTop and
RegionNBottom registers. Table 20 describes the function of each bit field in the RegionNControl registers. All bits in a RegionNControl register are both readable and writable by design. However, like all registers in the MMU, the RegionNControl registers can only be accessed by code running in supervisor mode. Table 20. Region Control Register
Figure imgf000106_0001
11.6.5.3 ExceptionSource Register The SPARC V8 architecture allows for a number of types of memory access error to be trapped. These trap types and trap handling in general are described in chapter 7 of the SPARC architecture manual [36]. However on the LEON processor only datajstorejerror and datajaccessjexception trap types will result from an external (to LEON) bus error. According to the SPARC architecture manual the processor will automatically move to the next register window (i.e. it decrements the current window pointer) and copies the program counters (PC and nPC) to two local registers in the new window. The supervisor bit in the PSR is also set and the PSR can be saved to another local register by the trap handler (this does not happen automatically in hardware). The ExceptionSource register aids the trap handler by identifying the source of an exception. Each bit in the ExceptionSource register is set when the relevant trap condition and should be cleared by the trap handler by writing a '1' to that bit position. Table 21. ExceptionSource Register
Figure imgf000107_0001
As can be seen from Figure 19 and Figure 20 the MMU consists of three principal sub-blocks. For clarity the connections between these sub-blocks and other SoPEC blocks and between each of the sub-blocks are shown in two separate diagrams. 11.6.6.1 LEON AHB Bridge
The LEON AHB bridge consists of an AHB bridge to DIU and an AHB to CPU subsystem bus bridge. The AHB bridge will convert between the AHB and the DIU and CPU subsystem bus protocols but the address decoding and enabling of an access happens elsewhere in the MMU. The AHB bridge will always be a slave on the AHB. Note that the AMBA signals from the LEON core are contained within the ahbso and ahbsi records. The LEON records are described in more detail in section 11.7. Glue logic may be required to assist with enabling memory accesses, endianness coherency, interrupts and other miscellaneous signalling. Table 22. LEON AHB bridge l/Os
Figure imgf000108_0001
Figure imgf000109_0001
Figure imgf000110_0001
Description:
The LEON AHB bridge must ensure that all CPU bus transactions are functionally correct and that the timing requirements are met. The AHB bridge also implements a 128-bit DRAM write buffer to improve the efficiency of DRAM writes, particularly for multiple successive writes to DRAM. The AHB bridge is also responsible for ensuring endianness coherency i.e. guaranteeing that the correct data appears in the correct position on the data buses (hrdata, cpujdataout and cpu_mmu_wdata) for every type of access. This is a requirement because the LEON uses big-endian addressing while the rest of SoPEC is little-endian.
The LEON AHB bridge will assert request signals to the DIU if the MMU control block deems the access to be a legal access. The validity (i.e. is the CPU running in the correct mode for the address space being accessed) of an access is determined by the contents of the relevant RegionNControl register. As the SPARC standard requires that all accesses are aligned to their word size (i.e. byte, half-word, word or double-word) and so it is not possible for an access to traverse a 256-bit boundary (as required by the DIU). Invalid DRAM accesses are not propagated to the DIU and will result in an error response (ahbso.hresp = '01') on the AHB. The DIU bus protocol is described in more detail in section 20.9. The DIU will return a 256-bit dataword on dram_cpu_data[255:0] for every read access.
The CPU subsystem bus protocol is described in section 11.4.3. While the LEON AHB bridge performs the protocol translation between AHB and the CPU subsystem bus the select signals for each block are generated by address decoding in the CPU subsystem bus interface. The CPU subsystem bus interface also selects the correct read data bus, ready and error signals for the block being addressed and passes these to the LEON AHB bridge which puts them on the AHB bus. It is expected that some signals (especially those external to the CPU block) will need to be registered here to meet the timing requirements. Careful thought will be required to ensure that overall CPU access times are not excessively degraded by the use of too many register stages. 11.6.6.1.1 DRAM write buffer
The DRAM write buffer improves the efficiency of DRAM writes by aggregating a number of CPU write accesses into a single DIU write access. This is achieved by checking to see if a CPU write is to an address already in the write buffer and if so the write is immediately acknowledged (i.e. the ahbsi.hready signal is asserted without any wait states) and the DRAM write buffer updated accordingly. When the CPU write is to a DRAM address other than that in the write buffer then the current contents of the write buffer are sent to the DIU (where they are placed in the posted write buffer) and the DRAM write buffer is updated with the address and data of the CPU write. The DRAM write buffer consists of a 128-bit data buffer, an 18-bit write address tag and a 16-bit write mask. Each bit of the write mask indicates the validity of the corresponding byte of the write buffer as shown in Figure 21 below.
The operation of the DRAM write buffer is summarised by the following set of rules:
1) The DRAM write buffer only contains DRAM write data i.e. peripheral writes go directly to the addressed peripheral.
2 ) CPU writes to locations within the DRAM write buffer or to an empty write buffer (i.e. the write mask bits are all 0) complete with zero wait states regardless of the size of the write (byte/half- word/word/ double-word).
3 ) The contents of the DRAM write buffer are flushed to DRAM whenever a CPU write to a location outside the write buffer occurs, whenever a CPU read from a location within the write buffer occurs or whenever a write to a peripheral register occurs.
4 ) A flush resulting from a peripheral write will not cause any extra wait states to be inserted in the peripheral write access.
5 ) Flushes resulting from a DRAM accesses will cause wait states to be inserted until the DIU posted write buffer is empty. If the DIU posted write buffer is empty at the time the flush is required then no wait states will be inserted for a flush resulting from a CPU write or one wait state will be inserted for a flush resulting from a CPU read (this is to ensure that the DIU sees the write request ahead of the read request). Note that in this case further wait states will also be inserted as a result of the delay in servicing the read request by the DIU. 11.6.6.1.2 DIU interface waveforms Figure 22 below depicts the operation of the AHB bridge over a sample sequence of DRAM transactions consisting of a read into the DCache, a double-word store to an address other than that currently in the DRAM write buffer followed by an ICache line refill. To avoid clutter a number of AHB control signals that are inputs to the MMU have been grouped together as ahbsi. CONTROL and only the ahbso.HREADY is shown of the output AHB control signals.
The first transaction is a single word load ('LD'). The MMU (specifically the MMU control block) uses the first cycle of every access (i.e. the address phase of an AHB transaction) to determine whether or not the access is a legal access. The read request to the DIU is then asserted in the following cycle (assuming the access is a valid one) and is acknowledged by the DIU a cycle later. Note that the time from cpujdiujreq being asserted and diujcpujack being asserted is variable as it depends on the DIU configuration and access patterns of DIU requestors. The AHB bridge will insert wait states until it sees the diu_cpu_rvalid signal is high, indicating the data ('LD1 ') on the dramjcpujdata bus is valid. The AHB bridge terminates the read access in the same cycle by asserting the ahbso.HREADY signal (together with an 'OKAY' HRESP code). The AHB bridge also selects the appropriate 32 bits ('RD1 ') from the 256-bit DRAM line data ('LD1 ') returned by the DIU corresponding to the word address given by A1.
The second transaction is an AHB two-beat incrementing burst issued by the LEON acache block in response to the execution of a double-word store instruction. As LEON is a big endian processor the address issued ('A2') during the address phase of the first beat of this transaction is the address of the most significant word of the double-word while the address for the second beat ('A3') is that of the least significant word i.e. A3 = A2 +4. The presence of the DRAM write buffer allows these writes to complete without the insertion of any wait states. This is true even when, as shown here, the DRAM write buffer needs to be flushed into the DIU posted write buffer, provided the DIU posted write buffer is empty. If the DIU posted write buffer is not empty (as would be signified by diu_cpu /vrite_rdy being low) then wait states would be inserted until it became empty. The cpu_diu_wdata buffer builds up the data to be written to the DIU over a number of transactions ('BD1' and 'BD2' here) while the cpujjiujjvmask records every byte that has been written to since the last flush - in this case the lowest word and then the second lowest word are written to as a result of the double-word store operation. The final transaction shown here is a DRAM read caused by an ICache miss. Note that the pipelined nature of the AHB bus allows the address phase of this transaction to overlap with the final data phase of the previous transaction. All ICache misses appear as single word loads ('LD') on the AHB bus. In this case we can see that the DIU is slower to respond to this read request than to the first read request because it is processing the write access caused by the DRAM write buffer flush. The ICache refill will complete just after the window shown in Figure 22. 11.6.6.2 CPU Subsystem Bus Interface
The CPU Subsystem Interface block handles all valid accesses to the peripheral blocks that comprise the CPU Subsystem. Table 23. CPU Subsystem Bus Interface l/Os
Figure imgf000113_0001
Figure imgf000114_0001
Description:
The CPU Subsystem Bus Interface block performs simple address decoding to select a peripheral and multiplexing of the returned signals from the various peripheral blocks. The base addresses used for the decode operation are defined in Table . Note that access to the MMU configuration registers are handled by the MMU Control Block rather than the CPU Subsystem Bus Interface block. The CPU Subsystem Bus Interface block operation is described by the following pseudocode: masked_cpu _adr = cpu adr [17 : 12] case (maskedj-ipujadr) when TI _base[17:12] cpu_timjsel = peri_access_en // The peri access an signal will have the perijnmujiata = tim_cpu data // timing required for block selects perijnmujrdy = tim_cpu_rdy perijnmujoerr = tim_cpu err all_other selects = 0 // Shorthand to ensure other cpu_block_sel signals // remain deasserted when SS_base[17:12] cpu_lss 3el = peri_access_en perijnmujiata = Issjopujiata perijnmujrdy = lssjopujrdy perijnmujoerr = lssjspujerr alljDther_selects = 0 when GPIO_base[17:12] cpujgpiojsel = perijaccessjan perijnmujiata = gpiojαpujiata perijnmujrdy = gpio_cpu_rdy perijnmujoerr = gpio_cpu_berr all_other_selects = 0 when SCBjase [17:12] cρu_scb_sel = perijaccessjan perijnmujiata = scb_cpu_da a perijnmujrdy = scb_cpu_rdy peri_mmu_berr = scbjopu err alljotherjselects = 0 when ICU_base[17:12] cpujLcujsel = perijaccess_en perijnmujiata = icu_cpu_data perijnmujrdy = icu_cpujrdy perijnmujoerr = icu_cpu_berr alljother_selects = 0 when CPR_base[17:12] cpu_cprj3el = perijaccess_en perijnmujiata = cpr_cpu_data perijnmujrdy = cpr_cpu_rdy perijnmujoerr = cpr_cpu_berr all other selects = 0 when ROMj ase [17 : 12] cpujrom_sel = perijaccessjsn perijnmujiata = rom_cpu_data perijnmujrdy = romjopu_rdy perijnmujoerr = rom_cpu err all_other_selects = 0 when PSS_base [17 : 12 ] cpujpssjsel = perijaccessjsn perijnmujiata = pssj pujiata perijnmujrdy = pssjαpujrdy perijnmujoerr = pssjopuj err alljotherjselects = 0 when Dlϋ ase [17 : 12] cpujiiujsel = perijaccessjsn perijnmujiata = diu_cpu 3ata perijnmujrdy = diujopujrdy perijnmujoerr = diu_cpu oerr alljother_selects = 0 when PCU_base [17 : 12] cpu cujsel = perijaccessjsn perijnmujiata = pcu_cpu_data perijnmujrdy = pcujopujrdy perijnmujoerr = pcu_cpu err alljotherjselects = 0 when others all_block_selects = 0 perijnmujiata = 0x00000000 perijnmujrdy = 0 perijnmujoerr = 1 end case
11.6.6.3 MMU Control Block
The MMU Control Block determines whether every CPU access is a valid access. No more than one cycle is to be consumed in determining the validity of an access and all accesses must terminate with the assertion of either mmu_cpu_rdy or mmu_cpu_berr. To safeguard against stalling the CPU a simple bus timeout mechanism will be supported. Table 24. MMU Control Block l/Os
Figure imgf000116_0001
Figure imgf000117_0001
Figure imgf000118_0001
Description:
The MMU Control Block is responsible for the MMU's core functionality, namely determining whether or not an access to any part of the address map is valid. An access is considered valid if it is to a mapped area of the address space and if the CPU is running in the appropriate mode for that address space. Furthermore the MMU control block must correctly handle the special cases that are: an interrupt acknowledge cycle, a reset exception vector fetch, an access that crosses a 256- bit DRAM word boundary and a bus timeout condition. The following pseudocode shows the logic required to implement the MMU Control Block functionality. It does not deal with the timing relationships of the various signals - it is the designer's responsibility to ensure that these relationships are correct and comply with the different bus protocols. For simplicity the pseudocode is split up into numbered sections so that the functionality may be seen more easily. It is important to note that the style used for the pseudocode will differ from the actual coding style used in the RTL implementation. The pseudocode is only intended to capture the required functionality, to clearly show the criteria that need to be tested rather than to describe how the implementation should be performed. In particular the different comparisons of the address used to determine which part of the memory map, which DRAM region (if applicable) and the permission checking should all be performed in parallel (with results ORed together where appropriate) rather than sequentially as the pseudocode implies. PSO Description: This first segment of code defines a number of constants and variables that are used elsewhere in this description. Most signals have been defined in the I/O descriptions of the MMU sub-blocks that precede this section of the document. The postjresetjstate variable is used later (in section PS4) to determine if we should trap a null pointer access.
PSO: const UnusedBottom = 0x002AC000 const DRAMTop = 0x 027FFFF const UserDataSpace = bOl const UserProgramSpace = bOO const SupervisorDataSpace = bll const SupervisorProgramSpace = blO const ResetExceptionCycles = 0x2 cpujadrjperijnasked [5 : 0] = cpujnmujadr [17 : 12] cpujadr iramjnasked [16 : 0] = cpujnmujadr & 0x003FFFE0 if (prstja == 0) then // Initialise everything cpujadr = cpujnmujadr [21 : 2] perijaccessjsn = 0 dramjaccessjen = 0 mmujcpujiata = perijnmujiata mmu_cpu_rdy = 0 mmu_cpujerr = 0 postjresetjstate = TRUE access Lnitiated = FALSE cpujaccessjont = 0
// The following is used to determine if we are coming out of reset for the purposes of // reset exception vector redirection. There may be a convenient signal in the CPU core // that we could use instead of this. if ( (cpujstartjaccess == 1) AND (cpujaccess_cnt < ResetExceptionCycles) AND (clock__tick == TRUE) ) then cpu_access_cnt = cpujaccessjont +1 else postjresetjstate = FALSE
PS1 Description: This section is at the top of the hierarchy that determines the validity of an access. The address is tested to see which macro-region (i.e. Unused, CPU Subsystem or DRAM) it falls into or whether the reset exception vector is being accessed. PS1: if (cpujnmujadr >= UnusedBotto ) then // The access is to an invalid area of the address space. See section PS2 elsif ( (cpujnmujadr > DRAMTop) AND (cpujnmujadr < UnusedBottom) ) then // We are in the CPU Subsystem/PEP Subsystem address space. See section PS3 // Only remaining possibility is an access to DRAM address space // First we need to intercept the special case for the reset exception vector elsif (cpujnmujadr < 0x00000010) then // The reset exception is being accessed. See section PS4 elsif ( (cpujadrjiramjnasked >= RegionOBottom) AND (cpujadrjiramjnasked <= RegionOTop) ) then // We are in RegionO . See section PS5 elsif ( {cpujadrjiramjnasked >= RegionNBottom) AND (cpujadrjiramjnasked <= RegionNTop) ) then // we are in RegionN // Repeat the RegionO (i.e. section PS5) logic for each of Regionl to Region7 else // We could end up here if there were gaps in the DRAM regions peri_access_en = 0 dramjaccess sn = 0 mmujopujerr = 1 // we have an unknown access error, most likely due to hitting mmujopujrdy = 0 // a gap in the DRAM regions
// Only thing remaining is to implement a bus timeout function. This is done in PS6 end
PS2 Description: Accesses to the large unused area of the address space are trapped by this section. No bus transactions are initiated and the mmu_cpu_berr signal is asserted. PS2: elsif (cpujnmujadr >= UnusedBottom) then perijaccessjsn = 0 // The access is to an invalid area of the address space dramjaccessjan = 0 mmu_cpu_berr = 1 mmuj pujrdy = 0
PS3 Description: This section deals with accesses to CPU Subsystem peripherals, including the MMU itself. If the MMU registers are being accessed then no external bus transactions are required. Access to the MMU registers is only permitted if the CPU is making a data access from supervisor mode, otherwise a bus error is asserted and the access terminated. For non-MMU accesses then transactions occur over the CPU Subsystem Bus and each peripheral is responsible for determining whether or not the CPU is in the correct mode (based on the cpujacode signals) to be permitted access to its registers. Note that all of the PEP registers are accessed via the PCU which is on the CPU Subsystem Bus.
PS3: elsif ((cpujnmujadr > DRAMTop) AND (cpujnmujadr < UnusedBottom) ) then // We are in the CPU Subsystem/PEP Subsystem address space cp jadr = cpujnmujadr [21 2] if (cpujadr perijnasked == MMUJoase) then // access is to local registers perijaccessjsn = 0 dramjaccess_en = 0 if (cpujacode == SupervisorDataSpace) then for (i=0; i<26; i++) { if ( ( i == cpujnmujadr [6 : 2] ) then // selects the addressed register if (cpujrwn == 1) then mmu_cpuj5lata [16 : 0] = MMUReg fi] // MMUReg [i] is one of the mmu_cpujrdy = 1 // registers in Table mmu_cpu_berr = 0 else // write cycle MMUReg [i] = cpujiataou [16 : 0] mmu_cpujcdy = 1 mmujopuj err = 0 else // there is no register mapped to this address mmuj2pu_berr = 1 // do we really want a bus_error here as registers mmu -pujrdy = 0 // are just mirrored in other blocks else // we have an access violation mmu_cpu berr = 1 mmu_cpu_rdy = 0 else // access is to something else on the CPU Subsystem Bus perijaccessjsn = 1 dramjaccessj≥n = 0 mmujDpujiata = perijnmujiata mmuj pujrdy = perijnmujrdy mmu_cpu_berr = perijnmujoerr
PS4 Description: The only correct accesses to the locations beneath 0x00000010 are fetches of the reset trap handling routine and these should be the first accesses after reset. Here we trap all other accesses to these locations regardless of the CPU mode. The most likely cause of such an access will be the use of a null pointer in the program executing on the CPU.
PS4: elsif (cpujnmujadr < 0x00000010 ) then if (post resetjstate == TRUE) ) then cpujadr = cpujnmujadr [21 : 2] perijaccessjsn = 1 dram jac cess jsn = 0 mmuj^pujiata = perijnmujiata mmuj pujrdy = perijnmujrdy mmu_cpu j err = perijnmujoerr else // we have a problem (almost certainly a null pointer) perijaccessjsn = 0 dramjaccessjsn = 0 mmujopuj err = 1 mmujopujrdy = 0
PS5 Description: This large section of pseudocode simply checks whether the access is within the bounds of DRAM RegionO and if so whether or not the access is of a type permitted by the RegionOControl register. If the access is permitted then a DRAM access is initiated. If the access is not of a type permitted by the RegionOControl register then the access is terminated with a bus error.
PS5: elsif ( ( cpujadr jiramjnasked >= RegionOBottom) AND (cpujadr_dram_masked <= RegionOTop) ) then // we are in RegionO cpujadr = cpujnmujadr [21 : 2] if (cpujrwn == 1) then if ( (cpujacode == SupervisorProgramSpace AND RegionOControl [2] == 1)) OR (cpujacode UserProgramSpace AND RegionOControl [5] == 1)) then // this is a valid instruction fetch from RegionO // The dramjopujiata bus goes directly to the LEON // AHB bridge which also handles the hready generation perijaccessjsn = 0 dramjaccessjsn = 1 mmu_cpujerr = 0 elsif ( (cpujacode SupervisorDataSpace AND RegionOControl [0] == 1) OR (cpujacode UserDataSpace AND RegionOControl [3] == 1)) then // this is a valid read access from RegionO perijaccessjsn = 0 dramjaccessjsn = 1 mmuj-ipu err = 0 else // we have an access violation perijaccessjsn = 0 dramjaccessjsn = 0 mmu_cpu_berr = 1 mmujopujrdy = 0 else // it is a write access if ( (cpujacode = SupervisorDataSpace AND RegionOControl [1] == 1) OR (cpujacode := UserDataSpace AND RegionOControl [4] == 1)) then // this is a valid write access to RegionO perijaccessjsn = 0 dramjaccessjsn = 1 mmu_cpu err = 0 else // we have an access violation perijaccessjsn = 0 dramjaccessjsn = 0 mmu_cpujoerr = 1 mmu_cpu_rdy = 0
PS6 Description: This final section of pseudocode deals with the special case of a bus timeout. This occurs when an access has been initiated but has not completed before the BusTimeout number of pclk cycles. While access to both DRAM and CPU/PEP Subsystem registers will take a variable number of cycles (due to DRAM traffic, PCU command execution or the different timing required to access registers in imported IP) each access should complete before a timeout occurs. Therefore it should not be possible to stall the CPU by locking either the CPU Subsystem or DIU buses. However given the fatal effect such a stall would have it is considered prudent to implement bus timeout detection.
PS6: // Only thing remaining is to implement a bus timeout function. if ( (cpujstartjaccess == 1) then access_initiated = TRUE timeout countdown = BusTimeout if ( (mmuj^pujrdy == 1 ) OR (mmuj^pu err ==1 ) ) then access_initiated = FALSE perijaccessjsn = 0 dram access en = 0 if ( (clock tick == TRUE) AND (accessjLnitiated == TRUE) AND (BusTimeout ! = 0 ) ) if ( timeout oountdown > 0 ) then timeout ountdown- - else // timeout has occurred perijaccessjsn = 0 // abort the access dramjaccessjsn = 0 mmuj'pu berr = 1 mmu_cpu_rdy = 0 11.7 LEON CACHES The version of LEON implemented on SoPEC features 1 kB of ICache and 1 kB of DCache. Both caches are direct mapped and feature 8 word lines so their data RAMs are arranged as 32 x 256-bit and their tag RAMs as 32 x 30-bit (itag) or 32 x 32-bit (dtag). Like most of the rest of the LEON code used on SoPEC the cache controllers are taken from the leon2-1.0.7 release. The LEON cache controllers and cache RAMs have been modified to ensure that an entire 256-bit line is refilled at a time to make maximum use out of the memory bandwidth offered by the embedded DRAM organization (DRAM lines are also 256-bit). The data cache controller has also been modified to ensure that user mode code cannot access the DCache contents unless it is authorised to do so. A block diagram of the LEON CPU core as implemented on SoPEC is shown in Figure 23 below. In this diagram dotted lines are used to indicate hierarchy and red items represent signals or wrappers added as part of the SoPEC modifications. LEON makes heavy use of VHDL records and the records used in the CPU core are described in Table 25. Unless otherwise stated the records are defined in the iface.vhd file (part of the LEON release) and this should be consulted for a complete breakdown of the record elements. Table 25. Relevant LEON records
Figure imgf000125_0001
Figure imgf000126_0001
11.7.1 Cache controllers
The LEON cache module consists of three components: the ICache controller (icache.vhd), the
DCache controller (dcache.vhd) and the AHB bridge (acache.vhd) which translates all cache misses into memory requests on the AHB bus.
In order to enable full line refill operation a few changes had to be made to the cache controllers.
The ICache controller was modified to ensure that whenever a location in the cache was updated
(i.e. the cache was enabled and was being refilled from DRAM) all locations on that cache line had their valid bits set to reflect the fact that the full line was updated. The ilinejdy signal is asserted by the ICache controller when this happens and this informs the cache wrappers to update all locations in the idata RAM for that line.
A similar change was made to the DCache controller except that the entire line was only updated following a read miss and that existing write through operation was preserved. The DCache controller uses the dllnejrdy signal to instruct the cache wrapper to update all locations in the ddata
RAM for a line. An additional modification was also made to ensure that a double-word load instruction from a non-cached location would only result in one read access to the DIU i.e. the second read would be serviced by the data cache. Note that if the DCache is turned off then a double-word load instruction will cause two DIU read accesses to occur even though they will both be to the same 256-bit DRAM line. The DCache controller was further modified to ensure that user mode code cannot access cached data to which it does not have permission (as determined by the relevant RegionNControl register settings at the time the cache line was loaded). This required an extra 2 bits of tag information to record the user read and write permissions for each cache line. These user access permissions can be updated in the same manner as the other tag fields (i.e. address and valid bits) namely by line refill, STA instruction or cache flush. The user access permission bits are checked every time user code attempts to access the data cache and if the permissions of the access do not agree with the permissions returned from the tag RAM then a cache miss occurs. As the MMU evaluates the access permissions for every cache miss it will generate the appropriate exception for the forced cache miss caused by the errant user code. In the case of a prohibited read access the trap will be immediate while a prohibited write access will result in a deferred trap. The deferred trap results from the fact that the prohibited write is committed to a write buffer in the DCache controller and program execution continues until the prohibited write is detected by the MMU which may be several cycles later. Because the errant write was treated as a write miss by the DCache controller (as it did not match the stored user access permissions) the cache contents were not updated and so remain coherent with the DRAM contents (which do not get updated because the MMU intercepted the prohibited write). Supervisor mode code is not subject to such checks and so has free access to the contents of the data cache.
In addition to AHB bridging, the ACache component also performs arbitration between ICache and DCache misses when simultaneous misses occur (the DCache always wins) and implements the Cache Control Register (CCR). The leon2-1.0.7 release is inconsistent in how it handles cacheability: For instruction fetches the cacheability (i.e. is the access to an area of memory that is cacheable) is determined by the ICache controller while the ACache determines whether or not a data access is cacheable. To further complicate matters the DCache controller does determine if an access resulting from a cache snoop by another AHB master is cacheable (Note that the SoPEC ASIC does not implement cache snooping as it has no need to do so). This inconsistency has been cleaned up in more recent LEON releases but is preserved here to minimise the number of changes to the LEON RTL. The cache controllers were modified to ensure that only DRAM accesses (as defined by the SoPEC memory map) are cached. The only functionality removed as a result of the modifications was support for burst fills of the ICache. When enabled burst fills would refill an ICache line from the location where a miss occurred up to the end of the line. As the entire line is now refilled at once (when executing from DRAM) this functionality is no longer required. Furthermore more substantial modifications to the ICache controller would be needed if we wished to preserve this function without adversely affecting full line refills. The CCR was therefore modified to ensure that the instruction burst fetch bit (bit16) was tied low and could not be written to. 11.7.1.1 LEON Cache Control Register
The CCR controls the operation of both the I and D caches. Note that the bitfields used on the SoPEC implementation of this register are based on the LEON v1.0.7 implementation and some bits have their values tied off. See section 4 of the LEON manual for a description of the LEON cache controllers. Table 26. LEON Cache Control Register
Figure imgf000128_0001
Figure imgf000129_0001
11.7.2 Cache wrappers
The cache RAMs used in the leon2-1.0.7 release needed to be modified to support full line refills and the correct IBM macros also needed to be instantiated. Although they are described as RAMs throughout this document (for consistency), register arrays are actually used to implement the cache RAMs. This is because IBM SRAMs were not available in suitable configurations (offered configurations were too big) to implement either the tag or data cache RAMs. Both instruction and data tag RAMs are implemented using dual port (1 Read & 1 Write) register arrays and the clocked write-through versions of the register arrays were used as they most closely approximate the single port SRAM LEON expects to see. 11.7.2.1 Cache Tag RAM wrappers
The itag and dtag RAMs differ only in their width - the itag is a 32x30 array while the dtag is a 32x32 array with the extra 2 bits being used to record the user access permissions for each line. When read using a LDA instruction both tags return 32-bit words. The tag fields are described in Table 27 and Table 28 below. Using the IBM naming conventions the register arrays used for the tag RAMs are called RA032X30D2P2W1 R1 M3 for the itag and RA032X32D2P2W1 R M3 for the dtag. The ibmjsyncram wrapper used for the tag RAMs is a simple affair that just maps the wrapper ports on to the appropriate ports of the IBM register array and ensures the output data has the correct timing by registering it. The tag RAMs do not require any special modifications to handle full line refills. Table 27. LEON Instruction Cache Tag
Figure imgf000129_0002
Table 28. LEON Data Cache Tag
Figure imgf000129_0003
Figure imgf000130_0001
11.7.2.2 Cache Data RAM wrappers
The cache data RAM contains the actual cached data and nothing else. Both the instruction and data cache data RAMs are implemented using 8 32x32-bit register arrays and some additional logic to support full line refills. Using the IBM naming conventions the register arrays used for the tag RAMs are called RA032X32D2P2W1 R1 M3. The ibm_cdramjwrap wrapper used for the tag RAMs is shown in Figure 24 below.
To the cache controllers the cache data RAM wrapper looks like a 256x32 single port SRAM (which is what they expect to see) with an input to indicate when a full line refill is taking place (the linejrdy signal). Internally the 8-bit address bus is split into a 5-bit lineaddress, which selects one of the 32 256-bit cache lines, and a 3-bit wordaddress which selects one of the 8 32-bit words on the cache line. Thus each of the 8 32x32 register arrays contains one 32-bit word of each cache line. When a full line is being refilled (indicated by both the linejrdy and write signals being high) every register array is written to with the appropriate 32 bits from the linedatain bus which contains the 256-bit line returned by the DIU after a cache miss. When just one word of the cache line is to be written (indicated by the write signal being high while the linejrdy is low) then the wordaddress is used to enable the write signal to the selected register array only - all other write enable signals are kept low. The data cache controller handles byte and half-word write by means of a read-modify-write operation so writes to the cache data RAM are always 32-bit. The wordaddress is also used to select the correct 32-bit word from the cache line to return to the LEON integer unit.
11.8 REALTIME DEBUG UNIT (RDU)
The RDU facilitates the observation of the contents of most of the CPU addressable registers in the SoPEC device in addition to some pseudo-registers in realtime. The contents of pseudo-registers, i.e. registers that are collections of otherwise unobservable signals and that do not affect the functionality of a circuit, are defined in each block as required. Many blocks do not have pseudo- registers and some blocks (e.g. ROM, PSS) do not make debug information available to the RDU as it would be of little value in realtime debug.
Each block that supports realtime debug observation features a DebugSelect register that controls a local mux to determine which register is output on the block's data bus (i.e. block _cpu_data). One small drawback with reusing the blocks data bus is that the debug data cannot be present on the same bus during a CPU read from the block. An accompanying active high block_cpu_debug_valid signal is used to indicate when the data bus contains valid debug data and when the bus is being used by the CPU. There is no arbitration for the bus as the CPU will always have access when required. A block diagram of the RDU is shown in Figure 25. Table 29. RDU I/Os
Figure imgf000131_0001
As there are no spare pins that can be used to output the debug data to an external capture device some of the existing l/Os will have a debug multiplexer placed in front of them to allow them be used as debug pins. Furthermore not every pin that has a debug mux will always be available to carry the debug data as they may be engaged in their primary purpose e.g. as a GPIO pin. The RDU therefore outputs a debug j ntrl signal with each debug data bit to indicate whether the mux associated with each debug pin should select the debug data or the normal data for the pin. The DebugPinSeH and DebugPinSel2 registers are used to determine which of the 33 potential debug pins are enabled for debug at any particular time. As it may not always be possible to output a full 32-bit debug word every cycle the RDU supports the outputting of an n-bit sub-word every cycle to the enabled debug pins. Each debug test would then need to be re-run a number of times with a different portion of the debug word being output on the n-bit sub-word each time. The data from each run should then be correlated to create a full 32- bit (or whatever size is needed) debug word for every cycle. The debugjdataj alid and pclkjout signals will accompany every sub-word to allow the data to be sampled correctly. The pclkjout signal is sourced close to its output pad rather than in the RDU to minimise the skew between the rising edge of the debug data signals (which should be registered close to their output pads) and the rising edge of pclkjout.
As multiple debug runs will be needed to obtain a complete set of debug data the n-bit sub-word will need to contain a different bit pattern for each run. For maximum flexibility each debug pin has an associated DebugDataSrc register that allows any of the 32 bits of the debug data word to be output on that particular debug data pin. The debug data pin must be enabled for debug operation by having its corresponding bit in the DebugPinSel registers set for the selected debug data bit to appear on the pin. The size of the sub-word is determined by the number of enabled debug pins which is controlled by the DebugPinSel registers. Note that the debugjdatajvalid signal is always output. Furthermore debug_cntrl[0] (which is configured by DebugPinSeH) controls the mux for both the debug jdata alid and pclkjout signals as both of these must be enabled for any debug operation. The mapping of debugjdata_out[n] signals onto individual pins will take place outside the RDU. This mapping is described in Table 30 below. Table 30. DebugPinSel mapping
Figure imgf000132_0001
Table 31. RDU Configuration Registers
Figure imgf000132_0002
Figure imgf000133_0001
11.9 INTERRUPT OPERATION
The interrupt controller unit (see chapter 14) generates an interrupt request by driving interrupt request lines with the appropriate interrupt level. LEON supports 15 levels of interrupt with level 15 as the highest level (the SPARC architecture manual [36] states that level 15 is non-maskable but we have the freedom to mask this if desired). The CPU will begin processing an interrupt exception when execution of the current instruction has completed and it will only do so if the interrupt level is higher than the current processor priority. If a second interrupt request arrives with the same level as an executing interrupt service routine then the exception will not be processed until the executing routine has completed.
When an interrupt trap occurs the LEON hardware will place the program counters (PC and nPC) into two local registers. The interrupt handler routine is expected, as a minimum, to place the PSR register in another local register to ensure that the LEON can correctly return to its pre-interrupt state. The 4-bit interrupt level (irl) is also written to the trap type (ft) field of the TBR (Trap Base Register) by hardware. The TBR then contains the vector of the trap handler routine the processor will then jump. The TBA (Trap Base Address) field of the TBR must have a valid value before any interrupt processing can occur so it should be configured at an early stage. Interrupt pre-emption is supported while ET (Enable Traps) bit of the PSR is set. This bit is cleared during the initial trap processing. In initial simulations the ET bit was observed to be cleared for up to 30 cycles. This causes significant additional interrupt latency in the worst case where a higher priority interrupt arrives just as a lower priority one is taken. The interrupt acknowledge cycles shown in Figure 26 below are derived from simulations of the LEON processor. The SoPEC toplevel interrupt signals used in this diagram map directly to the LEON interrupt signals in the iui and iuo records. An interrupt is asserted by driving its (encoded) level on the icu_cpujlevel[3:0] signals (which map to iui.irl[3:0]). The LEON core responds to this, with variable timing, by reflecting the level of the taken interrupt on the cpujcujlevel[3:0] signals (mapped to iuo.irl[3:0]) and asserting the acknowledge signal cpujack (iuo.intack). he interrupt controller then removes the interrupt level one cycle after it has seen the level been acknowledged by the core. If there is another pending interrupt (of lower priority) then this should be driven on icu_cpujlevel[3:0] and the CPU will take that interrupt (the level 9 interrupt in the example below) once it has finished processing the higher priority interrupt. The cpujcujlevel[3:0] signals always reflect the level of the last taken interrupt, even when the CPU has finished processing all interrupts.
11.10 BOOT OPERATION
See section 17.2 for a description of the SoPEC boot operation.
11.11 SOFTWARE DEBUG
Software debug mechanisms are discussed in the "SoPEC Software Debug" document [15]. 12 Serial Communications Block (SCB)
12.1 OVERVIEW
The Serial Communications Block (SCB) handles the movement of all data between the SoPEC and the host device (e.g. PC) and between master and slave SoPEC devices. The main components of the SCB are a Full-Speed (FS) USB Device Core, a FS USB Host Core, a Inter- SoPEC Interface (ISI), a DMA manager, the SCB Map and associated control logic. The need for these components and the various types of communication they provide is evident in a multi-SoPEC printer configuration.
12.1.1 Multi-SoPEC systems
While single SoPEC systems are expected to form the majority of SoPEC systems the SoPEC device must also support its use in multi-SoPEC systems such as that shown in Figure 27. A
SoPEC may be assigned any one of a number of identities in a multi-SoPEC system. A SoPEC may be one or more of a PrintMaster, a LineSyncMaster, an ISIMaster, a StorageSoPEC or an ISISIave
SoPEC.
12.1.1.1 ISIMaster device The ISIMaster is the only device that controls the common ISI lines (see Figure 30) and typically interfaces directly with the host. In most systems the ISIMaster will simply be the SoPEC connected to the USB bus. Future systems, however, may employ an ISI-Bridge chip to interface between the host and the ISI bus and in such systems the ISI-Bridge chip will be the ISIMaster. There can only be one ISIMaster on an ISI bus. Systems with multiple SoPECs may have more than one host connection, for example there could be two SoPECs communicating with the external host over their FS USB links (this would of course require two USB cables to be connected), but still only one ISIMaster. While it is not expected to be required, it is possible for a device to hand over its role as the ISIMaster to another device on the ISI i.e. the ISIMaster is not necessarily fixed.
12.1.1.2 PrintMaster device
The PrintMaster device is responsible for co-ordinating all aspects of the print operation. This includes starting the print operation in all printing SoPECs and communicating status back to the external host. When the ISIMaster is a SoPEC device it is also likely to be the PrintMaster as well. There may only be one PrintMaster in a system and it is most likely to be a SoPEC device.
12.1.1.3 LineSyncMaster device
The LineSyncMaster device generates the Isync pulse that all SoPECs in the system must synchronize their line outputs with. Any SoPEC in the system could act as a LineSyncMaster although the PrintMaster is probably the most likely candidate. It is possible that the LineSyncMaster may not be a SoPEC device at ail - it could, for example, come from some OEM motor control circuitry. There may only be one LineSyncMaster in a system.
12.1.1.4 Storage device
For certain printer types it may be realistic to use one SoPEC as a storage device without using its print engine capability - that is to effectively use it as an ISI-attached DRAM. A storage SoPEC would receive data from the ISIMaster (most likely to be an ISI-Bridge chip) and then distribute it to the other SoPECs as required. No other type of data flow (e.g. ISISIave -> storage SoPEC -> ISISIave) would need to be supported in such a scenario. The SCB supports this functionality at no additional cost because the CPU handles the task of transferring outbound data from the embedded DRAM to the ISI transmit buffer. The CPU in a storage SoPEC will have almost nothing else to do. 12.1.1.5 ISISIave device
Multi-SoPEC systems will contain one or more ISISIave SoPECs. An ISISIave SoPEC is primarily used to generate dot data for the printhead IC it is driving. An ISISIave will not transmit messages on the ISI without first receiving permission to do so, via a ping packet (see section 12.4.4.6), from the ISIMaster 12.1.1.6 ISI-Bridge device
SoPEC is targeted at the low-cost small office / home office (SoHo) market. It may also be used in future systems that target different market segments which are likely to have a high speed interface capability. A future device, known as an ISI-Bridge chip, is envisaged which will feature both a high speed interface (such as High-Speed (HS) USB, Ethernet or IEEE1394) and one or more ISI interfaces. The use of multiple ISI buses would allow the construction of independent print systems within the one printer. The ISI-Bridge would be the ISIMaster for each of the ISI buses it interfaces to.
12.1.1.7 External host The external host is most likely (but is not required) to be, a PC. Any system that can act as a USB host or that can interface to an ISI-Bridge chip could be the external host. In particular, witή the development of USB On-The-Go (USB OTG), it is possible that a number of USB OTG enabled products such as PDAs or digital cameras will be able to directly interface with a SoPEC printer. 12.1.1.8 External USB device
The external USB device is most likely (but is not required) to be, a digital camera. Any system that can act as a USB device could be connected as an external USB device. This is to facilitate printing in the absence of a PC. 2.1.2 Types of communication 2.1.2.1 Communications with external host The external host communicates directly with the ISIMaster in order to print pages. When the ISIMaster is a SoPEC, the communications channel is FS USB. 2.1.2.1.1 External host to ISIMaster communication The external host will need to communicate the following information to the ISIMaster device: Communications channel configuration and maintenance information Most data destined for PrintMaster, ISISIave or storage SoPEC devices. This data is simply relayed by the ISIMaster Mapping of virtual communications channels, such as USB endpoints, to ISI destination 2.1.2.1.2 ISIMaster to external host communication The ISIMaster will need to communicate the following information to the external host: Communications channel configuration and maintenance information • All data originating from the PrintMaster, ISISIave or storage SoPEC devices and destined for the external host. This data is simply relayed by the ISIMaster 2.1.2.1.3 External host to PrintMaster communication The external host will need to communicate the following information to the PrintMaster device: Program code for the PrintMaster • Compressed page data for the PrintMaster Control messages to the PrintMaster Tables and static data required for printing e.g. dead nozzle tables, dither matrices etc. Authenticatable messages to upgrade the printer's capabilities 2.1.2.1.4 PrintMaster to external host communication The PrintMaster will need to communicate the following information to the external host: Printer status information (i.e. authentication results, paper empty/jammed etc.) Dead nozzle information Memory buffer status information Power management status • Encrypted SoPECjd for use in the generation of PRINTER 3A keys during factory programming 2.1.2.1.5 External host to ISISIave communication All communication between the external host and ISISIave SoPEC devices must be direct (via a dedicated connection between the external host and the ISISIave) or must take place via the ISIMaster. In the case of a SoPEC ISIMaster it is possible to configure each individual USB endpoint to act as a control channel to an ISISIave SoPEC if desired, although the endpoints will be more usually used to transport data. The external host will need to communicate the following information to ISISIave devices over the comms/ISI: Program code for ISISIave SoPEC devices Compressed page data for ISISIave SoPEC devices Control messages to the ISISIave SoPEC (where a control channel is supported) Tables and static data required for printing e.g. dead nozzle tables, dither matrices etc. Authenticatable messages to upgrade the printer's capabilities 12.1.2.1.6 ISISIave to external host communication All communication between the ISISIave SoPEC devices and the external host must take place via he ISIMaster. The ISISIave will need to communicate the following information to the external host over the comms/ISI: Responses to the external host's control messages (where a control channel is supported) • Dead nozzle information from the ISISIave SoPEC. Encrypted SoPECjd for use in the generation of PRINTERjQA keys during factory programming 2.1.2.2 Communication with external USB device 2.1.2.2.1 ISIMaster to External USB device communication • Communications channel configuration and maintenance information. 2.1.2.2.2 External USB device to ISIMaster communication Print data from a function on the external USB device. 2.1.2.3 Communication over ISI 2.1.2.3.1 ISIMaster to PrintMaster communication The ISIMaster and PrintMaster will often be the same physical device. When they are different devices then the following information needs to be exchanged over the ISI: • All data from the external host destined for the PrintMaster (see section 12.1.2.1.4). This data is simply relayed by the ISIMaster 12.1.2.3.2 PrintMaster to ISIMaster communication The ISIMaster and PrintMaster will often be the same physical device. When they are different devices then the following information needs to be exchanged over the ISI: • All data from the PrintMaster destined for the external host (see section 12.1.2.1.4). This data is simply relayed by the ISIMaster 12.1.2.3.3 ISIMaster to ISISIave communication The ISIMaster may wish to communicate the following information to the ISISIaves: • All data (including program code such as ISIId enumeration) originating from the external host and destined for the ISISIave (see section 12.1.2.1.5). This data is simply relayed by the ISIMaster • wake up from sleep mode 12.1.2.3.4 ISISIave to ISIMaster communication
The ISISIave may wish to communicate the following information to the ISIMaster:
• All data originating from the ISISIave and destined for the external host (see section 12.1.2.1.6). This data is simply relayed by the ISIMaster 12.1.2.3.5 PrintMaster to ISISIave communication
When the PrintMaster is not the ISIMaster all ISI communication is done in response to ISI ping packets (see 12.4.4.6). When the PrintMaster is the ISIMaster then it will of course communicate directly with the ISISIaves. The PrintMaster SoPEC may wish to communicate the following information to the ISISIaves: • Ink status e.g. requests for dotCount data i.e. the number of dots in each color fired by the printheads connected to the ISISIaves
• configuration of GPIO ports e.g. for clutch control and lid open detect
• power down command telling the ISISIave to enter sleep mode
• ink cartridge fail information This list is not complete and the time constraints associated with these requirements have yet to be determined. In general the PrintMaster may need to be able to:
• send messages to an ISISIave which will cause the ISISIave to return the contents of ISISIave registers to the PrintMaster or • to program ISISIave registers with values sent by the PrintMaster
This should be under the control of software running on the CPU which writes messages to the ISI/SCB interface.
12.1.2.3.6 ISISIave to PrintMaster communication
ISISIaves may need to communicate the following information to the PrintMaster: • ink Status e.g. dotCount data i.e. the number of dots in each color fired by the printheads connected to the ISISIaves band related information e.g. finished band interrupts page related information i.e. buffer underrun, page finished interrupts MMU security violation interrupts • GPIO interrupts and status e.g. clutch control and lid open detect printhead temperature printhead dead nozzle information from SoPEC printhead nozzle tests power management status This list is not complete and the time constraints associated with these requirements have yet to be determined.
As the ISI is an insecure interface commands issued over the ISI should be of limited capability e.g. only limited register writes allowed. The software protocol needs to be constructed with this in mind. In general ISISIaves may need to return register or status messages to the PrintMaster or ISIMaster. They may also need to indicate to the PrintMaster or ISIMaster that a particular interrupt has occurred on the ISISIave. This should be under the control of software running on the CPU which writes messages to the ISI block.
12. .2.3.7 ISISIave to ISISIave communication
The amount of information that will need to be communicated between ISISIaves will vary considerably depending on the printer configuration. In some systems ISISIave devices will only need to exchange small amounts of control information with each other while in other systems (such as those employing a storage SoPEC or extra USB connection) large amounts of compressed page data may be moved between ISISIaves. Scenarios where ISISIave to ISISIave communication is required include: (a) when the PrintMaster is not the ISIMaster, (b) QA Chip ink usage protocols, (c) data transmission from data storage SoPECs, (d) when there are multiple external host connections supplying data to the printer.
12. .3 SCB Block Diagram
The SCB consists of four main sub-blocks, as shown in the basic block diagram of Figure 28.
12.1.4 Definitions of l/Os
The toplevel l/Os of the SCB are listed in Table 32. A more detailed description of their functionality will be given in the relevant sub-block sections. Table 32. SCB I/O
Figure imgf000139_0001
Figure imgf000140_0001
Figure imgf000141_0001
Figure imgf000142_0001
12.1.5 SCB Data Flow
A logical view of the SCB is shown in Figure 29, depicting the transfer of data within the SCB. 12.2 USBD (USB DEVICE SUB-BLOCK)
12.2.1 Overview The FS USB device controller core and associated SCB logic are referred to as the USB Device (USBD).
A SoPEC printer has FS USB device capability to facilitate communication between an external USB host and a SoPEC printer. The USBD is self-powered. It connects to an external USB host via a dedicated USB interface on the SoPEC printer, comprising a USB connector, the necessary discretes for USB signalling and the associated SoPEC ASIC l/Os.
The FS USB device core will be third party IP from Synopsys: TymeWare™ USB1.1 Device Controller (UDCVCI). Refer to the UDCVCI User Manual [20] for a description of the core. The device core does not support LS USB operation. Control and bulk transfers are supported by the device. Interrupt transfers are not considered necessary because the required interrupt-type functionality can be achieved by sending query messages over the control channel on a scheduled basis. There is no requirement to support isochronous transfers.
The device core is configured to support 6 USB endpoints (EPs): the default control EP (EP0), 4 bulk OUT EPs (EP1 , EP2, EP3, EP4) and 1 bulk IN EP (EP5). It should be noted that the direction of each EP is with respect to the USB host, i.e. IN refers to data transferred to the external host and OUT refers to data transferred from the external host. The 4 bulk OUT EPs will be used for the transfer of data from the external host to SoPEC, e.g. compressed page data, program data or control messages. Each bulk OUT EP can be mapped on to any target destination in a multi-SoPEC system, via the SCB Map configuration registers. The bulk IN EP is used for the transfer of data from SoPEC to the external host, e.g. a print image downloaded from a digital camera that requires processing on the external host system. Any feedback data will be returned to the external host on EP0, e.g. status information.
The device core does not provide internal buffering for any of its EPs (with the exception of the 8 byte setup data payload for control transfers). All EP buffers are provided in the SCB. Buffers will be grouped according to EP direction and associated packet destination. The SCB Map configuration registers contain a DestlSlld and DestlSISubld for each OUT EP, defining their EP mapping and therefore their packet destination. Refer to section Section 12.4 ISI (Inter SoPEC Interface Sub- block) for further details on ISIId and ISISubld. Refer to section Section 12.5 CTRL (Control Sub- block) for further details on the mapping of OUT EPs. 12.2.2 USBD effective bandwidth The effective bandwidth between an external USB host and the printer will be influenced by:
• Amount of activity from other devices that share the USB with the printer.
• Throughput of the device controller core. • EP buffering implementation.
• Responsiveness of the external host system CPU in handling USB interrupts.
To maximize bandwidth to the printer it is recommended that no other devices are active on the USB between the printer and the external host. If the printer is connected to a HS USB external host or hub it may limit the bandwidth available to other devices connected to the same hub but it would not significantly affect the bandwidth available to other devices upstream of the hub. The EP buffering should not limit the USB device core throughput, under normal operating conditions. Used in the recommended configuration, under ideal operating conditions, it is expected that an effective bandwidth of 8-9 Mbit/s will be achieved with bulk transfers between the external host and the printer. 12.2.3 IN EP packet buffer
The IN EP packet buffer stores packets originating from the LEON CPU that are destined for transmission over the USB to the external USB host. CPU writes to the buffer are 32 bits wide. USB device core reads from the buffer 32 bits wide. 128 bytes of local memory are required in total for EP0-IN and EP5-IN buffering. The IN EP buffer is a single, 2-port local memory instance, with a dedicated read port and a dedicated write port. Both ports are 32 bits wide. Each IN EP has a dedicated 64 byte packet location available in the memory array to buffer a single USB packet (maximum USB packet size is 64 bytes). Each individual 64 byte packet location is structured as 16 x 32 bit words and is read/written in a FIFO manner. When the device core reads a packet entry from the IN EP packet buffer, the buffer must retain the packet until the device core performs a status write, informing the SCB that the packet has been accepted by the external USB host and can be flushed. The CPU can therefore only write a single packet at a time to each IN EP. Any subsequent CPU write request to a buffer location containing a valid packet will be refused, until that packet has been successfully transmitted. 12.2.4 OUT EP packet buffer The OUT EP packet buffer stores packets originating from the external USB host that are destined for transmission over DMAChannelO, DMAChannell or the ISI. The SCB control logic is responsible for routing the OUT EP packets from the OUT EP packet buffer to DMA or to the ISITx Buffer, based on the SCB Map configuration register settings. USB core writes to the buffer are 32 bits wide. DMA and ISI associated reads from the buffer are both 64 bits wide. 512 bytes of local memory are required in total for EP0-OUT, EP1-OUT, EP2-OUT, EP3-OUT and EP4-OUT buffering. The OUT EP packet buffer is a single, 2-port local memory instance, with a dedicated read port and a dedicated write port. Both ports are 64 bits wide. Byte enables are used for the 32 bit wide USB device core writes to the buffer. Each OUT EP can be mapped to DMAChannelO, DMAChannell or the ISI.
The OUT EP packet buffer is partitioned accordingly, resulting in three distinct packet FIFOs:
• USBDDMA0FIFO, for USB packets destined for DMAChannelO on the local SoPEC.
• USBDDMA1 FIFO, for USB packets destined for DMAChannell on the local SoPEC.
• USBDISIFIFO, for USB packets destined for transmission over the ISI. 12.2.4.1 USBDDMAnFIFO
This description applies to USBDDMA0FIFO and USBDDMA1 FIFO, where 'n' represents the respective DMA channel, i.e. n=0 for USBDDMA0FIFO, n=1 for USBDDMA1 FIFO. USBDDMAnFIFO services any EPs mapped to DMAChanneln on the local SoPEC device. This implies that a packet originating from an EP with an associated ISIId that matches the local SoPEC ISIId and an ISISubld=n will be written to USBDDMAnFIFO, if there is space available for that packet.
USBDDMAnFIFO has a capacity of 2 x 64 byte packet entries, and can therefore buffer up to 2 USB packets. It can be considered as a 2 packet entry FIFO. Packets will be read from it in the same order in which they were written, i.e. the first packet written will be the first packet read and the second packet written will be the second packet read. Each individual 64 byte packet location is structured as 8 x 64 bit words and is read/written in a FIFO manner.
The USBDDMAnFIFO has a write granularity of 64 bytes, to allow for the maximum USB packet size. The USBDDMAnFIFO will have a read granularity of 32 bytes to allow for the DMA write access bursts of 4 x 64 bit words, i.e. the DMA Manager will read 32 byte chunks at a time from the USBDDMAnFIFO 64byte packet entries, for transfer to the DIU.
It is conceivable that a packet which is not a multiple 32 bytes in size may be written to the USBDDMAnFIFO. When this event occurs, the DMA Manager will read the contents of the remaining address locations associated with the 32 byte chunk in the USBDDMAnFIFO, transferring the packet plus whatever data is present in those locations, resulting in a 32 byte packet (a burst of 4 x 64 bit words) transfer to the DIU.
The DMA channels should achieve an effective bandwidth of 160 Mbits/sec (1 bit/cycle) and should never become blocked, under normal operating conditions. As the USB bandwidth is considerably less, a 2 entry packet FIFO for each DMA channel should be sufficient. 12.2.4.2 USBDISIFIFO USBDISIFIFO services any EPs mapped to ISI. This implies that a packet originating from an EP with an associated /S//cYthat does not match the local SoPEC ISIId will be written to USBDISIFIFO if there is space available for that packet.
USBDISIFIFO has a capacity of 4 x 64 byte packet entries, and can therefore buffer up to 4 USB packets. It can be considered as a 4 packet entry FIFO. Packets will be read from it in the same order in which they were written, i.e. the first packet written will be the first packet read and the second packet written will be the second packet read, etc. Each individual 64 byte packet location is structured as 8 x 64 bit words and is read/written in a FIFO manner.
The ISI long packet format will be used to transfer data across the ISI. Each ISI long packet data payload is 32 bytes. The USBDISIFIFO has a write granularity of 64 bytes, to allow for the maximum USB packet size. The USBDISIFIFO will have a read granularity of 32 bytes to allow for the ISI packet size, i.e. the SCB will read 32 byte chunks at a time from the USBDISIFIFO 64byte packet entries, for transfer to the ISI.
It is conceivable that a packet which is not a multiple 32 bytes in size may be written to the USBDISIFIFO, either intentionally or due to a software error. A maskable interrupt per EP is provided to flag this event. There will be 2 options for dealing with this scenario on a per EP basis:
• Discard the packet.
• Read the contents of the remaining address locations associated with the 32 byte chunk in the USBDISIFIFO, transferring the irregular size packet plus whatever data is present in those locations, resulting in a 32 byte packet transfer to the ISITxBuffer. The ISI should achieve an effective bandwidth of 100 Mbits/sec (4 wire configuration). It is possible to encounter a number of retries when transmitting an ISI packet and the LEON CPU will require access to the ISI transmit buffer. However, considering the relatively low bandwidth of the USB, a 4 packet entry FIFO should be sufficient.
12.2.5 Wake-up from sleep mode The SoPEC will be placed in sleep mode after a suspend command is received by the USB device core. The USB device core will continue to be powered and clocked in sleep mode. A USB reset, as opposed to a device resume, will be required to bring SoPEC out of its sleep state as the sleep state is hoped to be logically equivalent to the power down state. The USB reset signal originating from the USB controller will be propagated to the CPR (as usb_cpr_reset_n) if the USBWakeupEnable bit of the WakeupEnable register (see Table ) has been set. The USBWakeupEnable bit should therefore be set just prior to entering sleep mode. There is a scenario that would require SoPEC to initiate a USB remote wake-up (i.e. where SoPEC signals resume to the external USB host after being suspended by the external USB host). A digital camera (or other supported external USB device) could be connected to SoPEC via the internal SoPEC USB host controller core interface. There may be a need to transfer data from this external USB device, via SoPEC, to the external USB host system for processing. If the USB connecting the external host system and SoPEC was suspended, then SoPEC would need to initiate a USB remote wake-up.
12.2.6 Implementation 12.2.6.1 USBD Sub-block Partition * Block diagram * Definition of l/Os
12.2.6.2 USB Device IP Core
12.2.6.3 PVCI Target 12.2.6.4 IN EP Buffer 12.2.6.5 OUT EP Buffer
12.3 USBH (USB HOST SUB-BLOCK)
12.3.1 Overview
The SoPEC USB Host Controller (HC) core, associated SCB logic and associated SoPEC ASIC l/Os are referred to as the USB Host (USBH).
A SoPEC printer has FS USB host capability, to facilitate communication between an external USB device and a SoPEC printer. The USBH connects to an external USB device via a dedicated USB interface on the SoPEC printer, comprising a USB connector, the necessary discretes for USB signalling and the associated SoPEC ASIC l/Os. The FS USB HC core are third party IP from Synopsys: DesignWareR USB1.1 OHCI Host Controller with PVCI (UHOSTC_PVCI). Refer to the UHOSTC_PVCI User Manual [18] for details of the core.
Refer to the Open Host Controller Interface (OHCI) Specification Release [19] for details of OHCI operation.
The HC core supports Low-Speed (LS) USB devices, although compatible external USB devices are most likely to be FS devices. It is expected that communication between an external USB device and a SoPEC printer will be achieved with control and bulk transfers. However, isochronous and interrupt transfers are also supported by the HC core.
There will be 2 communication channels between the Host Controller Driver (HCD) software running on the LEON CPU and the HC core: • OHCI operational registers in the HC core. These registers are control, status, list pointers and a pointer to the Host Controller Communications Area (HCCA) in shared memory. A target Peripheral Virtual Component Interface (PCVI) on the HC core will provide LEON with direct read/write access to the operational registers. Refer to the OHCI Specification for details of these registers. • HCCA in SoPEC eDRAM. An initiator Peripheral Virtual Component Interface
(PCVI) on the HC core will provide the HC with DMA read/write access to an address space in eDRAM. The HCD running on LEON will have read/write access to the same address space. Refer to the OHCI Specification for details of the HCCA.
The target PVCI interface is a 32 bit word aligned interface, with byte enables for write access. All read/ write access to the target PVCI interface by the LEON CPU will be 32 bit word aligned. The byte enables will not be used, as all registers will be read and written as 32 bit words.
The initiator PVCI interface is a 32 bit word aligned interface with byte enables for write access. All
DMA read/write accesses are 256 bit word aligned, in bursts of 4 x 64 bit words. As there is no guarantee that the read/write requests from the HC core will start at a 256 bit boundary or be 256 bits long, it is necessary to provide 8 byte enables for each of the 64 bit words in a write burst form the HC core to DMA. The signal scbjdiujjvmask serves this purpose.
Configuration of the HC core will be performed by the HCD.
12.3.2 Read/Write Buffering
The HC core maximum burst size for a read/write access is 4 x 32 bit words. This implies that the minimum buffering requirements for the HC core will be a 1 entry deep address register and a 4 entry deep data register. It will be necessary to provide data and address mapping functionality to convert the 4 x 32 bit word HC core read/write bursts into 4 x 64 bit word DMA read/write bursts. This will meet the minimum buffering requirements. 12.3.3 USBH effective bandwidth The effective bandwidth between an external USB device and a SoPEC printer will be influenced by:
• Amount of activity from other devices that share the USB with the external USB device.
• Throughput of the HC core.
• HC read/write buffering implementation. • Responsiveness of the LEON CPU in handling USB interrupts.
Effective bandwidth between an external USB device and a SoPEC printer is not an issue. The primary application of this connectivity is the download of a print image from a digital camera. Printing speed is not important for this type of print operation. However, to maximize bandwidth to the printer it is recommended that no other devices are active on the USB between the printer and the external USB device. The HC read/write buffering in the SCB should not limit the USB HC core throughput, under normal operating conditions.
Used in the recommended configuration, under ideal operating conditions, it is expected that an effective bandwidth of 8-9 Mbit/s will be achieved with bulk transfers between the external USB device and the SoPEC printer. 12.3.4 Implementation
12.3.5 USBH Sub-block Partition
USBH Block Diagram
Definition of l/Os. 12.3.5.1 USB Host IP Core 12.3.5.2 PVCI Target
12.3.5.3 PVCI Initiator
12.3.5.4 Read/Write Buffer
12.4 ISI (INTER SOPEC INTERFACE SUB-BLOCK)
12.4.1 Overview The ISI is utilised in all system configurations requiring more than one SoPEC. An example of such a system which requires four SoPECs for duplex A3 printing and an additional SoPEC used as a storage device is shown in Figure 27.
The ISI performs much the same function between an ISISIave SoPEC and the ISIMaster as the USB connection performs between the ISIMaster and the external host. This includes the transfer of all program data, compressed page data and message (i.e. commands or status information) passing between the ISIMaster and the ISISIave SoPECs. The ISIMaster initiates all communication with the ISISIaves.
12.4.2 ISI Effective Bandwidth
The ISI will need to run at a speed that will allow error free transmission on the.PCB while minimising the buffering and hardware requirements on SoPEC. While an ISI speed of 10 Mbit/s is adequate to match the effective FS USB bandwidth it would limit the system performance when a high-speed connection (e.g. USB2.0, IEEE1394) is used to attach the printer to the PC. Although they would require the use of an extra ISI-Bridge chip such systems are envisaged for more expensive printers (compared to the low-cost basic SoPEC powered printers that are initially being targeted) in the future.
An ISI line speed (i.e. the speed of each individual ISI wire) of 32 Mbit/s is therefore proposed as it will allow ISI data to be over-sampled 5 times (at a pclk frequency of 160MHz). The total bandwidth of the ISI will depend on the number of pins used to implement the interface. The ISI protocol will work equally well if 2 or 4 pins are used for transmission/reception. The ISINumPlns register is used to select between a 2 or 4 wire ISI, giving peak raw bandwidths of 64 Mbit/s and 128 Mbit/s respectively. Using either a 2 or 4 wire ISI solution would allow the movement of data in to and out of a storage SoPEC (as described in 12.1.1.4 above), which is the most bandwidth hungry ISI use, in a timely fashion. The ISINumPins register is used to select between a 2 or 4 wire ISI. A 2 wire ISI is the default setting for ISINumPins and this may be changed to a 4 wire ISI after initial communication has been established between the ISIMaster and all ISISIaves. Software needs to ensure that the switch from 2 to 4 wires is handled in a controlled and coordinated fashion so that nothing is transmitted on the ISI during the switch over period. The maximum effective bandwidth of a two wire ISI, after allowing for protocol overheads and bus turnaround times, is expected to be approx. 50 Mbit/s. 12.4.3 ISI Device Identification and Enumeration
The ISIMasterSel bit of the ISICntrl register (see section Table ) determines whether a SoPEC is an ISIMaster (ISIMasterSel = 1), or an ISISIave (ISIMasterSel = 0). SoPEC defaults to being an ISISIave (ISIMasterSel = 0) after a power-on reset - i.e. it will not transmit data on the ISI without first receiving a ping. If a SoPECs ISIMasterSel bit is changed to 1 , then that SoPEC will become the ISIMaster, transmitting data without requiring a ping, and generating pings as appropriately programmed.
ISIMasterSel can be set to 1 explicitly by the CPU writing directly to the ISICntrl register. ISIMasterSel can also be automatically set to 1 when activity occurs on any of USB endpoints 2-4 and the AutoMasterEnable bit of the ISICntrl register is also 1 (the default reset condition). Note that if AutoMasterEnable is 0, then activity on USB endpoints 2-4 will not result in ISIMasterSel being set to 1. USB endpoints 2-4 are chosen for the automatic detection since the power-on-reset condition has USB endpoints 0 and 1 pointing to ISIId 0 (which matches the local SoPECs ISIId after power- on reset). Thus any transmission on USB endpoints 2-4 indicate a desire to transmit on the ISI which would usually indicate ISIMaster status. The automatic setting of ISIMasterSel can be disabled by clearing AutoMasterEnable, thereby allowing the SoPEC to remain an ISISIave while still making use of the USB endpoints 2-4 as external destinations.
Thus the setting of a SoPEC being ISIMaster or ISISIave can be completely under software control, or can be completely automatic. The ISIId is established by software downloaded over the ISI (in broadcast mode) which looks at the input levels on a number of GPIO pins to determine the ISIId. For any given printer that uses a multi-SoPEC configuration it is expected that there will always be enough free GPIO pins on the ISISIaves to support this enumeration mechanism. 12.4.4 ISI protocol
The ISI is a serial interface utilizing a 2/4 wire half-duplex configuration such as the 2-wire system shown in Figure 30 below. An ISIMaster must always be present and a variable number of ISISIaves may also be on the ISI bus. The ISI protocol supports up to 14 addressable slaves, however to simplify electrical issues the ISI drivers need only allow for 5-6 ISI devices on a particular ISI bus. The ISI bus enables broadcasting of data, ISIMaster to ISISIave communication, ISISIave to ISIMaster communication and ISISIave to ISISIave communication. Flow control, error detection and retransmission of errored packets is also supported. ISI transmission is asynchronous and a Start field is present in every transmitted packet to ensure synchronization for the duration of the packet. To maximize the effective ISI bandwidth while minimising pin requirements a half-duplex interleaved transmission scheme is used. Figure 31 below shows how a 16-bit word is transmitted from an ISIMaster to an ISISIave over a 2-wire ISI bus. Since data will be interleaved over the wires and a 4- wire ISI is also supported, all ISI packets should be a multiple of 4 bits. All ISI transactions are initiated by the ISIMaster and every non-broadcast data packet needs to be acknowledged by the addressed recipient. An ISISIave may only transmit when it receives a ping packet (see section 12.4.4.6) addressed to it. To avoid bus contention all ISI devices must wait ISITurnAround bit-times (5 pclk cycles per bit) after detecting the end of a packet before transmitting a packet (assuming they are required to transmit). All non-transmitting ISI devices must tristate their Tx drivers to avoid line contention. The ISI protocol is defined to avoid devices driving out of order (e.g. when an ISISIave is no longer being addressed). As the ISI uses standard I/O pads there is no physical collision detection mechanism.
There are three types of ISI packet: a long packet (used for data transmission), a ping packet (used by the ISIMaster to prompt ISISIaves for packets) and a short packet (used to acknowledge receipt of a packet). All ISI packets are delineated by a Start and Stop fields and transmission is atomic i.e. an ISI packet may not be split or halted once transmission has started.
12.4.4.1 ISI transactions
The different types of ISI transactions are outlined in Figure 32 below. As described later all NAKs are inferred and ACKs are not addressed to any particular ISI device.
12.4.4.2 Start Field Description The Start field serves two purposes: To allow the start of a packet be unambiguously identified and to allow the receiving device synchronise to the data stream. The symbol, or data value, used to identify a Start field must not legitimately occur in the ensuing packet. Bit stuffing is used to guarantee that the Start symbol will be unique in any valid (i.e. error free) packet. The ISI needs to see a valid Start symbol before packet reception can commence i.e. the receive logic constantly looks for a Start symbol in the incoming data and will reject all data until it sees a Start symbol. Furthermore if a Start symbol occurs (incorrectly) during a data packet it will be treated as the start of a new packet. In this case the partially received packet will be discarded. The data value of the Størf symbol should guarantee that an adequate number of transitions occur on the physical ISI lines to allow the receiving ISI device to determine the best sampling window for the transmitted data. The Start symbol should also be sufficiently long to ensure that the bit stuffing overhead is low but should still be short enough to reduce its own contribution to the packet overhead. A Start symbol of b01010101 is therefore used as it is an effective compromise between these constraints. Each SoPEC in a multi-SoPEC system will derive its system clock from a unique (i.e. one per SoPEC) crystal. The system clocks of each device will drift relative to each other over any period of time. The system clocks are used for generation and sampling of the ISI data. Therefore the sampling window can drift and could result in incorrect data values being sampled at a later point in time. To overcome this problem the ISI receive circuitry tracks the sampling window against the incoming data to ensure that the data is sampled in the centre of the bit period. 12.4.4.3 Stop Field Description
A 1 bit-time Stop field of b1 per ISI line ensures that all ISI lines return to the high state before the next packet is transmitted. The stop field is driven on to each ISI line simultaneously, i.e. b11 for a 2-wire ISI and b1111 for a 4-wire ISI would be interleaved over the respective ISI lines. Each ISI line is driven high for 1 bit-time. This is necessary because the first bit of the Start field is bO. 12.4.4.4 Bit Stuffing
This involves the insertion of bits into the bitstream at the transmitting SoPEC to avoid certain data patterns. The receiving SoPEC will strip these inserted bits from the bitstream. Bit-stuffing will be performed when the Start symbol appears at a location other than the start field of any packet, i.e. when the bit pattern b0101010 occurs at the transmitter, a 0 will be inserted to escape the Start symbol, resulting in the bit pattern b01010100. Conversely, when the bit pattern b0101010 occurs at the receiver, if the next bit is a '0' it will be stripped, if it is a '1 ' then a Start symbol is detected.
If the frequency variations in the quartz crystal were large enough, it is conceivable that the resultant frequency drift over a large number of consecutive 1 s or 0s could cause the receiving SoPEC to loose synchronisation.6 The quartz crystal that will be used in SoPEC systems is rated for
32MHz @ lOOppm. In a multi-SoPEC system with a 32MHz+100ppm crystal and a 32MHz-100ρρm crystal, it would take approximately 5000 pclk cycles to cause a drift of 1 pclk cycle. This means that we would only need to bit-stuff somewhere before 1000 ISI bits of consecutive Is or consecutive 0s, to ensure adequate synchronization. As the maximum number of bits transmitted per ISI line in a packet is 145, it should not be
6Current max packet size ~= 290 bits = 145 bits per ISI line (on a 2 wire ISI) = 725 160MHz cycles. Thus the pclks in the two communicating ISI devices should not drift by more than one cycle in 725 i.e. 1379 ppm. Careful analysis of the crystal, PLL and oscillator specs and the sync detection circuit is needed here to ensure our solution is robust. necessary to perform bit-stuffing for consecutive Is or 0s. We may wish to constrain the spec oϊxtalin and also xtalin for the ISI-Bridge chip to ensure the ISI cannot drift out of sync during packet reception. Note that any violation of bit stuffing will result in the RxFrameErrorSticky status bit being set and the incoming packet will be treated as an errored packet. 12.4.4.5 ISI Long Packet
The format of a long ISI packet is shown in Figure 33 below. Data may only be transferred between ISI devices using a long packet as both the short and ping packets have no payload field. Except in the case of a broadcast packet, the receiving ISI device will always reply to a long packet with an explicit ACK (if no error is detected in the received packet) or will not reply at all (e.g. an error is detected in the received packet), leaving the transmitter to infer a NAK. As with all ISI packets the bitstream of a long packet is transmitted with its Isb (the leftmost bit in Figure 33) first. Note that the total length (in bits) of an ISI long packet differs slightly between a 2 and 4-wire ISI system due to the different number of bits required for the Start and Stop fields.
All long packets begin with the Start field as described earlier. The PktDesc field is described in Table 33. Table 33. PktDesc field description Bit Description ' " 0:1 00 - Long packet 01 - Reserved 10 - Ping packet 11 - Reserved 2 Sequence bit value. Only valid for long packets, See section 12.4.4.9 for a description of sequence bit operation
Any ISI device in the system may transmit a long packet but only the ISIMaster may initiate an ISI transaction using a long packet. An ISISIave may only send a long packet in reply to a ping message from the ISIMaster. A long packet from an ISISIave may be addressed to any ISI device in the system.
The Address field is straightforward and complies with the ISI naming convention described in section 12.5.
The payload field is exactly what is in the transmit buffer of the transmitting ISI device and gets copied into the receive buffer of the addressed ISI device(s). When present the payload field is always 256 bits.
To ensure strong error detection a 16-bit CRC is appended.
12.4.4.6 ISI Ping Packet
The ISI ping packet is used to allow ISISIaves to transmit on the ISI bus. As can be seen from
Figure 34 below the ping packet can be viewed as a special case of the long packet. In other words it is a long packet without any payload. Therefore the PktDesc field is the same as a long packet
PktDesc, with the exception of the sequence bit, which is not valid for a ping packet. Both the
ISISubld and the sequence bit are fixed at 1 for all ping packets. These values were chosen to maximize the hamming distance from an ACK symbol and to minimize the likelihood of bit stuffing. The ISISubld is unused in ping packets because the ISIMaster is addressing the ISI device rather than one of the DMA channels in the device. The ISISIave may address any ISIId. ISISubld in response if it wishes. The ISISIave will respond to a ping packet with either an explicit ACK (if it has nothing to send), an inferred NAK (if it detected an error in the ping packet) or a long packet (containing the data it wishes to send). Note that inferred NAKs do not result in the retransmission of a ping packet. This is because the ping packet will be retransmitted on a predetermined schedule (see 12.4.4.11 for more details).
An ISISIave should never respond to a ping message to the broadcast ISIId as this must have been sent in error. An ISI ping packet will never be sent in response to any packet and may only originate from an ISIMaster.
72.4.4.7 ISI Short Packet
The ISI short packet is only 17 bits long, including the Start and Stop fields. A value of b11101011 is proposed for the ACK symbol. As a 16-bit CRC is inappropriate for such a short packet it is not used. In fact there is only one valid value for a short ACK packet as the Start, ACK and Stop symbols all have fixed values. Short packets are only used for acknowledgements (i.e. explicit
ACKs). The format of a short ISI packet is shown in Figure 35 below. The ACK value is chosen to ensure that no bit stuffing is required in the packet and to minimize its hamming distance from ping and long ISI packets.
12.4.4.8 Error Detection and Retransmission
The 16-bit CRC will provide a high degree of error detection and the probability of transmission errors occurring is very low as the transmission channel (i.e. PCB traces) will have a low inherent bit error rate. The number of undetected errors should therefore be minute. The HDLC standard CRC-16 (i.e. G(x) = x16 + x + x5 +1) is to be used for this calculation, which is to be performed serially. It is calculated over the entire packet (excluding the Start and Stop fields). A simple retransmission mechanism frees the CPU from getting involved in error recovery for most errors because the probability of a transmission error occurring more than once in succession is very, very low in normal circumstances. After each non-short ISI packet is transmitted the transmitting device will open a reply window. The size of the reply window will be ISIShortReplyWin bit times when a short packet is expected in reply, i.e. the size of a short packet, allowing for worst case bit stuffing, bus turnarounds and timing differences. The size of the reply window will be ISILongReplyWin bit times when a long packet is expected in reply, i.e. this will be the max size of a long packet, allowing for worst case bit stuffing, bus turnarounds and timing differences. In both cases if an ACK is received the window will close and another packet can be transmitted but if an ACK is not received then the full length of the window must be waited out.
As no reply should be sent to a broadcast packet, no reply window should be required however all other long packets open a reply window in anticipation of an ACK. While the desire is to minimize the time between broadcast transmissions the simplest solution should be employed. This would imply the same size reply window as other long packets. When a packet has been received without any errors the receiving ISI device must transmit its acknowledge packet (which may be either a long or short packet) before the reply window closes. When detected errors do occur the receiving ISI device will not send any response. The transmitting ISI device interprets this lack of response as a NAK indicating that errors were detected in the transmitted packet or that the receiving device was unable to receive the packet for some reason (e.g. its buffers are full). If a long packet was transmitted the transmitting ISI device will keep the transmitted packet in its transmit buffer for retransmission. If the transmitting device is the ISIMaster it will retransmit the packet immediately while if the transmitting device is an ISISIave it will retransmit the packet in response to the next ping it receives from the ISIMaster. The transmitting ISI device will continue retransmitting the packet when it receives a NAK until it either receives an ACK or the number of retransmission attempts equals the value of the NumRetries register. If the transmission was unsuccessful then the transmitting device sets the TxErrorSticky bit in its ISIIntStatus register. The receiving device also sets the RxErrorSticky bit in its ISIIntStatus register whenever it detects a CRC error in an incoming packet and is not required to take any further action, as it is up to the transmitting device to detect and rectify the problem. The NumRetries registers in all ISI devices should be set to the same value for consistent operation. Note that successful transmission or reception of ping packets do not affect retransmission operation. Note that a transmit error will cause the ISI to stop transmitting. CPU intervention will be required to resolve the source of the problem and to restart the ISI transmit operation. Receive errors however do not affect receive operation and they are collected to facilitate problem debug and to monitor the quality of the ISI physical channel. Transmit or receive errors should be extremely rare and their occurrence will most likely indicate a serious problem. Note that broadcast packets are never acknowledged to avoid contention on the common ISI lines. If an ISISIave detects an error in a broadcast packet it should use the message passing mechanism described earlier to alert the ISIMaster to the error if it so wishes. 12.4.4.9 Sequence Bit Operation
To ensure that communication between transmitting and receiving ISI devices is correctly ordered a sequence bit is included in every long packet to keep both devices in step with each other. The sequence bit field is a constant for short or ping packets as they are not used for data transmission. In addition to the transmitted sequence bit all ISI devices keep two local sequence bits, one for each ISISubld. Furthermore each ISI device maintains a transmit sequence bit for each ISIId and ISISubld it is in communication with. For packets sourced from the external host (via USB) the transmit sequence bit is contained in the relevant USBEPnDest register while for packets sourced from the CPU the transmit sequence bit is contained in the CPUISITxBuffCntrl register. The sequence bits for received packets are stored in ISISubldOSeq and ISISubldlSeq registers. All ISI devices will initialize their sequence bits to 0 after reset. It is the responsibility of software to ensure that the sequence bits of the transmitting and receiving ISI devices are correctly initialized each time a new source is selected for any ISIId. ISISubld channel. Sequence bits are ignored by the receiving ISI device for broadcast packets. However the broadcasting ISI device is free to toggle the sequence in the broadcast packets since they will not affect operation. The SCB will do this for all USB source data so that there is no special treatment for the sequence bit of a broadcast packet in the transmitting device. CPU sourced broadcasts will have sequence bits toggled at the discretion of the program code.
Each SoPEC may also ignore the sequence bit on either of its ISISubld channels by setting the appropriate bit in the ISISubldSeqMask register. The sequence bit should be ignored for ISISubld channels that will carry data that can originate from more than one source and is self ordering e.g. control messages. A receiving ISI device will toggle its sequence bit addressed by the ISISubld only when the receiver is able to accept data and receives an error-free data packet addressed to it. The transmitting ISI device will toggle its sequence bit for that ISIId. ISISubld channel only when it receives a valid ACK handshake from the addressed ISI device. Figure 36 shows the transmission of two long packets with the sequence bit in both the transmitting and receiving devices toggling from 0 to 1 and back to 0 again. The toggling operation will continue in this manner in every subsequent transmission until an error condition is encountered.
When the receiving ISI device detects an error in the transmitted long packet or is unable to accept the packet (because of full buffers for example) it will not return any packet and it will not toggle its local sequence bit. An example of this is depicted in Figure 37. The absence of any response prompts the transmitting device to retransmit the original (seq=0) packet. This time the packet is received without any errors (or buffer space may have been freed) so the receiving ISI device toggles its local sequence bit and responds with an ACK. The transmitting device then toggles its local sequence bit to a 1 upon correct receipt of the ACK.
However it is also possible for the ACK packet from the receiving ISI device to be corrupted and this scenario is shown in Figure 38. In this case the receiving device toggles its local sequence bit to 1 when the long packet is received without error and replies with an ACK to the transmitting device. The transmitting device does not receive the ACK correctly and so does not change its local sequence bit. It then retransmits the seq=0 long packet. When the receiving device finds that there is a mismatch between the transmitted sequence bit and the expected (local) sequence bit is discards the long packet and replies with an ACK. When the transmitting ISI device correctly receives the ACK it updates its local sequence bit to a 1 , thus restoring synchronization. Note that when the ISISubldSeqMask bit for the addressed ISISubld is set then the retransmitted packet is not discarded and so a duplicate packet will be received. The data contained in the packet should be self-ordering and so the software handling these packets (most likely control messages) is expected to deal with this eventuality. 12.4.4.10 Flow Control The ISI also supports flow control by treating it in exactly the same manner as an error in the received packet. Because the SCB enjoys greater guaranteed bandwidth to DRAM than both the ISI and USB can supply flow control should not be required during normal operation. Any blockage on a DMA channel will soon result in the NumRetries value being exceeded and transmission from that SoPEC being halted. If a SoPEC NAKs a packet because its RxBuffer is full it will flag an overflow condition. This condition can potentially cause a CPU interrupt, if the corresponding interrupt is enabled. The RxOverflowSticky bit of its ISIIntStatus register reflects this condition. Because flow control is treated in the same manner as an error the transmitting ISI device will not be able to differentiate a flow control condition from an error in the transmitted packet. 12.4.4.11 Auto-ping Operation While the CPU of the ISIMaster could send a ping packet by writing the appropriate header to the CPUISITxBuffCntrl register it is expected that all ping packets will be generated in the ISI itself. The use of automatically generated ping packets ensures that ISISIaves will be given access to the ISI bus with a programmable minimum guaranteed frequency in addition to whenever it would otherwise be idle. Five registers facilitate the automatic generation of ping messages within the ISI: PingScheduleO, PingSchedulel , PingSchedule2, ISITotalPeriod and ISILocalPeriod. Auto-pinging will be enabled if any bit of any of the PingScheduleN registers is set and disabled if all PingScheduleN registers are 0x0000.
Each bit of the 15-bit PingScheduleN register corresponds to an ISIId that is used in the Address field of the ping packet and a 1 in the bit position indicates that a ping packet is to be generated for that ISIId. A 0 in any bit position will ensure that no ping packet is generated for that ISIId. As ISISIaves may differ in their bandwidth requirement (particularly if a storage SoPEC is present) three different PingSchedule registers are used to allow an ISISIave receive up to three times the number of pings as another active ISISIave. When the ISIMaster is not sending long packets (sourced from either the CPU or USB in the case of a SoPEC ISIMaster) ISI ping packets will be transmitted according to the pattern given by the three PingScheduleN registers. The ISI will start with the Isb of PingScheduleO register and work its way from Isb through msb of each of the
PingScheduleN registers. When the msb of PingSchedule2 is reached the ISI returns to the Isb of PingScheduleO and continues to cycle through each bit position of each PingScheduleN register. The ISI has more than enough time to work out the destination of the next ping packet while a ping or long packet is being transmitted. With the addition of auto-ping operation we now have three potential sources of packets in an
ISIMaster SoPEC: USB, CPU and auto-ping. Arbitration between the CPU and USB for access to the ISI is handled outside the ISI. To ensure that local packets get priority whenever possible and that ping packets can have some guaranteed access to the ISI we use two 4-bit counters whose reload value is contained in the ISITotalPeriod and ISILocalPeriod registers. As we saw in section 12.4.4.1 every ISI transaction is initiated by the ISIMaster transmitting either a long packet or a ping packet. The ISITotalPeriod counter is decremented for every ISI transaction (i.e. either long or ping) when its value is non-zero. The ISILocalPeriod counter is decremented for every local packet that is transmitted. Neither counter is decremented by a retransmitted packet. If the ISITotalPeriod counter is zero then ping packets will not change its value from zero. Both the ISITotalPeriod and ISILocalPeriod counters are reloaded by the next local packet transmit request after the ISITotalPeriod counter has reached zero and this local packet has priority over pings. The amount of guaranteed ISI bandwidth allocated to both local and ping packets is determined by the values of the ISITotalPeriod and ISILocalPeriod registers. Local packets will always be given priority when the ISILocalPeriod counter is non-zero. Ping packets will be given priority when the ISILocalPeriod counter is zero and the ISITotalPeriod counter is still non-zero. Note that ping packets are very likely to get more than their guaranteed bandwidth as theywill be transmitted whenever the ISI bus would otherwise be idle (i.e. no pending local packets). In particular when the ISITotalPeriod counter is zero it will not be reloaded until another local packet is pending and so ping packets transmitted when the ISITotalPeriod counter is zero will be in addition to the guaranteed bandwidth. Local packets on the other hand will never get more than their guaranteed bandwidth because each local packet transmitted decrements both counters and will cause the counters to be reloaded when the ISITotalPeriod counter is zero. The difference between the values of the ISITotalPeriod and ISILocalPeriod registers determines the number of automatically generated ping packets that are guaranteed to be transmitted every ISITotalPeriod number of ISI transactions. If the ISITotalPeriod and ISILocalPeriod values are the same then the local packets will always get priority and could totally exclude ping packets if the CPU always has packets to send. For example if ISITotalPeriod = OxC; ISILocalPeriod = 0x8; PingScheduleO = OxOE; PingSchedulel = OxOC and PingSchedule2 = 0x08 then four ping messages are guaranteed to be sent in every 12 ISI transactions. Furthermore ISIId3 will receive 3 times the number of ping packets as ISIdl and ISIld2 will receive twice as many as ISIdl . Thus over a period of 36 contended ISI transactions (allowing for two full rotations through the three PingScheduleN registers) when local packets are always pending 24 local packets will be sent, ISIdl will receive 2 ping packets, ISId2 will receive 4 pings and ISId3 will receive 6 ping packets. If local traffic is less frequent then the ping frequency will automatically adjust upwards to consume all remaining ISI bandwidth.
12.4.5 Wake-up from Sleep Mode
Either the PrintMaster SoPEC or the external host may place any of the ISISIave SoPECs in sleep mode prior to going into sleep mode itself. The ISISIave device should then ensure that its ISIWakeupEnable bit of the WakeupEnable register (see Table 34) is set prior to entering sleep mode. In an ISISIave device the ISI block will continue to receive power and clock during sleep mode so that it may monitor the gpiojsijdin lines for activity. When ISI activity is detected during sleep mode and the ISIWakeupEnable bit is set the ISI asserts the isi_cpr_reset_n signal. This will bring the rest of the chip out of sleep mode by means of a wakeup reset. See chapter 16 for more details of reset propagation.
12.4.6 Implementation
Although the ISI consists of either 2 or 4 ISI data lines over which a serial data stream is demultiplexed, each ISI line is treated as a separate serial link at the physical layer. This permits a certain amount of skew between the ISI lines that could not be tolerated if the lines were treated as a parallel bus. A lower Bit Error Rate (BER) can be achieved if the serial data recovery is performed separately on each serial link. Figure 39 illustrates the ISI sub block partitioning. 12.4.6.1 ISI Sub-block Partition * Definition of l/Os. Table 34. ISI I/O
Figure imgf000157_0001
Figure imgf000158_0001
Figure imgf000159_0001
Figure imgf000160_0001
Figure imgf000161_0001
12.4.6.2 ISI Serial Interface Engine (isijsie)
There are 4 instantiations of the isijsie sub block in the ISI, 1 per ISI serial link. The isijsie is responsible for Rx serial data sampling, Tx serial data output and bit stuffing.
Data is sampled based on a phase detection mechanism. The incoming ISI serial data stream is over sampled 5 times per ISI bit period. The phase of the incoming data is determined by detecting transitions in the ISI serial data stream, which indicates the ISI bit boundaries. An ISI bit boundary is defined as the sample phase at which a transition was detected.
The basic functional components of the isijsie are detailed in Figure 40. These components are simply a grouping of logical functionality and do not necessarily represent hierarchy in the design.
12.4.6.2.1 SIE Edge Detection and Data I/O
The basic structure of the data I/O and edge detection mechanism is detailed in Figure 41. NOTE: Serial data from the receiver in the pad MUST be synchronized to the isij clk domain with a
2 stage shift register external to the ISI, to reduce the risk of metastability. serjdata_out and serjdatajen should be registered externally to the ISI.
The Rx/Tx statemachine drives serjdatajen, stuff_1_en and stuffjdjsn. The signals stuff_1jen and stuffjOjen cause a one or a zero to be driven on serjdatajout when they are asserted, otherwise fifojrdjdata is selected.
12.4.6.2.2 SIE Rx/Tx Statemachine
The Rx/Tx statemachine is responsible for the transmission of ISI Tx data and the sampling of ISI
Rx data. Each ISI bit period is 5 isi clk cycles in duration. The Tx cycle of the Rx/Tx statemachine is illustrated in Figure 42. It generates each ISI bit that is transmitted. States tx0->tx4 represent each of the 5 isij clk phases that constitute a Tx ISI bit period, serjdatajen controls the tristate enable for the ISI line driver in the bidirectional pad, as shown in Figure 41. rx xjzycle is asserted during both Rx and Tx states to indicate an active Rx or
Tx cycle. It is primarily used to enable bit stuffing. NOTE: All statemachine signals are assumed to be '0' unless otherwise stated.
The Tx cycle for Tx bit stuffing when the Rx/Tx statemachine inserts a '0' into the bitstream can be seen in Figure 43.
NOTE: All statemachine signals are assumed to be '0' unless otherwise stated
The Tx cycle for Tx bit stuffing when the RxTx statemachine inserts a '1' into the bitstream can be seen in Figure 44.
NOTE: All statemachine signals are assumed to be '0' unless otherwise stated
The tx* and stuff* states are detailed separately for clarity. They could be easily combined when coding the statemachine, however it would be better for verification and debugging if they were kept separate. The Rx cycle of the ISI Rx Tx statemachine is detailed in Figure 45. The Rx cycle of the Rx/Tx
Statemachine, samples each ISI bit that is received. States rx0->rx4 represent each of the 5 isij clk phases that constitute a Rx ISI bit period.
The optimum sample position for an ideal ISI bit period is 2 isijpclk cycles after the ISI bit boundary sample, which should result in a data sample close to the centre of the ISI bit period. rxjsample is asserted during the rx2 state to indicate a valid ISI data sample on rx_bit, unless the bit should be stripped when flagged by the bit stuffing statemachine, in which case rxjsample is not asserted during rx2 and the bit is not written to the FIFO. When edge is asserted, it resets the Rx cycle to the rxO state, from any rx state. This is how the isijsie tracks the phase of the incoming data. The Rx cycle will cycle through states rx0->rx4 until edge is asserted to reset the sample phase, or a fxj-eqf is asserted indicating that the ISI needs to transmit.
Due to the 5 times oversampling a maximum phase error of 0.4 of an ISI bit period (2 isijpclk cycles out of 5) can be tolerated.
NOTE: All statemachine signals are assumed to be '0' unless otherwise stated.
An example of the Tx data generation mechanism is detailed in Figure 46. txjreq and fifojwr x are driven by the framer block. An example of the Rx data sampling functional timing is detailed in Figure 47. The dashed lines on the serjdatajnjf signal indicate where the Rx/Tx statemachine perceived the bit boundary to be, based on the phase of the last ISI bit boundary. It can be seen that data is sampled during the same phase as the previous bit was, in the absence of a transition. 12.4.6.2.3 SIE Rx/Tx FIFO
The Rx/Tx FIFO is a 7 x 1 bit synchronous look-ahead FIFO that is shared for Tx and Rx operations. It is required to absorb any Rx/Tx latency caused by bit stripping/stuffing on a per ISI line basis, i.e. some ISI lines may require bit stripping/stuffing during an ISI bit period while the others may not, which would lead to a loss of synchronization between the data of the different ISI lines, if a FIFO were not present in each isijsie.
The basic functional components of the FIFO are detailed in Figure 48. txjready is driven by the Rx/Tx statemachine and selects which signals control the read and write operations. tx_reaoy=1 during ISI transmission and selects the fifo tx control and data signals. tx_ready=0 during ISI reception and selects the fifo rx control and data signals, fifojreset is driven by the Rx/Tx statemachine. It is active high and resets the FIFO and associated logic before/after transmitting a packet to discard any residual data.
The size of the FIFO is based on the maximum bit stuffing frequency and the size of the shift register used to segment/re-assemble the multiple serial streams in the ISI framing logic. The maximum bit stuffing frequency is every 7 consecutive ones or zeroes. The shift register used is 32 bits wide. This implies that the maximum number of stuffed bits encountered in the time it takes to fill/empty the shift register if 4. This would suggest that 4 x 1 bit would be the minimum ideal size of the FIFO. However it is necessary to allow for different skew and phase error between the ISI lines, hence a 7 x 1 bit FIFO. The FIFO is controlled by the isijsie during packet reception and is controlled by the isi rame block during packet transmission. This is illustrated in Figure 49. The signal txjeady selects which mode the FIFO control signals operate in. When tx_ready=0, i.e. Rx mode, the isijsie control signals rxjsample, fifojrdjrx and serjdatajnjf are selected. When tx_ready=1 , i.e. Tx mode, the siejrame control signals fifojwrjx, fifoj-d x and fifojwrjdatajx are selected. 12.4.6.3 Bit Stuffing Programmable bit stuffing is implemented in the isijsie. This is to allow the system to determine the amount of bit stuffing necessary for a specific ISI system devices. It is unlikely that bit stuffing would be required in a system using a 100ppm rated crystal. However, a programmable bit stuffing implementation is much more versatile and robust. The bit stuffing logic consists of a counter and a statemachine that track the number of consecutive ones or zeroes that are transmitted or received and flags the Rx Tx statemachine when the bit stuffing limit has been reached. The counter, stuff jzount, is a 7 bit counter, which decrements when rxjsample is asserted on a Rx cycle or when fifoj/djx is asserted on a Tx cycle. The upper 4 bits of stuffjcount are loaded with isi_bit_stuff_rate. The lower 3 bits of stuffjcount are always loaded with b111 , i.e. for isij itjstuffjrate = bOOO, the counter would be loaded with b0000111. This is to prevent bit stuffing for less than 7 consecutive ones or zeroes. This allows the bit stuffing limit to be set in the range 7->127 consecutive ones or zeroes.
NOTE: It is extremely important that a change in the bit stuffing rate, isij itjstuff ate, is carefully co-ordinated between ISI devices in a system. It is obvious that ISI devices will not be able to communicate reliably with each other with different bit stuffing settings. It is recommended that all ISI devices in a system default to the safest bit stuffing rate (isi_bit_stuff_rate = bOOO) at reset. The system can then co-ordinate the change to an optimum bit stuffing rate. The ISI bit stuffing statemachine Tx cycle is shown in Figure 50. The counter is loaded when stuffjcounijoad is asserted. NOTE: All statemachine signals are assumed to be '0' unless otherwise stated.
The ISI bit stuffing statemachine Rx cycle is shown in Figure 51. It should be noted that the statemachine enters the strip state when stuff_count=0x2. This is because the statemachine can only transition to rxO or rx1 when rxjsample is asserted as it needs to be synchronized to changes in sampling phase introduced by the Rx/Tx statemachine. Therefore a one or a zero has already been sampled by the time it enters rxO or rx1. This is not the case for the Tx cycle, as it will always have a stable 5 isij clk cycles per bit period and relies purely on the data value when entering fxO or tx1. The Tx cycle therefore enters stuffl or stuffO when stuff_count=0x1. NOTE: All statemachine signals are assumed to be '0' unless otherwise stated. 12.4.6.4 ISI Framing and CRC sub-block (isijrame) 12.4.6.4.1 CRC Generation/Checking
A Cyclic Redundancy Checksum (CRC) is calculated over all fields except the start and stop fields for each long or ping packet transmitted. The receiving ISI device will perform the same calculation on the received packet to verify the integrity of the packet. The procedure used in the CRC generation/checking is the same as the Frame Checking Sequence (FCS) procedure used in HDLC, detailed in ITU-T Recommendation T30[39].
For generation/checking of the CRC field, the shift register illustrated in Figure 52 is used to perform the modulo 2 division on the packet contents by the polynomial G(x) = x16 + x12 + x5 +1. To generate the CRC for a transmitted packet, where T(x) = [Packet Descriptor field, Address field, Data Payload field] (a ping packet will not contain a data payload field). • Set the shift register to OxFFFF.
• Shift T(x) through the shift register, LSB first. This can occur in parallel with the packet transmission.
• Once the each bit of T(x) has been shifted through the register, it will contain the remainder of the modulo 2 division T(x)/G(x). • Perform a ones complement of the register contents, giving the CRC field which is transmitted MSB first, immediately following the last bit of M(x To check the CRC for a received packet, where R(x) = [Packet Descriptor field, Address field, Data Payload field, CRC field] (a ping packet will not contain a data payload field). • Set the shift register to OxFFFF. • Shift R(x) through the shift register, LSB first. This can occur in parallel with the packet reception. • Once each bit of the packet has been shifted through the register, it will contain the remainder of the modulo 2 division R(x)IG(x). • The remainder should equal b0001110100001111 , for a packet without errors.
12.5 CTRL (CONTROL SUB-BLOCK)
12.5.1 Overview
The CTRL is responsible for high level control of the SCB sub-blocks and coordinating access between them. All control and status registers for the SCB are contained within the CTRL and are accessed via the CPU interface. The other major components of the CTRL are the SCB Map logic and the DMA Manager logic.
12.5.2 SCB Mapping
In order to support maximum flexibility when moving data through a multi-SoPEC system it is possible to map any USB endpoint onto either DMAChannel within any SoPEC in the system. The SCB map, and indeed the SCB itself is based around the concept of an ISIId and an ISISubld. Each SoPEC in the system has a unique ISIId and two ISISublds, namely ISISubldO and ISISubldl . We use the convention that ISISubldO corresponds to DMAChannelO in each SoPEC and ISISubldl corresponds to DMAChannell . The naming convention for the ISIId is shown in Table 35 below and this would correspond to a multi-SoPEC system such as that shown in Figure 27. We use the term ISIId instead of SoPECId to avoid confusion with the unique ChiplD used to create the SoPECJd and SoPEC_id_key (see chapter 17 and [9] for more details). Table 35. ISIId naming convention
Figure imgf000165_0001
The combined ISIId and ISISubld therefore allows the ISI to address DMAChannelO or
DMAChannell on any SoPEC device in the system. The ISI, DMA manager and SCB map hardware use the ISIId and ISISubld to handle the different data streams that are active in a multi- SoPEC system as does the software running on the CPU of each SoPEC. In this document we will identify DMAChannels as ISIx.y where x is the ISIId and y is the ISISubld. Thus ISI2.1 refers to DMAChannell of ISISIave2. Any data sent to a broadcast channel, i.e. ISI15.0 or ISI15.1 , are received by every ISI device in the system including the ISIMaster (which may be an ISI-Bridge). The USB device controller and software stacks however have no understanding of the ISIId and ISISubld but the Silverbrook printer driver software running on the external host does make use of the ISIId and ISISubld. USB is simply used as a data transport - the mapping of USB device endpoints onto ISIId and Subld is communicated from the external host Silverbrook code to the SoPEC Silverbrook code through USB control (or possibly bulk data) messages i.e. the mapping information is simply data payload as far as USB is concerned. The code running on SoPEC is responsible for parsing these messages and configuring the SCB accordingly. The use of just two DMAChannels places some limitations on what can be achieved without software intervention. For every SoPEC in the system there are more potential sources of data than there are sinks. For example an ISISIave could receive both control and data messages from the ISIMaster SoPEC in addition to control and data from the external host, either specifically addressed to that particular ISISIave or over the broadcast ISI channel. However all ISISIaves only have two possible data sinks, i.e. DMAChannelO and DMAChannell . Another example is the ISIMaster in a multi-SoPEC system which may receive control messages from each SoPEC in addition to control and data information from the external host (e.g. over USB). In this case all of the control messages are in contention for access to DMAChannelO. We resolve these potential conflicts by adopting the following conventions: l) Control messages may be interleaved in a memory buffer: The memory buffer that the DMAChannelO points to should be regarded as a central pool of control messages. Every control message must contain fields that identify the size of the message, the source and the destination of the control message. Control messages may therefore be multiplexed over a DMAChannel which allows several control message sources to address the same DMAChannel. Furthermore, if SoPEC-type control messages contain source and destination fields it is possible for the external host to send control messages to individual SoPECs over the ISI15.0 broadcast channel. 2 ) Data messages should not be interleaved in a memory buffer: As data messages are typically part of a much larger block of data that is being transferred it is not possible to control their contents in the same manner as is possible with the control messages. Furthermore we do not want the CPU to have to perform reassembly of data blocks. Data messages from different sources cannot be interleaved over the same DMAChannel - the SCB map must be reconfigured each time a different data source is given access to the DMAChannel.
3 ) Every reconfiguration of the SCB map requires the exchange of control messages: SoPECs SCB map reset state is shown in Table and any subsequent modifications to this map require the exchange of control messages between the SoPEC and the external host. As the external host is expected to control the movement of data in any SoPEC system it is anticipated that all changes to the SCB map will be performed in response to a request from the external host. While the SoPEC could autonomously reconfigure the SCB map (this is entirely up to the software running on the SoPEC) it should not do so without informing the external host in order to avoid data being mis- routed. An example of the above conventions in operation is worked through in section 12.5.2.3. 12.5.2.1 SCB map rules
The operation of the SCB map is described by these 2 rules:
Rule 1 : A packet is routed to the DMA manager if it originates from the USB device core and has an
ISIId that matches the local SoPEC ISIId.
Rule 2: A packet is routed to the ISI if it originates from the CPU or has an ISIId that does not match the local SoPEC ISIId. If the CPU erroneously addresses a packet to the ISIId contained in the ISIId register (i.e. the ISIId of the local SoPEC) then that packet will be transmitted on the ISI rather than be sent to the DMA manager. While this will usually cause an error on the ISI there is one situation where it could be beneficial, namely for initial dialog in a 2 SoPEC system as both devices come out of reset with an
ISIId of 0.
12.5.2.2 External host to ISIMaster SoPEC communication
Although the SCB map configuration is independent of ISIMaster status, the following discussion on
SCB map configurations assumes the ISIMaster is a SoPEC device rather than an ISI bridge chip, and that only a single USB connection to the external host is present. The information should apply broadly to an ISI-Bridge but we focus here on an ISIMaster SoPEC for clarity.
As the ISIMaster SoPEC represents the printer device on the PC USB bus it is required by the USB specification to have a dedicated control endpoint, EP0. At boot time the ISIMaster SoPEC will also require a bulk data endpoint to facilitate the transfer of program code from the external host. The simplest SCB map configuration, i.e. for a single stand-alone SoPEC, is sufficient for external host to ISIMaster SoPEC communication and is shown in Table 36. Table 36. Single SoPEC SCB map configuration
Figure imgf000167_0001
In this configuration all USB control information exchanged between the external host and SoPEC over EP0 (which is the only bidirectional USB endpoint). SoPEC specific control information (printer status, DNC info etc.) is also exchanged over EP0.
All packets sent to the external host from SoPEC over EP0 must be written into the DMA mapped EP buffer by the CPU (LEON-PC dataflow in Figure 29). All packets sent from the external host to SoPEC are placed in DRAM by the DMA Manager, where they can be read by the CPU (PC-DIU dataflow in Figure 29). This asymmetry is because in a multi-SoPEC environment the CPU will need to examine all incoming control messages (i.e. messages that have arrived over DMAChannelO) to ascertain their source and destination (i.e. they could be from an ISISIave and destined for the external host) and so the additional overhead in having the CPU move the short control messages to the EP0 FIFO is relatively small. Furthermore we wish to avoid making the SCB more complicated than necessary, particularly when there is no significant performance gain to be had as the control traffic will be relatively low bandwidth.
The above mechanisms are appropriate for the types of communication outlined in sections
12.1.2.1.1 through 12.1.2.1.4
12.5.2.3 Broadcast communication The SCB configuration for broadcast communication is also the default, post power-on reset, configuration for SoPEC and is shown in Table 37.
Table 37. Default SoPEC SCB map configuration
Figure imgf000168_0001
USB endpoints EP2 and EP3 are mapped onto ISISublDO and ISISubldl of ISIId15 (the broadcast ISIId channel). EPO is used for control messages as before and EP1 is a bulk data endpoint for the ISIMaster SoPEC. Depending on what is convenient for the boot loader software, EP1 may or may not be used during the initial program download, but EP1 is highly likely to be used for compressed page or other program downloads later. For this reason it is part of the default configuration. In this setup the USB device configuration will take place, as it always must, by exchanging messages over the control channel (EPO). One possible boot mechanism is where the external host sends the bootloaderl program code to all SoPECs by broadcasting it over EP3. Each SoPEC in the system then authenticates and executes the bootloaderl program. The ISIMaster SoPEC then polls each ISISIave (over the ISIx.O channel). Each ISISIave ascertains its ISIId by sampling the particular GPIO pins required by the bootloaderl and reporting its presence and status back to the ISIMaster. The ISIMaster then passes this information back to the external host over EPO. Thus both the external host and the ISIMaster have knowledge of the number of SoPECs, and their ISIIds, in the system. The external host may then reconfigure the SCB map to better optimise the SCB resources for the particular multi-SoPEC system. This could involve simplifying the default configuration to a single SoPEC system or remapping the broadcast channels onto DMAChannels in individual ISISIaves. The following steps are required to reconfigure the SCB map from the configuration depicted in Table to one where EP3 is mapped onto ISI1.0: 1) The external host sends a control message(s) to the ISIMaster SoPEC requesting that USB EP3 be remapped to ISI1.0 2 ) The ISIMaster SoPEC sends a control message to the external host informing it that EP3 has now been mapped to ISI1.0 (and therefore the external host knows that the previous mapping of ISI15.1 is no longer available through EP3). 3 ) The external host may now send control messages directly to ISISIavel without requiring any CPU intervention on the ISIMaster SoPEC 12.5.2.4 External host to ISISIave SoPEC communication If the ISIMaster is configured correctly (e.g. when the ISIMaster is a SoPEC, and that SoPECs SCB map is configured correctly) then data sent from the external host destined for an ISISIave will be transmitted on the ISI with the correct address. The ISI automatically forwards any data addressed to it (including broadcast data) to the DMA channel with the appropriate ISISubld. If the ISISIave has data to send to the external host it must do so by sending a control message to the ISIMaster identifying the external host as the intended recipient. It is then the ISIMaster's responsibility to forward this message to the external host.
With this configuration the external host can communicate with the ISISIave via broadcast messages only and this is the mechanism by which the bootloaderl program is downloaded. The ISISIave is unable to communicate with the external host (or the ISIMaster) until the bootlloaderl program has successfully executed and the ISISIave has determined what its ISIId is. After the bootloaderl program (and possibly other programs) has executed the SCB map of the ISIMaster may be reconfigured to reflect the most appropriate topology for the particular multi-SoPEC system it is part of. All communication from an ISISIave to external host is either achieved directly (if there is a direct USB connection present for example) or by sending messages via the ISIMaster. The ISISIave can never initiate communication to the external host. If an ISISIave wishes to send a message to the external host via the ISIMaster it must wait until it is pinged by the ISIMaster and then send a the message in a long packet addressed to the ISIMaster. When the ISIMaster receives the message from the ISISIave it first examines it to determine the intended destination and will then copy it into the EPO FIFO for transmission to the external host. The software running on the ISIMaster is responsible for any arbitration between messages from different sources (including itself) that are all destined for the external host. The above mechanisms are appropriate for the types of communication outlined in sections 12.1.2.1.5 and 12.1.2.1.6.
12.5.2.5 ISIMaster to ISISIave communication
All ISIMaster to ISISIave communication takes place over the ISI. Immediately after reset this can only be by means of broadcast messages. Once the bootloaderl program has successfully executed on all SoPECs in a multi-SoPEC system the ISIMaster can communicate with each SoPEC on an individual basis.
If an ISISIave wishes to send a message to the ISIMaster it may do so in response to a ping packet from the ISIMaster. When the ISIMaster receives the message from the ISISIave it must interpret the message to determine if the message contains information required to be sent to the external host. In the case of the ISIMaster being a SoPEC, software will transfer the appropriate information into the EPO FIFO for transmission to the external host.
The above mechanisms are appropriate for the types of communication outlined in sections 12.1.2.3.3 and 12.1.2.3.4.
12.5.2.6 ISISIave to ISISIave communication
ISISIave to ISISIave communication is expected to be limited to two special cases: (a) when the PrintMaster is not the ISIMaster and (b) when a storage SoPEC is used. When the PrintMaster is not the ISIMaster then it will need to send control messages (and receive responses to these messages) to other ISISIaves. When a storage SoPEC is present it may need to send data to each SoPEC in the system. All ISISIave to ISISIave communication will take place in response to ping messages from the ISIMaster. 12.5.2.7 Use of the SCB map in an ISISIave with a external host connection
After reset any SoPEC (regardless of ISIMaster/Slave status) with an active USB connection will route packets from EP0.1 to DMA channels 0,1 because the default SCB map is to map EPO to ISIIdO.O and EP1 to ISIIdO.1 and the default ISIId is 0. At some later time the SoPEC learns its true ISIId for the system it is in and re-configures its ISIId and SCB map registers accordingly. Thus if the true ISIId is 3 the external host could reconfigure the SCB map so that EPO and EP1 (or any other endpoints for that matter) map to ISIId3.0 and 3.1 respectively. The co-ordination of the updating of the ISIId registers and the SCB map is a matter for software to take care of. While the AutoMasterEnable bit of the ISICntrl register is set the external host must not send packets down EP2-4 of the USB connection to the device intended to be an ISISIave. When AutoMasterEnable has been cleared the external host may send data down any endpoint of the USB connection to the ISISIave.
The SCB map of an ISISIave can be configured to route packets from any EP to any ISIId. ISISubld (just as an ISIMaster can). As with an ISIMaster these packets will end up in the SCBTxBuffer but while an ISIMaster would just transmit them when it got a local access slot (from ping arbitration) the ISISIave can only transmit them in response to a ping. All this would happen without CPU intervention on the ISISIave (or ISIMaster) and as long as the ping frequency is sufficiently high it would enable maximum use of the bandwidth on both USB buses.
12.5.3 DMA Manager
The DMA manager manages the flow of data between the SCB and the embedded DRAM. Whilst the CPU could be used for the movement of data in SoPEC, a DMA manager is a more efficient solution as it will handle data in a more predictable fashion with less latency and requiring less buffering. Furthermore a DMA manager is required to support the ISI transfer speed and to ensure that the SoPEC could be used with a high speed ISI-Bridge chip in the future. The DMA manager utilizes 2 write channels (DMAChannelO, DMAChannell) and 1 read/write channel (DMAChannel2) to provide 2 independent modes of access to DRAM via the DIU interface:
• USBD/ISI type access.
• USBH type access.
DIU read and write access is in bursts of 4x64 bit words. Byte aligned write enables are provided for write access. Data for DIU write accesses will be read directly from the buffers contained in the respective SCB sub-blocks. There is no internal SCB DMA buffer. The DMA manager handles all issues relating to byte/ word/longword address alignment, data endianness and transaction scheduling. If a DMA channel is disabled during a DMA access, the access will be completed. Arbitration will be performed between the following DIU access requests:
• USBD write request. • ISI write request. • USBH write request.
• USBH read request.
DMAChannelO will have absolute priority over any DMA requestors. In the absence of DMAChannelO DMA requests, arbitration will be performed in a round robin manner, on a per cycle basis over the other channels.
12.5.3.1 DMA Effective Bandwidth
The DIU bandwidth available to the DMA manager must be set to ensure adequate bandwidth for all data sources, to avoid back pressure on the USB and the ISI. This is achieved by setting the output (i.e. DIU) bandwidth to be greater than the combined input bandwidths (i.e. USBD + USBH + ISI). The required bandwidth is expected to be 160 Mbits/s (1 bit/cycle @ 160MHz). The guaranteed DIU bandwidth for the SCB is programmable and may need further analysis once there is better knowledge of the data throughput from the USB IP cores.
12.5.3.2 USBD/ISI DMA access
The DMA manager uses the two independent unidirectional write channels for this type of DMA access, one for each ISISubld, to control the movement of data. Both DMAChannelO and
DMAChannell only support write operation and can transfer data from any USB device DMA mapped EP buffer and from the ISI receive buffer to separate circular buffers in DRAM, corresponding to each DMA channel. While the DMA manager performs the work of moving data the CPU controls the destination and relative timing of data flows to and from the DRAM. The management of the DRAM data buffers requires the CPU to have accurate and timely visibility of both the DMA and PEP memory usage. In other words when the PEP has completed processing of a page band the CPU needs to be aware of the fact that an area of memory has been freed up to receive incoming data. The management of these buffers may also be performed by the external host. 12.5.3.2.1 Circular buffer operation
The DMA manager supports the use of circular buffers for both DMAChannels. Each circular buffer is controlled by 5 registers: DMAnBottomAdr, DMAnTopAdr, DMAnMaxAdr, DMAnCurrWPtr and DMAnlntAdr. The operation of the circular buffers is shown in Figure 53 below. Here we see two snapshots of the status of a circular buffer with (b) occurring sometime after (a) and some CPU writes to the registers occurring in between (a) and (b). These CPU writes are most likely to be as a result of a finished band interrupt (which frees up buffer space) but could also have occurred in a DMA interrupt service routine resulting from DMAnlntAdr being hit. The DMA manager will continue filling the free buffer space depicted in (a), advancing the DMAnCurrWPtr after each write to the DIU. Note that the DMACurrWPtr register always points to the next address the DMA manager will write to. When the DMA manager reaches the address in DMAnlntAdr (i.e. DMACurrWPtr = DMAnlntAdr) it will generate an interrupt if the DMAnlntAdrMask bit in the DMAMask register is set. The purpose of the DMAnlntAdr register is to alert the CPU that data (such as a control message or a page or band header) has arrived that it needs to process. The interrupt routine servicing the DMA interrupt will change the DMAnlntAdr va ue to the next location that data of interest to the CPU will have arrived by. In the scenario shown in Figure 53 the CPU has determined (most likely as a result of a finished band interrupt) that the filled buffer space in (a) has been freed up and is therefore available to receive more data. The CPU therefore moves the DMAnMaxAdr to the end of the section that has been freed up and moves the DMAnlntAdr address to an appropriate offset from the DMAnMaxAdr address. The DMA manager continues to fill the free buffer space and when it reaches the address in DMAnTopAdr it wraps around to the address in DMAnBottomAdr and continues from there. DMA transfers will continue indefinitely in this fashion until the DMA manager reaches the address in the DMAnMaxAdr register. The circular buffer is initialized by writing the top and bottom addresses to the DMAnTopAdr and DMAnBottomAdr registers, writing the start address (which does not have to be the same as the DMAnBottomAdr even though it usually will be) to the DMAnCurrWPtr register and appropriate addresses to the DMAnlntAdr and DMAnMaxAdr registers. The DMA operation will not commence until a 1 has been written to the relevant bit of the DMAChanEn register. While it is possible to modify the DMAnTopAdr and DMAnBottomAdr registers after the DMA has started it should be done with caution. The DMAnCurrWPtr register should not be written to while the DMAChannel is in operation. DMA operation may be stalled at any time by clearing the appropriate bit of the DMAChanEn register or by disabling an SCB mapping or ISI receive operation. 12.5.3.2.2 Non-standard buffer operation The DMA manager was designed primarily for use with a circular buffer. However because the DMA pointers are tested for equality (i.e. interrupts generated when DMAnCurrWPtr = DMAIntAdr or DMAnCurrWPtr = DMAMaxAdr) and no bounds checking is performed on their values (i.e. neither DMAnlntAdr nor DMAnMaxAdr are checked to see if they lie between DMAnBottomAdr and DMAnTopAdr) a number of non-standard buffer arrangements are possible. These include: • Dustbin buffer: If DMAnBottomAdr, DMAnTopAdr and DMAnCurrWPtr all point to the same location and both DMAnlntAdr and DMAnMaxAdr point to anywhere else then all data for that DMA channel will be dumped into the same location without ever generating an interrupt. This is the equivalent to writing to /dev/null on Unix systems. • Linear buffer: If DMAnMaxAdr and DMAnTopAdr have the same value then the DMA manager will simply fill from DMAnBottomAdr to DMAnTopAdr and then stop. DMAnlntAdr should be outside this buffer or have its interrupt disabled.
12.5.3.3 USBH DMA access
The USBH requires DMA access to DRAM in to provide a communication channel between the USB HC and the USB HCD via a shared memory resource. The DMA manager uses two independent channels for this type of DMA access, one for reads and one for writes. The DRAM addresses provided to the DIU interface are generated based on addresses defined in the USB HC core operational registers, in USBH section 12.3.
12.5.3.4 Cache coherency
As the CPU will be processing some of the data transferred (particularly control messages and page/band headers) into DRAM by the DMA manager, care needs to be taken to ensure that the data it uses is the most recently transferred data. Because the DMA manager will be updating the circular buffers in DRAM without the knowledge of the cache controller logic in the LEON CPU core the contents of the cache can become outdated. This situation can be easily handled by software, for example by flushing the relevant cache lines, and so there is no hardware support to enforce cache coherency.
12.5.4 ISI transmit buffer arbitration
The SCB control logic will arbitrate access to the ISI transmit buffer (ISITxBuffer) interface on the ISI block. There are two sources of ISI Tx packets:
• CPUISITxBuffer, contained in the SCB control block. • ISI mapped USB EP OUT buffers, contained in the USB device block.
This arbitration is controlled by the ISITxBuffArb register which contains a high priority bit for both the CPU and the USB. If only one of these bits is set then the corresponding source always has priority. Note that if the CPU is given absolute priority over the USB, then the software filling the ISI transmit buffer needs to ensure that sufficient USB traffic is allowed through. If both bits of the ISITxBufferArb have the same value then arbitration will take place on a round robin basis.
The control logic will use the USBEPnDest registers, as it will use the CPUISITxBuffCntrl register, to determine the destination of the packets in these buffers. When the ISITxBuffer has space for a packet, the SCB control logic will immediately seek to refill it. Data will be transferred directly from the CPUISITxBuffer and the ISI mapped USB EP OUT buffers to the ISITxBuffer without any intermediate buffering.
As the speed at which the ISITxBuffer can be emptied is at least 5 times greater than it can be filled by USB traffic, the ISI mapped USB EP OUT buffers should not overflow using the above scheme in normal operation. There are a number of scenarios which could lead to the USB EPs being temporarily blocked such as the CPU having priority, retransmissions on the ISI bus, channels being enabled (ChannelEn bit of the USBEPnDest register) with data already in their associated endpoint buffers or short packets being sent on the USB. Care should be taken to ensure that the USB bandwidth is efficiently utilised at all times.
12.5.5 Implementation
12.5.5.1 CTRL Sub-block Partition * Block Diagram
* Definition of l/Os
12.5.5.2 SCB Configuration Registers
The SCB register map is listed in Table 38. Registers are grouped according to which SCB sub- block their functionality is associated. All configuration registers reside in the CTRL sub-block. The Reset values in the table indicates the 32 bit hex value that will be returned when the CPU reads the associated address location after reset. All Registers pre-fixed with He refer to Host Controller Operational Registers, as defined in the OHCI Spec[19].
The SCB will only allow supervisor mode accesses to data space (i.e. cpujacode[l :0] = b11 ). All other accesses will result in scb_cpu_berr being asserted. TDB: Is read access necessary for ISI Rx/Tx buffers? Could implement the ISI interface as simple FIFOs as opposed to a memory interface. Table 38. SCB control block configuration registers
Figure imgf000174_0001
Figure imgf000175_0001
Figure imgf000176_0001
Figure imgf000177_0001
Figure imgf000178_0001
Figure imgf000179_0001
A detailed description of each register format follows. The CPU has full read access to all registers. Write access to the fields of each register is defined as: • Full: The CPU has full write access to the field, i.e. the CPU can write a 1 or a 0 to each bit. • Clear: The CPU can clear the field by writing a 1 to each bit. Writing a 0 to this type of field will have no effect. • None: The CPU has no write access to the field, i.e. a CPU write will have no effect on the field. 12.5.5.2.1 SCBResetN Table 39. SCBResetN register format
Figure imgf000180_0001
12.5.5.2.2 SCBGo Table 40. SCBGo register format
Figure imgf000180_0002
12.5.5.2.3 SCBWakeUpEn
This register is used to gate the propagation of the USB and ISI reset signals to the CPR block.
Table 41. SCBWakeUpEn register format
Figure imgf000180_0003
Figure imgf000181_0001
12.5.5.2.4 SCBISITxBufferArb
This register determines which source has priority at the ISITxBuffer interface on the ISI block. When a bit is set priority is given to the relevant source. When both bits have the same value, arbitration will be performed in a round-robin manner. Table 42. SCBISITxBufferArb register format
Figure imgf000181_0002
12.5.5.2.5 SCBDebugSel
Contains address of the register selected for debug observation as it would appear on cpujadr. The contents of the selected register are output in the scbjcpujdata bus while cpujscbjsel is low and scb_cpu_debug_valid is asserted to indicate the debug data is valid. It is expected that a number of pseudo-registers will be made available for debug observation and these will be outlined with the implementation details. Table 43. SCBDebugSel register format
Figure imgf000181_0003
12.5.5.2.6 USBEPnDest
This register description applies to USBEPODest, USBEPIDest, USBEP2Dest, USBEP3Dest, USBEP4Dest. The SCB has two routing options for each packet received, based on the DestlSlld associated with the packets source EP:
• To the DMA Manager
• To the ISI
The SCB map therefore does not need special fields to identify the DMAChannels on the ISIMaster SoPEC as this is taken care of by the SCB hardware. Thus the USBEPODest and USBEPIDest registers should be programmed with 0x20 and 0x21 (for ISIO.O and ISI0.1) respectively to ensure data arriving on these endpoints is moved directly to DRAM. Table 44. USBEPnDest register format
Figure imgf000182_0001
If the local SoPEC is connected to an external USB host, it is recommended that the EPO communication channel should always remain enabled and mapped to DMAChannelO on the local
SoPEC, as this is intended as the primary control communication channel between the external
USB host and the local SoPEC.
A SoPEC ISIMaster should map as many USB endpoints, under the control of the external host, as are required for the multi-SoPEC system it is part of. As already mentioned this mapping may be dynamically reconfigured.
12.5.5.2.7 DMAnBottomAdr
This register description applies to DMAOBottomAdr and DM A1 Bottom Adr.
Table 45. DMAnBottomAdr register format
Figure imgf000183_0001
12.5.5.2.8 DMAnTopAdr
This register description applies to DMAOTopAdr and DMAITopAdr.
Table 46. DMAnTopAdr register format
Figure imgf000183_0002
12.5.5.2.9 DMAnCurrWPtr
This register description applies to DMAOCurrWPtr and DMAICurrWPtr.
Table 47. DMAnCurrWptr register format
Figure imgf000183_0003
12.5.5.2.10 DMAnlntAdr
This register description applies to DMAOIntAdr and DMAIIntAdr. Table 48. DMAnlntAdr register format
Bιt(s) Write Description access DMAnlntAdr 21 :5 Full The 256-bit aligned DRAM address of the location that will trigger an interrupt when reached by DMAChanneln buffer.
12.5.5.2.11 DMAnMaxAdr This register description applies to DMAOMaxAdr and DMAIMaxAdr. Table 49. DMAnMaxAdr register format Field Name Bit(s) Write Description access DMAnMaxAdr 21 :5 Full The 256-bit aligned DRAM address of the last free location that in the DMAChanneln circular buffer. DMAChannelO transfers will stop when it reaches this address.
12.5.5.2.1 22 DMAAccessEn
This register enables DMA access for the various requestors, on a per channel basis.
Table 50. DMAAccessEn register format
12.5.5.2.
Figure imgf000184_0001
The status bits are not sticky bits i.e. they reflect the 'live' status of the channel. DMAChannelNlntAdrHit and DMAChannelNMaxAdrHit status bits may only be cleared by writing to the relevant DMAnlntAdr or DMAnMaxAdr register. Table 51. DMAStatus register format
Figure imgf000184_0002
Figure imgf000185_0001
All bits of the DMAMask are both readable and writable by the CPU. The DMA manager cannot alter the value of this register. All interrupts are generated in an edge sensitive manner i.e. the DMA manager will generate a dmajcujrq pulse each time a status bit goes high and its corresponding mask bit is enabled.
Table 52. DMAMask register format
Figure imgf000185_0002
12.5.5.2.15 CPUISITxBuffCtrl register Table 53. CPUISITxBuffCtrl register format
Figure imgf000185_0003
Figure imgf000186_0001
12.5.5.2.16 USBDIntStatus
The USBDIntStatus register contains status bits that are related to conditions that can cause an interrupt to the CPU, if the corresponding interrupt enable bits are set in the USBDMask register. The field name extension Sticky implies that the status condition will remain registered until cleared by a CPU write of 1 to each bit of the field.
NOTE: There is no EpOlrregPktSticky field because the default control EP will frequently receive packets that are not multiples of 32 bytes during normal operation. Table 54. USBDIntStatus register format
Figure imgf000186_0002
Figure imgf000187_0001
Figure imgf000188_0001
12.5.5.2.17 USBDISIFIFOStatus
This register contains the status of the ISI mapped OUT EP packet FIFO. This is a secondary status register and will not cause any interrupts to the CPU.
Table 55. USBDISIFIFOStatus register format
Figure imgf000188_0002
Figure imgf000189_0001
12.5.5.2.18 USBDDMAOFIFOStatus
This register description applies to USBDDMAOFIFOStatus and USBDDMAIFIFOStatus. This register contains the status of the DMAChannelN mapped OUT EP packet FIFO. This is a secondary status register and will not cause any interrupts to the CPU. Table 56. USBDDMANFIFOStatus register format
Figure imgf000189_0002
This register causes the USB device core to initiate resume signalling to the external USB host. Only applicable when the device core is in the suspend state. Table 57. USBDResume register format
Field Name Bit(s) Write access Description
USBDResume full USBD core resume register. The USBD will clear this register upon resume notification from the device core. 1 = generate resume signalling. 0 = default value.
12.5.5.2.20 USBDSetup
This register controls the general setup/configuration of the USBD.
Table 58. USBDSetup register format
Figure imgf000190_0001
This register description applies to USBDEpOlnBuffCtrl and USBDEpδlnBuffCtrl. Table 59. USBDEpNlnBuffCtrl register format
Figure imgf000190_0002
12.5.5.2.22 USBDMask
This register serves as an interrupt mask for all USBD status conditions that can cause a CPU interrupt. Setting a field enables interrupt generation for the associated status event. Clearing a field disables interrupt generation for the associated status event. All interrupts will be generated in an edge sensitive manner, i.e. when the associated status register transitions from 0 -> 1.
Table 60. USBDMask register format
Figure imgf000190_0003
Figure imgf000191_0001
12.5.5.2.23 USBDDebug
This register is intended for debug purposes only. Contains non-sticky versions of all interrupt capable status bits, which are referred to as dynamic in the table.
Table 61. USBDDebug register format
Figure imgf000191_0002
Figure imgf000192_0001
12.5.5.2.24 USBHStatus
This register contains all status bits associated with the USBH. The field name extension Sticky implies that the status condition will remain registered until cleared by a CPU write. Table 62. USBHStatus register format
Figure imgf000192_0002
12.5.5.2.25 USBHMask
This register serves as an interrupt mask for all USBH status conditions that can cause a CPU interrupt. All interrupts will be generated in an edge sensitive manner, i.e. when the associated status register transitions from 0 -> 1.
Table 63. USBHMask register format
Figure imgf000192_0003
Figure imgf000193_0001
12.5.5.2.26 USBHDebug
This register is intended for debug purposes only. Contains non-sticky versions of all interrupt capable status bits, which are referred to as dynamic in the table.
Table 64. USBHDebug register format
Figure imgf000193_0002
12.5.5.2.27 ISICntrl
This register controls the general setup/configuration of the ISI.
Note that the reset value of this register allows the SoPEC to automatically become an ISIMaster
(AutoMasterEnable = 1) if any USB packets are received on endpoints 2-4. On becoming an
ISIMaster the ISIMasterSel bit is set and any USB or CPU packets destined for other ISI devices are transmitted. The CPU can override this capability at any time by clearing the AutoMasterEnable bit. Table 65. ISICntrl register format
Figure imgf000193_0003
Figure imgf000194_0001
12.5.5.2.28 ISIId Table 66. ISIId register format
Figure imgf000194_0002
12.5.5.2.29 ISINumRetries Table 67. ISINumRetries register format
Figure imgf000194_0003
12.5.5.2.30 ISIPingScheduleN
This register description applies to ISIPingScheduleO, ISIPingSchedulel and ISIPingSchedule2. Table 68. ISIPingScheduleN register format
Field Name ;Bit(s) Write Description access ISIPingSchedule 14:0 Full Denotes which ISIIds will be receive ping packets Note that bitO refers to ISIIdO, bitl to lSlld1...bit14 to ISIId14.
12.5.5.2.31 ISITotalPeriod Table 69. ISITotalPeriod register format
Figure imgf000194_0004
12.5.5.2.32 ISILocalPeriod Table 70. ISILocalPeriod register format
Figure imgf000195_0001
12.5.5.2.33 ISIIntStatus
The ISIIntStatus register contains status bits that are related to conditions that can cause an interrupt to the CPU, if the corresponding interrupt enable bits are set in the ISIMask register. Table 71. ISIIntStatus register
Field Name Bit(s) Write Description access
[TxErrorSticky None ISI transmit error flag. Sticky. Receiving ISI device would not accept the transmitted packet. Only set after NumRetries unsuccessful retransmissions, (excluding ping packets). This bit is cleared by the ISI after transmission has been re-enabled by the CPU setting the TxEnable bit of the ISICntrl register. 1= transmit error. 0 = default state.
RxFrameErrorSticky Clear ISI receive framing error flag. Sticky. This bit is set by the ISI when a framing error detected in the received packet, which can be caused by an incorrect Start or Stop field or by bit stuffing errors. 1 = framing error detected. 0 = default state.
RxCRCErrorSticky Clear ISI receive CRC error flag. This bit is set by the ISI when a CRC error is detected in an incoming packet. Other than dropping the errored packet ISI reception is unaffected by a CRC Error. 1 = CRC error 0 = default state.
RxBuffOverFlowSticky Clear ISI receive buffer over flow flag. Sticky. An overflow has occurred in the ISI receive buffer and a packet had to be dropped. 1 = over flow condition detected. 0 = default state.
12.5.5.2.34 ISITxBuffStatus
The ISITxBuffStatus register contains status bits that are related to the ISI Tx buffer. This is a secondary status register and will not cause any interrupts to the CPU. Table 72. ISITxBuffStatus register format
Figure imgf000196_0001
The ISIRxBuffStatus register contains status bits that are related to the ISI Rx buffer. This is a secondary status register and will not cause any interrupts to the CPU. Table 73. ISIRxBuffStatus register format
Figure imgf000196_0002
1 = valid packet 0 = default value EntryOPktDesc 13:1 None ISI Rx buffer entry #0 packet descriptor. PktDesc field as per Table for packet entry #0 of the ISIRxBuff. Only valid when EntryOPktValid = 1. EntryODestlSlld 7:4 None ISI Rx buffer 0 destination ISI ID. Denotes the ISIId of the target SoPEC as per Table . This should always correspond to the local SoPEC ISIId. Only valid when EntryOPktValid = 1. EntryODestlSISubld None ISI Rx buffer 0 destination ISI sub ID. Indicates which DMAChannel on the target SoPEC that entry #0 of the ISIRxBuff is destined for. Only valid when EntryOPktValid = 1. 1 = DMAChannell 0 = DMAChannelO Entryl PktValid None As per EntryOPktValid. Entryl PktDesc 12:10 None v s per EntryOPktDesc. Entryl DestlSI Id 16:13 None V s per EntryODestlSlld. Entryl DestlSISubld 17 None vks per EntryODestlSISubld.
12.5.5.2.36 ISIMask register
An interrupt will be generated in an edge sensitive manner i.e. the ISI will generate an isijcujrq pulse each time a status bit goes high and the corresponding bit of the ISIMask register is enabled. Table 74. ISIMask register
Figure imgf000197_0001
12.5.5.2.37 ISISubldNSeq
This register description applies to ISISubldOSeq and ISISubldOSeq. Table 75. ISISubldNSeq register format
Field Name Bit(s) Write Description access ISISubldNSeq Full ISI sub ID channel N sequence bit. This bit may be initialised by the CPU but is updated by the ISI each time an error-free long packet is received.
12.5.5.2.38 ISISubldSeqMask Table 76. ISISubldSeqMask register format
Figure imgf000198_0001
12.5.5.2.39 ISINumPins Table 77. ISINumPins register format
Figure imgf000198_0002
12.5.5.2.40 ISITurnAround
The ISI bus turnaround time will reset to its maximum value of OxF to provide a safer starting mode for the ISI bus. This value should be set to a value that is suitable for the physical implementation of the ISI bus, i.e. the lowest turn around time that the physical implementation will allow without significant degradation of signal integrity. Table 78. ISITurnAround register format
Figure imgf000198_0003
12.5.5.2.41 ISIShortReplyWin The ISI short packet reply window time will reset to its maximum value of 0x1 F to provide a safer starting mode for the ISI bus. This value should be set to a value that will allow for expected frequency of bit stuffing and receiver response timing. Table 79. ISIShortReplyWin register format
Figure imgf000199_0001
12.5.5.2.42 ISILongReplyWin
The ISI long packet reply window time will reset to its maximum value of 0x1 FF to provide a safer starting mode for the ISI bus. This value should be set to a value that will allow for expected frequency of bit stuffing and receiver response timing. Table 80. ISILongReplyWin register format
Figure imgf000199_0002
12.5.5.2.43 ISIDebug
This register is intended for debug purposes only. Contains non-sticky versions of all interrupt capable status bits, which are referred to as dynamic in the table. Table 81. ISIDebug register format
Figure imgf000199_0003
12.5.5.3 CPU Bus Interface 12.5. δ.4 Control Core Logic 12.δ.δ.δ DIU Bus Interface 12.6 DMA REGS
All of the circular buffer registers are 256-bit word aligned as required by the DIU. The DMAnBottomAdr and DMAnTopAdr registers are inclusive i.e. the addresses contained in those registers form part of the circular buffer. The DMAnCurrWPtr always points to the next location the DMA manager will write to so interrupts are generated whenever the DMA manager reaches the address in either the DMAnlntAdr or DMAnMaxAdr registers rather than when it actually writes to these locations. It therefore can not write to the location in the DMAnMaxAdr register. SCB Map regs The SCB map is configured by mapping a USB endpoint on to a data sink. This is performed on a endpoint basis i.e. each endpoint has a configuration register to allow its data sink be selected. Mapping an endpoint on to a data sink does not initiate any data flow - each endpoint/data sink needs to be enabled by writing to the appropriate configuration registers for the USBD, ISI and DMA manager.
13. General Purpose IO (GPIO) 13.1 OVERVIEW
The General Purpose IO block (GPIO) is responsible for control and interfacing of GPIO pins to the rest of the SoPEC system. It provides easily programmable control logic to simplify control of GPIO functions. In all there are 32 GPIO pins of which any pin can assume any output or input function. Possible output functions are
• 4 Stepper Motor control Outputs
• 12 Brushless DC Motor Control Output (total of 2 different controllers each with 6 outputs)
• 4 General purpose high drive pulsed outputs capable of driving LEDs. • 4 Open drain lOs used for LSS interfaces
• 4 Normal drive low impedance lOs used for the ISI interface in Multi-SoPEC mode Each of the pins can be configured in either input or output mode, each pin is independently controlled. A programmable de-glitching circuit exists for a fixed number of input pins. Each input is a schmidt trigger to increase noise immunity should the input be used without the de-glitch circuit. The mapping of the above functions and their alternate use in a slave SoPEC to GPIO pins is shown in Table 82 below. Table 82. GPIO pin type
Figure imgf000200_0001
The motor control pins can be directly controlled by the CPU or the motor control logic can be used to generate the phase pulses for the stepper motors. The controller consists of two central counters from which the control pins are derived. The central counters have several registers (see Table ) used to configure the cycle period, the phase, the duty cycle, and counter granularity. There are two motor master counters (0 and 1) with identical features. The period of the master counters are defined by the MotorMasterClkPeriod[1:0] and MotorMasterClkSrc registers i.e. both master counters are derived from the same MotorMasterClkSrc. The MotorMasterClkSrc defines the timing pulses used by the master counters to determine the timing period. The MotorMasterClkSrc can select clock sources of 1μs,100μs,10ms and pclk timing pulses. The MotorMasterClkPeriod[1 :0] registers are set to the number of timing pulses required before the timing period re-starts. Each master counter is set to the relevant MotorMasterClkPeriod value and counts down a unit each time a timing pulse is received.
The master counters reset to MotorMasterClkPeriod value and count down. Once the value hits zero a new value is reloaded from the MotorMasterClkPeriod[1:0] registers. This ensures that no master clock glitch is generated when changing the clock period. Each of the IO pins for the motor controller are derived from the master counters. Each pin has independent configuration registers. The MotorMasterClkSelect[3:0] registers define which of the two master counters to use as the source for each motor control pin. The master counter value is compared with the configured MotorCtrlLow and MotorCtrlHigh registers (bit fields of the MotorCtrlConfig register). If the count is equal to MotorCtrlHigh value the motor control is set to 1 , if the count is equal to MotorCtrlLow value the motor control pin is set to 0. This allows the phase and duty cycle of the motor control pins to be varied at pclk granularity.
The motor control generators keep a working copy of the MotorCtrlLow, MotorCtrlHigh values and update the configured value to the working copy when it is safe to do so. This allows the phase or duty cycle of a motor control pin to be safely adjusted by the CPU without causing a glitch on the output pin. Note that when reprogramming the MotorCtrlLow, MotorCtrlHigh registers to reorder the sequence of the transition points (e.g changing from low point less than high point to low point greater than high point and vice versa) care must still taken to avoid introducing glitching on the output pin. 13.3 LED CONTROL LED lifetime and brightness can be improved and power consumption reduced by driving the LEDs with a pulsed rather than a DC signal. The source clock for each of the LED pins is a 7.8kHz (128μs period) clock generated from the 1μs clock pulse from the Timers block. The LEDDutySelect registers are used to create a signal with the desired waveform. Unpulsed operation of the LED pins can be achieved by using CPU IO direct control, or setting LEDDutySelect to 0. By default the LED pins are controlled by the LED control logic. 13.4 LSS INTERFACE VIA GPIO
In some SoPEC system configurations one or more of the LSS interfaces may not be used. Unused LSS interface pins can be reused as general IO pins by configuring the lOModeSelect registers. When a mode select register for a particular GPIO pin is set to 23,22,21 ,20 the GPIO pin is connected to LSS control lOs 3 to 0 respectively. 13.5 ISI INTERFACE VIA GPIO
In Multi-SoPEC mode the SCB block (in particular the ISI sub-block) requires direct access to and from the GPIO pins. Control of the ISI interface pins is determined by the lOModeSelect registers. When a mode select register for a particular GPIO pin is set to 27,26,25,24 the GPIO pin connected to the ISI control bits 3 to 0 respectively. By default the GPIO pins 1 to 0 are directly controlled by the ISI block. In single SoPEC systems the pins can be re-used by the GPIO.
13.6 CPU GPIO CONTROL
The CPU can assume direct control of any (or all) of the IO pins individually. On a per pin basis the CPU can turn on direct access to the pin by configuring the lOModeSelect register to CPU direct mode. Once set the IO pin assumes the direction specified by the CpulODirection register. When in output mode the value in register CpulOOut will be directly reflected to the output driver. When in input mode the status of the input pin can be read by reading CpulOln register. When writing to the CpulOOut register the value being written is XORed with the current value in CpulOOut. The CPU can also read the status of the 10 selected de-glitched inputs by reading the CpulOlnDeGlitch register.
13.7 PROGRAMMABLE DE-GLITCHING LOGIC
Each IO pin can be filtered through a de-glitching logic circuit, the pin that the de-glitching logic is connected to is configured by the InputPinSelect registers. There are 10 de-glitching circuits, so a maximum of 10 input pin can be de-glitched at any time. The de-glitch circuit can be configured to sample the IO pin for a predetermined time before concluding that a pin is in a particular state. The exact sampling length is configurable, but each de- glitch circuit must use one of two possible configured values (selected by DeGlitchSelect). The sampling length is the same for both high and low states. The DeGlitchCount is programmed to the number of system time units that a state must be valid for before the state is passed on. The time units are selected by DeGlitchClkSel and can be one of 1 μs, 10Oμs, 10ms and pclk pulses.
For example if DeGlitchCount is set to 10 and DeGlitchClkSel set to 3, then the selected input pin must consistently retain its value for 10 system clock cycles (pclk) before the input state will be propagated from CpulOln to CpulOlnDeglitch.
13.8 INTERRUPT GENERATION Any of the selected input pins (selected by InputPinSelect) can generate an interrupt from the raw or deglitched version of the input pin. There are 10 possible interrupt sources from the GPIO to the interrupt controller, one interrupt per input pin. The InterruptSrcSelect register determines whether the raw input or the deglitched version is used as the interrupt source. The interrupt type, masking and priority can be programmed in the interrupt controller. 13.9 FREQUENCY ANALYSER
The frequency analyser measures the duration between successive positive edges on a selected input pin (selected by InputPinSelect) and reports the last period measured (FreqAnaLastPeriod) and a running average period (FreqAnaAverage). The running average is updated each time a new positive edge is detected and is calculated by FreqAnaAverage = ( FreqAnaAverage / 8 ) * 7 + FreqAnaLastPeriod 18.
The analyser can be used with any selected input pin (or its deglitched form), but only one input at a time can be selected. The input is selected by the FreqAnaPinSelect (range of 0 to 9) and its deglitched form can be selected by FreqAnaPinFormSelect.
13.10 BRUSHLESS DC (BLDC) MOTOR CONTROLLERS The GPIO contains 2 brushless DC (BLDC) motor controllers. Each controller consists of 3 hall inputs, a direction input, and six possible outputs. The outputs are derived from the input state and a pulse width modulated (PWM) input from the Stepper Motor controller, and is given by the truth table in Table 83. Table 83. Truth Table for BLDC Motor Controllers
Figure imgf000203_0001
All inputs to a BLDC controller must be de-glitched. Each controller has its inputs hardwired to de- glitch circuits. Controller 1 hall inputs are de-glitched by circuits 2 to 0, and its direction input is de- glitched by circuit 3. Controller 2 inputs are de-glitched by circuits 6 to 4 for hall inputs and 7 for direction input.
Each controller also requires a PWM input. The stepper motor controller outputs are reused, output 0 is connected to BLDC controller 1 , and output 1 to BLDC controller 2. The controllers have two modes of operation, internal and external direction control (configured by BLDCMode). If a controller is in external direction mode the direction input is taken from a deglitched circuit, if it is in internal direction mode the direction input is configured by the BLDCDirection register.
The BLDC controller outputs are connected to the GPIO output pins by configuring the lOModeSelect register for each pin. e.g Setting the mode register to 8 will connect q1 Controller 1 to drive the pin.
13.11 IMPLEMENTATION 3.11.1 Definitions of I/O Table 84. I/O definition
Figure imgf000204_0001
Figure imgf000205_0001
13.11.2 Configuration registers
The configuration registers in the GPIO are programmed via the CPU interface. Refer to section 11.4.3 on page 96 for a description of the protocol and timing diagrams for reading and writing registers in the GPIO. Note that since addresses in SoPEC are byte aligned and the CPU only supports 32-bit register reads and writes, the lower 2 bits of the CPU address bus are not required to decode the address space for the GPIO. When reading a register that is less than 32 bits wide zeros should be returned on the upper unused bit(s) of gpio_cpu_data. Table 85 lists the configuration registers in the GPIO block Table 85. GPIO Register Definition
Figure imgf000206_0001
Figure imgf000207_0001
Figure imgf000208_0001
Figure imgf000209_0001
Figure imgf000210_0001
13.11.2.1 Supervisor and user mode access
The configuration registers block examines the CPU access type (cpujacode signal) and determines if the access is allowed to that particular register, based on configured user access registers. If an access is not allowed the GPIO will issue a bus error by asserting the gpio_cpu_berr signal.
All supervisor and user program mode accesses will result in a bus error.
Access to the CpulODirection, CpulOOut and CpulOln is filtered by the CpulOUserModeMask and CpulOSuperModeMask registers. Each bit masks access to the corresponding bits in the CpulO* registers for each mode, with CpulOUserModeMask filtering user data mode access and CpulOSuperModeMask filtering supervisor data mode access.
The addition of the CpulOSuperModeMask register helps prevent potential conflicts between user and supervisor code read modify write operations. For example a conflict could exist if the user code is interrupted during a read modify write operation by a supervisor ISR which also modifies the CpulO* registers. An attempt to write to a disabled bit in user or supervisor mode will be ignored, and an attempt to read a disabled bit returns zero. If there are no user mode enabled bits then access is not allowed in user mode and a bus error will result. Similarly for supervisor mode.
When writing to the CpulOOut register, the value being written is XORed with the current value in the CpulOOut register, and the result is reflected on the GPIO pins. The pseudocode for determining access to the CpulOOut register is shown below. Similar code could be shown for the CpulODirection and CpulOln registers. Note that when writing to CpulODirection data is deposited directly and not XORed with the existing data (as in the CpulOOut case). if (cpujacode == SUPERVISOR_DATA_MODE) then // supervisor mode if (CpulOSuperModeMask [31:0] == 0 ) then // access is denied, and bus error gpiojcpujerr = 1 elsif (cpujrwn == 1) then // read mode (no filtering needed) gpio_cpu_data[31:0] = CpulOOut [31: 0] else // write mode, filtered by mask mask [31:0] = (cpujdataout [31: 0] CpulOSuperModeMas [31:0] ) CpulOOut [31:0] = ( cpujdataout [31:0] Λ mask [31:0] ) //bitwise XOR operator elsif (cpujacode == USER_DATA_MODE ) then // user datamode if (CpulOUserModeMask [31:0] == 0 ) then // access is denied, and bus error gpiojpujoerr = 1 elsif (cpu rwn == 1) then // read mode, filtered by mask gpio_cpu_data = ( CpulOOut [31:0] & CpulOUserModeMask [31:0]) else // write mode, filtered by mask mask [31:0] = (cpujdataout [31: 0] & CpulOUserModeMask [31:0] ) CpulOOut [31:0] = (cpujdataout [31:0] Λ mask [31:0] ) //bitwise XOR operator else // access is denied, bus error gpiojαpujberr = 1
Table 66 details the access modes allowed for registers in the GPIO block. In supervisor mode all registers are accessible. In user mode forbidden accesses will result in a bus error (gpio_cpu_berr asserted). Table 66. GPIO supervisor and user access modes
Figure imgf000211_0001
Figure imgf000212_0001
Figure imgf000213_0003
13.11.3 GPIO partition
13.11.4 IO control
The IO control block connects the IO pin drivers to internal signalling based on configured setup registers and debug control signals. // Output Control for (i=0; i<32 ; i4-+) { if (debug_cntrl [i] == 1) then // debug mode gpiojs [i] = l;gpio_ _oc [i] =debugjdata_out [i] else // normal mode case io_mode_select [i] is 0 : gpiojs [i] =1 ;gpioj3[i] =led trl [0] // LED output 1 1 : gpiojs [i] =1 ;gpiojo[i] =ledj_;trl [1] // LED output 2 2 : gpiojs[i] =1 ;gpiojD[i] =ledj-:trl [2] // LED output 3 3 : gpio_e[i] =1 ;gpiojo[i] =led trl [3] // LED output 4 4 : gpio_e[i] =1 ,-gpio_o[i] --motor _ctrl [0] // Stepper Motor Control 1 5 : gpiojs [i]
Figure imgf000213_0001
[1] // Stepper Motor Control 2 6 : gpio_e[i] =1 ;gpio_o[i] =motor ^trl [2] // Stepper Motor Control 3 7 : gpiojs [i] =1 ;gpiojD[i]
Figure imgf000213_0002
[3] // Stepper Motor Coonnttrrooll 44 : gpiojs [i] =1 ;gpio_o[i] =bldc_ctrl [0] [0] // BLDC Motor C oonnttrrooll 11 , . oouuttppuutt 11 9 : gpiojs [i] =1 ;gpio_o [i] =bldc Ctrl [0] [1] // BLDC Motor Control 1, output 2 10: gpiojs i] =1 ;gpio_o[i =bldc_ctrl[0] [2] // BLDC Motor Control 1 output 3 11: gpiojs i] =1 ;gpiojo[i =bldc_ctrl[0] [3] // BLDC Motor Control 1 output 4 12 : gpiojs i] =1 ,-gpiojo[i =bldc_ctrl [0] [4] // BLDC Motor Control 1 output 5 13 : gpiojs i] =1 ,-gpio_o[i =bldc_ctrl [0] [5] // BLDC Motor Control 1 output 6 14 : gpiojs i] =1 ;gpiojo[i =bldc_ctrl [1] [0] // BLDC Motor Control 2 output 1 15 : gpiojs i] =1 ;gpiojo[i =bldc_ctrl [1] [1] // BLDC Motor Control 2 output 2 16 : gpiojs i] =1 ;gpio_o[i =bldc_ctrl [1] [2] // BLDC Motor Control 2 output 3 17 : gpiojs i] =1 ;gpiojD[i =bldc_ctrl [1] [3] // BLDC Motor Control 2 output 4 18 : gpiojs i] =1 ;gpiojo[i =bldc_ctrl [1] [4] // BLDC Motor Control 2 output 5 19 : gpiojs i] =1 ;gpiojD[i' =bldc ctrlfl] [5] // BLDC Motor Control 2 output 6 20: gpiojs [i] =1 ;gpio_o[i] =lssjgpio αlk[0] // LSS Clk 0 21: gpiojs [i] =1 ;gpiojo[i] =lss_gpio lk [1] // LSS Clk 1 22: gpiojs [i] =lss_gpio_e [0] ;gpio_o[i]
=lss_gpio_dout [0] ; // LSS Data 0 gpio_lss_din[0] = gpio_i [i] 23: gpiojs [i] =lssjgpiojs [1] ;gpio_o[i]
=lss_gpio_dout [1] ; // LSS Data 1 gpio_lssjdin[l] = gpiojL [i] 24: gpiojs [i] =isi_gpio_e [0] ; gpiojs [i]
=isi_gpiojdout [0] ; // ISI Control 1 gpiojLsijdin[0] = gpiojL [i] 25: gpiojs [i] =isi_gpiojs [1] ;gpioj_>[i]
=isi_gpio ϊout [1] ; // ISI Control 2 gpio_isijdin[l] = gpiojL [i] 26: gpiojs [i] =isi_gpiojs [2] ;gpiojo[i]
=isi_gpio_dout [2] ; // ISI Control 3 gpio_isijdin[2] = gpio_i [i] 27: gpiojs [i] =isijgpiojs [3] ;gpiojD[i]
=isi_gpio _.out [3] ; // ISI Control 4 gpio_isijdin [3] = gpio_i [i] 28 : gpiojs [i] =cpu Loj±Lr [i] ; gpioj [i] =cpujLo_out [i] ; // CPU Direct 29 : gpio_e [i] =1 ; gpiojo [i] =usbhjgpio power_en // USB host power enable 30 : gpiojs [i] =0 ; gpiojD [i] =0 // Input only mode end case // all gpio are always readable by the CPU cpu_iojLn [i] = gpiojL [i] ; } The input selection pseudocode, for determining which pin connects to which deglitch circuit. for ( i=0 ; i < 10 ; i++) { pin ium = input pinjselect [i] deglitchjinput [i] = gpiojL [pinjnum] } The gpio_usbh_over_current output to the USB core is driven by a selected deglitched input (configured by the USBOverCurrentPinSelect register). index = USBOverCurrentPinSelect gpiojιsbh_overj-!urrent = cpujLojLnjieglitch [index]
13.11.5 Wakeup generator
The wakeup generator compares the deglitched inputs with the configured mask (WakeUplnputMask) and level (WakeUpLevel), and determines whether to generate a wakeup to the CPR block. for ( i =0 ; i<10 ; i++) { if (wakeup_level = 0 ) then // level 0 active wakeup = wakeup OR wakeu jLnpu t_mask [i] AND NOT cpu_io_injdeglitch [i] else // level 1 active wakeup = wakeup OR wakeup_inputjnask [i] AND cpujLojLnjieglitch [i] } // assign the output gpio_cprjtfakeup = wakeup
13.11.6 LED pulse generator The pulse generator logic consists of a 7-bit counter that is incremented on a 1μs pulse from the timers block (timjpulse[0J). The LED control signal is generated by comparing the count value with the configured duty cycle for the LED (ledjdutyjsel). The logic is given by: for (i=0 i<4 ;i++) { // for each LED pin // period divided into 8 segments period_div8 = ent [6: 4]; if (periodjϋvδ < ledjdutyjsel [i] ) then led_ctrl [i] = 1 else led_ctrl [i] = 0 } // update the counter every lus pulse if (timjpulse [0] == 1) then ent ++
13.11.7 Stepper Motor control
The motor controller consists of 2 counters, and 4 phase generator logic blocks, one per motor control pin. The counters decrement each time a timing pulse (cnt_en) is received. The counters start at the configured clock period value (motor jnasjclkjperiod) and decrement to zero. If the counters are enabled (via motor _mas_clk_enable), the counters will automatically restart at the configured clock period value, otherwise they will wait until the counters are re-enabled. The timing pulse period is one or pclk, 1μs, 100μs, 1ms depending on the motor jvasjclkjsel signal. The counters are used to derive the phase and duty cycle of each motor control pin. // decrement logic if (cntjsn == 1) then if ( (mas_cnt == 0 ) AND (motor_mas_clk_enable == 1) ) then mas_cnt = motorjtιas_clkjoeriod [15 : 0] elsif ( (masjΞnt == 0 ) AND (motor_mas_clk_enable == 0 ) ) then mas_cnt = 0 else mas_cnt -- else // hold the value mas Ξnt = masjαnt
The phase generator block generates the motor control logic based on the selected clock generator
(motor nasjclkjsef) the motor control high transition point (curr_motor_ctrl_high) and the motor control low transition point (curr_motor_ctrlJow).
The phase generator maintains current copies of the motor jotrlj onfig configuration value (motor_ctrl_config[31:16] becomes curr_motor_ctrl_high and motor_ctrl_config[1 δ:0] becomes curr_motor_ctrlJow). It updates these values to the current register values when it is safe to do so without causing a glitch on the output motor pin.
Note that when reprogramming the motor_ctrl_config register to reorder the sequence of the transition points (e.g changing from low point less than high point to low point greater than high point and vice versa) care must taken to avoid introducing glitching on the output pin. There are 4 instances one per motor control pin. The logic is given by: // select the input counter to use if (motor_mas_clk_sel == 1) then count = mas_cnt [1] else count = masj_:nt[0] // Generate the phase and duty cycle if (count == currjnotorj_trl_low) then motor Ξtrl = 0 elsif (count == currjnotor_ctrl_high) then motor αtrl = 1 else motorjΞtrl = motorjΞtrl // remain the same // update the current registers at period boundary if (count == 0) then curr_motorj_:trl_high = motorjtrl_config [31: 16] // update to new high value curr_motor _.trl_low = motorjΞtrljonfig [15 : 0] // update to new high value
13.11.8 Input deglitch
The input deglitch logic rejects input states of duration less than the configured number of time units
(deglitchjcnt), input states of greater duration are reflected on the output cpujojnjdeglitch. The time units used (either pclk, 1 μs, 10Oμs, 1 ms) by the deglitch circuit is selected by the deglitch_clk_src bus.
There are 2 possible sets of deglitch_cnt and deglitchjlkjsrc that can be used to deglitch the input pins. The values used are selected by the deglitchjsel signal.
There are 10 deglitch circuits in the GPIO. Any GPIO pin can be connected to a deglitch circuit. Pins are selected for deglitching by the InputPinSelect registers.
Each selected input can be used to generate an interrupt. The interrupt can be generated from the raw input signal (deglitchjnput) or a deglitched version of the input (cpujojnjdeglitch). The interrupt source is selected by the interruptjsrcjselect signal.
The counter logic is given by if (deglitchjinput ! = deglitchjLnputjielay) then ent = deglitch_cnt outputjsn = 0 elsif ( ent == 0 ) then ent = ent output sn = 1 elsif (cntjsn == 1) then ent - - outputjsn = 0
13.11.9 Frequency Analyser
The frequency analyser block monitors a selected deglitched input (cpujojnjdeglitch) or a direct selected input (deglitchjnput) and detects positive edges. The selected input is configured by FreqAnaPinSelect and FreqAnaPinFormSel registers. Between successive positive edges detected on the input it increments a counter (FreqAnaCount) by a programmed amount (FreqAnaCountlnc) on each clock cycle. When a positive edge is detected the FreqAnaLastPeriod register is updated with the top 16 bits of the counter and the counter is reset. The frequency analyser also maintains a running average of the FreqAnaLastPeriod register. Each time a positive edge is detected on the input the FreqAnaAverage register is updated with the new calculated FreqAnaLastPeriod. The average is calculated as 7/8 the current value plus 1/δ of the new value. The FreqAnaLastPeriod, FreqAnaCount and FreqAnaAverage registers can be written to by the CPU. The pseudocode is given by if ( (pin == 1) AND pinjielay ==0 ) ) then // positive edge detected freςr_ana_lastperiod [15 : 0] = freqjana aount [31 : 16] freς[_ana_average [15 : 0] = freq anajaverage [15 : 0] f req_ana_average [15 : 3] + f req_ana_lastperiod [15 : 3] freqjana -iount [15 : 0] = 0 else f req_ana αount [31 : 0] = f reqjana jeount [31 : 0] + f req_ana_countjLnc [19 : 0] // implement the configuration register write if (wr_last_en == 1) then freq_ana_lastperiod = wrjiata elsif (wrjaveragejsn == 1 ) then freqjanajaverage = wrjiata elsif (wrjfreqjcountjsn == 1) then freςL_ana_count = wrjiata
13.11.10 BLDC Motor Controller The BLDC controller logic is identical for both instances, only the input connections are different. The logic implements the truth table shown in Table . The six q outputs are combinationally based on the direction, ha, hb, he and pwm inputs. The direction input has 2 possible sources selected by the mode, the pseudocode is as follows // determine if in internal or external direction mode if (mode == 1) then // internal mode direction = int iirection else // external mode direction = extjiirection 14 Interrupt Controller Unit (ICU)
The interrupt controller accepts up to N input interrupt sources, determines their priority, arbitrates based on the highest priority and generates an interrupt request to the CPU. The ICU complies with the interrupt acknowledge protocol of the CPU. Once the CPU accepts an interrupt (i.e. processing of its service routine begins) the interrupt controller will assert the next arbitrated interrupt if one is pending.
Each interrupt source has a fixed vector number N, and an associated configuration register, lntReg[N]. The format of the lntReg[N] register is shown in Table 67 below. Table 87. lntReg[N] register format
Figure imgf000219_0001
Once an interrupt is received the interrupt controller determines the priority and maps the programmed priority to the appropriate CPU priority levels, and then issues an interrupt to the CPU. The programmed interrupt priority maps directly to the LEON CPU interrupt levels. Level 0 is no interrupt. Level 15 is the highest interrupt level. 14.1 INTERRUPT PREEMPTION With standard LEON pre-emption an interrupt can only be pre-empted by an interrupt with a higher priority level. If an interrupt with the same priority level (1 to 14) as the interrupt being serviced becomes pending then it is not acknowledged until the current service routine has completed. Note that the level 15 interrupt is a special case, in that the LEON processor will continue to take level 15 interrupts (i.e re-enter the ISR) as long as level 15 is asserted on the icu_cpujlevel.
Level 0 is also a special case, in that LEON consider level 0 interrupts as no interrupt, and will not issue an acknowledge when level 0 is presented on the icu_cpujlevel bus. Thus when pre-emption is required, interrupts should be programmed to different levels as interrupt priorities of the same level have no guaranteed servicing order. Should several interrupt sources be programmed with the same priority level, the lowest value interrupt source will be serviced first and so on in increasing order.
The interrupt is directly acknowledged by the CPU and the ICU automatically clears the pending bit of the lowest value pending interrupt source mapped to the acknowledged interrupt level. All interrupt controller registers are only accessible in supervisor data mode. If the user code wishes to mask an interrupt it must request this from the supervisor and the supervisor software will resolve user access levels. 14.2 INTERRUPT SOURCES
The mapping of interrupt sources to interrupt vectors (and therefore lntReg[N] registers) is shown in Table 8δ below. Please refer to the appropriate section of this specification for more details of the interrupt sources. Table δδ. Interrupt sources vector table
Figure imgf000220_0001
Figure imgf000221_0001
14.3 IMPLEMENTATION 14.3.1 Definitions of I/O Table 69. Interrupt Controller Unit I/O definition
Figure imgf000221_0002
Figure imgf000222_0001
14.3.2 Configuration registers
The configuration registers in the ICU are programmed via the CPU interface. Refer to section 11.4 on page 96 for a description of the protocol and timing diagrams for reading and writing registers in the ICU. Note that since addresses in SoPEC are byte aligned and the CPU only supports 32-bit register reads and writes, the lower 2 bits of the CPU address bus are not required to decode the address space for the ICU. When reading a register that is less than 32 bits wide zeros should be returned on the upper unused bit(s) of icuj cujdata. Table 90 lists the configuration registers in the ICU block.
The ICU block will only allow supervisor data mode accesses (i.e. cpu_acode[1 :0] = SUPERVISORjDATA). All other accesses will result in icu_cpu_berr being asserted. Table 90. ICU Register Map
Figure imgf000222_0002
Figure imgf000223_0001
14.3.3 ICU partition
14.3.4 Interrupt detect
The ICU contains multiple instances of the interrupt detect block, one per interrupt source. The interrupt detect block examines the interrupt source signal, and determines whether it should generate request pending (intjpend) based on the configured interrupt type and the interrupt source conditions. If the interrupt is not masked the interrupt will be reflected to the interrupt arbiter via the intjactive signal. Once an interrupt is pending it remains pending until the interrupt is accepted by the CPU or it is level sensitive and gets removed. Masking a pending interrupt has the effect of removing the interrupt from arbitration but the interrupt will still remain pending.
When the CPU accepts the interrupt (using the normal ISR mechanism), the interrupt controller automatically generates an interrupt clear for that interrupt source (cpujntjclear). Alternatively if the interrupt is masked, the CPU can determine pending interrupts by polling the IntPending registers. Any active pending interrupts can be cleared by the CPU without using an ISR via the IntClear registers.
Should an interrupt clear signal (either from the interrupt clear unit or the CPU) and a new interrupt condition happen at the same time, the interrupt will remain pending. In the particular case of a level sensitive interrupt, if the level remains the interrupt will stay active regardless of the clear signal. The logic is shown below: mask = intjαonf ig [6] type = intj^onfig [5:4] intjend = lastjLnt pend // the last pending interrupt // update the pending FF // test for interrupt condition if (type == NEG_LEVEL) then intjpend = NOT(intjsrc) elsif (type == POS_LEVEL) intjpend = intjsrc elsif ( (type == POS_EDGE ) AND (intjsrc == 1) AND (lastjintjsrc == 0)) intjpend = 1 elsif ((type == NEG_EDGE ) AND (intjsrc == 0) AND (lastjLntjsrc == 1) ) intjpend = 1 elsif ( (intjαlear == 1 )OR (cpu_int_clear==l) ) then intjpend = 0 else intjpend = lastjLntjpend // stay the same as before // mask the pending bit if (mask == 1) then intjactive = intjpend else intjactive = 0 // assign the registers last_int_src = intjsrc lastjLntjpend = intjpend
14.3.5 Interrupt arbiter
The interrupt arbiter logic arbitrates a winning interrupt request from multiple pending requests based on configured priority. It generates the interrupt to the CPU by setting icu_cpujlevel to a non-zero value. The priority of the interrupt is reflected in the value assigned to icujjpujlevel, the higher the value the higher the priority, 15 being the highest, and 0 considered no interrupt. // arbitrate with the current winner intjLlevel = 0 for (i=0 ; i<30 ; i++) { if ( intjactive [i] == 1) then { if (int_conf ig [i] [3 : 0] > winjLntjL level [3 : 0] ) then win_int_ilevel [3 : 0] = intj onf ig [i] [3 : 0] } } } // assign the CPU interrupt level intjLlevel = winjLntjLlevel [3 : 0]
14.3.6 Interrupt clear unit The interrupt clear unit is responsible for accepting an interrupt acknowledge from the CPU, determining which interrupt source generated the interrupt, clearing the pending bit for that source and updating the IntSource register.
When an interrupt acknowledge is received from the CPU, the interrupt clear unit searches through each interrupt source looking for interrupt sources that match the acknowledged interrupt level
(cpujcujleve and determines the winning interrupt (lower interrupt source numbers have higher priority). When found the interrupt source pending bit is cleared and the IntSource register is updated with the interrupt source number.
The LEON interrupt acknowledge mechanism automatically disables all other interrupts temporarily until it has correctly saved state and jumped to the ISR routine. It is the responsibility of the ISR to re-enable the interrupts. To prevent the IntSource register indicating the incorrect source for an interrupt level, the ISR must read and store the IntSource value before re-enabling the interrupts via the Enable Traps (ET) field in the Processor State Register (PSR) of the LEON.
See section 11.9 on page 132 for a complete description of the interrupt handling procedure. After reset the state machine remains in Idle state until an interrupt acknowledge is received from the CPU (indicated by cpujack). When the acknowledge is received the state machine transitions to the Compare state, resetting the source counter (ent) to the number of interrupt sources.
While in the Compare state the state machine cycles through each possible interrupt source in decrementing order. For each active interrupt source the programmed priority (intjjriority[cnt][3:0J) is compared with the acknowledged interrupt level from the CPU (cpujcujlevel), if they match then the interrupt is considered the new winner. This implies the last interrupt source checked has the highest priority, e.g interrupt source zero has the highest priority and the first source checked has the lowest priority. After all interrupt sources are checked the state machine transitions to the
IntClear state, and updates the intjsource register on the transition. Should there be no active interrupts for the acknowledged level (e.g. a level sensitive interrupt was removed), the IntSource register will be set to Nolnterrupt . Nolnterrupt is defined as the highest possible value that IntSource can be set to (in this case 0x1 F), and the state machine will return to
Idle.
The exact number of compares performed per clock cycle is dependent the number of interrupts, and logic area to logic speed trade-off, and is left to the implementer to determine. A comparison of all interrupt sources must complete within δ clock cycles (determined by the CPU acknowledge hardware).
When in the IntClear state the state machine has determined the interrupt source to clear (indicated by the intjsource register). It resets the pending bit for that interrupt source, transitions back to the Idle state and waits for the next acknowledge from the CPU.
The minimum time between successive interrupt acknowledges from the CPU is δ cycles.
15 Timers Block (TIM)
The Timers block contains general purpose timers, a watchdog timer and timing pulse generator for use in other sections of SoPEC. 15.1 WATCHDOG TIMER The watchdog timer is a 32 bit counter value which counts down each time a timing pulse is received. The period of the timing pulse is selected by the WatchDogUnitSel register. The value at any time can be read from the WatchDogTimer register and the counter can be reset by writing a non-zero value to the register. When the counter transitions from 1 to 0, a system wide reset will be triggered as if the reset came from a hardware pin.
The watchdog timer can be polled by the CPU and reset each time it gets close to 1 , or alternatively a threshold (WatchDoglntThres) can be set to trigger an interrupt for the watchdog timer to be serviced by the CPU. If the WatchDoglntThres is set to N, then the interrupt will be triggered on the N to N-1 transition of the WatchDogTimer. This interrupt can be effectively masked by setting the threshold to zero. The watchdog timer can be disabled, without causing a reset, by writing zero to the WatchDogTimer register.
15.2 TIMING PULSE GENERATOR
The timing block contains a timing pulse generator clocked by the system clock, used to generate timing pulses of programmable periods. The period is programmed by accessing the TimerStartValue registers. Each pulse is of one system clock duration and is active high, with the pulse period accurate to the system clock frequency. The periods after reset are set to 1 us, 100us and 100 ms.
The timing pulse generator also contains a 64-bit free running counter that can be read or reset by accessing the FreeRunCount registers. The free running counter can be used to determine elapsed time between events at system clock accuracy or could be used as an input source in low-security random number generator.
15.3 GENERIC TIMERS
SoPEC contains 3 programmable generic timing counters, for use by the CPU to time the system. The timers are programmed to a particular value and count down each time a timing pulse is received. When a particular timer decrements from 1 to 0, an interrupt is generated. The counter can be programmed to automatically restart the count, or wait until re-programmed by the CPU. At any time the status of the counter can be read from GenCntValue, or can be reset by writing to GenCntValue register. The auto-restart is activated by setting the GenCntAuto register, when activated the counter restarts at GenCntStartValue. A counter can be stopped or started at any time, without affecting the contents of the GenCntValue register, by writing a 1 or 0 to the relevent GenCntEnable register.
15.4 IMPLEMENTATION 15.4.1 Definitions of I/O Table 91. Timers block I/O definition
Figure imgf000226_0001
Figure imgf000227_0001
15.4.2 Timers sub-block partition
15.4.3 Watchdog timer
The watchdog timer counts down from pre-programmed value, and generates a system wide reset when equal to one. When the counter passes a pre-programmed threshold (wdogjimjhres) value an interrupt is generated (timjcujwdjrq) requesting the CPU to update the counter. Setting the counter to zero disables the watchdog reset. In supervisor mode the watchdog counter can be written to or read from at any time, in user mode access is denied. Any accesses in user mode will generate a bus error.
The counter logic is given by if (wdog_wen == 1) then wdogjtiπjcnt = writejiata // load new data elsif ( wdogj iπjcnt == 0 ) then wdogjziπjcnt = wdog ;im_cnt // count disabled elsif ( cntjsn == 1 ) then wdog_tim_cnt - - else ■ wdogjtiπjcnt = wdog_tim_cnt The timer decode logic is if ( ( wdog_tim_cnt == wdogjtimjthres ) AND (wdogj;irτjcnt ! = 0 ) AND (cntjsn == 1) ) then
Figure imgf000228_0001
else timjLcujtfd Lrq = 0 // reset generator logic if (wdog_tim_cnt == 1) AND (cntjsn == 1) then timjjprjresetja = 0 else tiπjcprjresetjα = 1
15.4.4 Generic timers
The generic timers block consists of 3 identical counters. A timer is set to a pre-configured value (GenCntStartValue) and counts down once per selected timing pulse (genjjnitjsel). The timer can be enabled or disabled at any time (genjim_en), when disabled the counter is stopped but not cleared. The timer can be set to automatically restart (genjimjauto) after it generates an interrupt. In supervisor mode a timer can be written to or read from at any time, in user mode access is determined by the GenCntUserModeEnable register settings.
The counter logic is given by if (genjtfen == 1) then gen_tim_cnt = writejiata elsif ( ( cntjsn == 1 ) AND (genjtimjsn == 1 ) ) then if ( gen -im t == 1) OR ( gen_tim_cnt == 0 ) then // counter may need re -starting if (gen timjauto == 1) then gen_tim_cnt = gen_tim_cnt_stjvalue else gen_tim_cnt = 0 // hold count at zero else gen_tim_cnt - - else gen_timjent = gen_tim nt The decode logic is if (gen zirrjcnt == 1) AND ( cntjsn == 1 ) AND (genjtimjsn == 1 ) then timjLcujLrq = 1 else timjLcujLrq = 0 15.4.5 Timing pulse generator The timing pulse generator contains a general free running 64-bit timer and 3 timing pulse generators producing timing pulses of one cycle duration with a programmable period. The period is programmed by changed the TimerStartValue registers, but have a nominal starting period of 1μs, 100μs and 1 ms. In supervisor mode the free running timer register can be written to or read from at any time, in user mode access is denied. The status of each of the timers can be read by accessing the PulseTimerStatus registers in supervisor mode. Any accesses in user mode will result in a bus error.
1 δ.4. δ.1 Free Run Timer
The increment logic block increments the timer count on each clock cycle. The counter wraps around to zero and continues incrementing if overflow occurs. When the timing register (FreeRunCount) is written to, the configuration registers block will set the freejrunjwen high for a clock cycle and the value on writejdata will become the new count value. If free_run_wen[1] is 1 the higher 32 bits of the counter will be written to, otherwise if free_runjwen[0] the lower 32 bits are written to. It is the responsibility of software to handle these writes in a sensible manner. The increment logic is given by if (free_run wen [1] == 1) then free_run_cnt [63 : 32] = writejiata elsif ( freejirun_wen [0] == 1 ) then freejrun_cnt [31 : 0] = writejiata else freejrunj^nt ++
1δ.4.δ.2 Pulse Timers
The pulse timer logic generates timing pulses of 1 clock cycle length and programmable period. Nominally they generate pulse periods of 1μs, 100μs and 1 ms. The logic for timer 0 is given by: // Nominal lus generator if (pulsej3_cnt == 0 ) then pulsejOjαnt = timerj3tart_value [0] timjpulse [0] = 1 else pulse_0j2nt - - timjpulse [0] = 0 The logic for timer 1 is given by: // lOOus generator if ( (pulse_l_cnt == 0 ) AND (timjpulse [0] 1) ) then pulse_l_cnt = timer jstart ^alue [1] timjpulse [1] = 1 elsif (timjpulse [0] == 1) then pulse_l_cnt -- timjpulse [1] = 0 else pulse_l_cnt = pulse_lj nt timjpulse [1] = 0
The logic for the timer 2 is given by: // 10ms generator if ( (pulse_2_cnt == 0 ) AND (timjpulse [1] == 1) ) then pulse_2_cnt = timer_start_value [2] timjpulse [2] = 1 elsif (timjpulse [1] == 1) then pulse _2_cnt - - timjpulse [2] = 0 else pulse_2_cnt = pulse_2_cnt timjpulse [2] = 0 15.4.6 Configuration registers
The configuration registers in the TIM are programmed via the CPU interface. Refer to section 11.4.3 on page 96 for a description of the protocol and timing diagrams for reading and writing registers in the TIM. Note that since addresses in SoPEC are byte aligned and the CPU only supports 32-bit register reads and writes, the lower 2 bits of the CPU address bus are not required to decode the address space for the TIM. When reading a register that is less than 32 bits wide zeros should be returned on the upper unused bit(s) of timjpcujdata. Table 92 lists the configuration registers in the TIM block . Table 92. Timers Register Map address TIM_base Register Pbits Reset Description
0x00 M/atchDogUnitSel 0x0 Specifies the units used for the watchdog timer: 0 - Nominal 1 μs pulse 1 - Nominal 100 μs pulse
Figure imgf000231_0001
Figure imgf000232_0001
15.4.6.1 Supervisor and user mode access
The configuration registers block examines the CPU access type (cpujacode signal) and determines if the access is allowed to that particular register, based on configured user access registers. If an access is not allowed the block will issue a bus error by asserting the tim_cpu_berr signal.
The timers block is fully accessible in supervisor data mode, all registers can written to and read from. In user mode access is denied to all registers in the block except for the generic timer configuration registers that are granted user data access. User data access for a generic timer is granted by setting corresponding bit in the GenCntUserModeEnable register. This can only be changed in supervisor data mode. If a particular timer is granted user data access then all registers for configuring that timer will be accessible. For example if timer 0 is granted user data access the
GenCntStartValue[0], GenCntUnitSel[0], GenCntAutofO], GenCntEnable[0] and GenCntValue[0] registers can all be written to and read from without any restriction.
Attempts to access a user data mode disabled timer configuration register will result in a bus error.
Table 93 details the access modes allowed for registers in the TIM block. In supervisor data mode all registers are accessable. All forbidden accesses will result in a bus error (tim_cpujberr asserted). Table 93. TIM supervisor and user access modes
16 Cl
Figure imgf000233_0001
The CPR block provides all of the clock, power enable and reset signals to the SoPEC device. 16.1 POWERDOWN MODES
The CPR block is capable of powering down certain sections of the SoPEC device. When a section is powered down (i.e. put in sleep mode) no state is retained(except the PSS storage), the CPU must re-initialize the section before it can be used again. For the purpose of powerdown the SoPEC device is divided into sections: Table 94. Powerdown sectioning
Figure imgf000233_0002
Figure imgf000234_0001
Note that the CPR block is not located in any section. All configuration registers in the CPR block are clocked by an ungateable clock and have special reset conditions.
16.1.1 Sleep mode
Each section can be put into sleep mode by setting the corresponding bit in the SleepModeEnable register. To re-enable the section the sleep mode bit needs to be cleared and then the section should be reset by writing to the relevant bit in the ResetSection register. Each block within the section should then be re-configured by the CPU.
If the CPU system (section 1) is put into sleep mode, the SoPEC device will remain in sleep mode until a system level reset is initiated from the reset pin, or a wakeup reset by the SCB block as a result of activity on either the USB or ISI bus. The watchdog timer cannot reset the device as it is in section 1 also, and will be in sleep mode.
If the CPU and ISI subsystem are in sleep mode only a reset from the USB or a hardware reset will re-activate the SoPEC device.
If all sections are put into sleep mode, then only a system level reset initiated by the reset pin will re-activate the SoPEC device. Like all software resets in SoPEC the ResetSection register is active-low i.e. a 0 should be written to each bit position requiring a reset. The ResetSection register is self-reseting. 16.1.2 Sleep Mode powerdown procedure
When powering down a section, the section may retain it's current state (although not gauranteed to). It is possible when powering back up a section that inconsistancies between interface state machines could cause incorrect operation. In order to prevent such condition from happening, all blocks in a section must be disabled before powering down. This will ensure that blocks are restored in a benign state when powered back up.
In the case of PEP section units setting the Go bit to zero will disable the block. The DRAM subsystem can be effectively disabled by setting the RotationSync bit to zero, and the SCB system disabled by setting the DMAAccessEn bits to zero turning off the DMA access to DRAM. Other CPU subsystem blocks without any DRAM access do not need to be disabled.
16.2 RESET SOURCE
The SoPEC device can be reset by a number of sources. When a reset from an internal source is initiated the reset source register (ResetSrc) stores the reset source value. This register can then be used by the CPU to determine the type of boot sequence required.
16.3 CLOCK RELATIONSHIP
The crystal oscillator excites a 32MHz crystal through the xtalin and xtalout pins. The 32MHz output is used by the PLL to derive the master VCO frequency of 960MHz. The master clock is then divided to produce 320MHz clock (clk320), 160MHz clock (clk160) and 4δMHz (clk48) clock sources.
The phase relationship of each clock from the PLL will be defined. The relationship of internal clocks clk320, clk48 and clkWO to xtalin will be undefined.
At the output of the clock block, the skew between each pclk domain (pclkjsection[2:0] and jclk) should be within skew tolerances of their respective domains (defined as less than the hold time of a D-type flip flop).
The skew between doclk and pclk should also be less than the skew tolerances of their respective domains.
The usbclk is derived from the PLL output and has no relationship with the other clocks in the system and is considered asynchronous.
16.4 PLL CONTROL
The PLL in SoPEC can be adjusted by programming the PLLRangeA, PLLRangeB, PLLTunebits and PLLMult registers. If these registers are changed by the CPU the values are not updated until the PLLUpdate register is written to. Writing to the PLLUpdate register triggers the PLL control state machine to update the PLL configuration in a safe way. When an update is active (as indicated by PLLUpdate register) the CPU must not change any of the configuration registers, doing so could cause the PLL to lose lock indefintely, requiring a hardware reset to recover. Configuring the PLL registers in an inconsistent way can also cause the PLL to lose lock, care must taken to keep the PLL configuration within specified parameters. The VCO frequency of the PLL is calculated by the number of divider in the feedback path. PLL output A is used as the feedback source.
VCOfreq = REFCLKx PLLMult x PLLRangeA x External divider
VCOfreq = 32 x 3 x 10 x 1 = 960 Mhz.
In the default PLL setup, PLLMult is set to 3, PLLRangeA is set to 3 which corresponds to a divide by 10, PLLRangeB is set to 5 which corresponds to a divide by 3.
PLLouta = VCOfreq / PLLRangeA = 960Mhz / 10 = 96 Mhz
PLLoutb = VCOfreq / PLLRangeB = 960Mhz / 3 = 320 Mhz
See [16] for complete PLL setup parameters.
16.5 IMPLEMENTATION
16.5.1 Definitions of I/O Table 95. CPR I/O definition
Figure imgf000236_0001
Figure imgf000237_0001
16.5.2 Configuration registers
The configuration registers in the CPR are programmed via the CPU interface. Refer to section 11.4 on page 96 for a description of the protocol and timing diagrams for reading and writing registers in the CPR. Note that since addresses in SoPEC are byte aligned and the CPU only supports 32-bit register reads and writes, the lower 2 bits of the CPU address bus are not required to decode the address space for the CPR. When reading a register that is less than 32 bits wide zeros should be returned on the upper unused bit(s) of cprj cujdata. Table 96 lists the configuration registers in the CPR block. The CPR block will only allow supervisor data mode accesses (i.e. cpu_acode[1 :0] = SUPERVISORJDATA ). All other accesses will result in cprjzpuj err being asserted . Table 96. CPR Register Map
Figure imgf000237_0002
Figure imgf000238_0001
Figure imgf000239_0001
a. Reset value depends on reset source. External reset shown.
16.5.3 CPR Sub-block partition
16.5.4 resetjn deglitch
The external reset i signal is deglitched for about 1μs. resetji must maintain a state for 1us second before the state is passed into the rest of the device. All deglitch logic is clocked on bufrefclk.
16.5.5 Sync reset
The reset synchronizer retimes an asynchronous reset signal to the clock domain that it resets. The circuit prevents the inactive edge of reset occurring when the clock is rising 16.5.6 Reset generator logic
The reset generator logic is used to determine which clock domains should be reset, based on configured reset values (resetjsection_n), the external reset (reset_n), watchdog timer reset (tim_cpr eset_n), the USB reset (usb_cpr_reset_n), the GPIO wakeup control (gpiojcprjwakeup) and the ISI reset (isi_cpr_reset_n). The reset direct from the IO pin (resetjn) is synchronized and de-glitched before feeding the reset logic.
All resets are lengthened to at least 16 pclk cycles, regardless of the duration of the input reset. The clock for a particular section must be running for the reset to have an effect. The clocks to each section can be enabled/disabled using the SleepModeEnable register. Resets from the ISI or USB block reset everything except its own section (section 2 or 3). Table 97. Reset domains
Figure imgf000239_0002
The logic is given by if (resetjigja == 0 ) then reset jiom [5 : 0] = 0x00 // reset everything reset jarc [4 : 0] = 0x01 cfgjresetjn = 0 sleepjπodejen [3 : 0] = 0x0 // re-awaken all sections elsif (timj prjcesetj == 0 ) then reset jiom [5 : 0] = 0x00 // reset everything except CPR config reset jsrc [4 : 0] = 0x08 cfgjresetjα = l // CPR config stays the same sleep_mode_en [1] = 0 // re-awaken section 1 only
(awake already) elsif (usb_cpr reset n == 0) then reset jiom [5:0] = 0x08 // all except USB domain +
CPR config reset jsrc [4:0] = 0x02 cfgjresetjn = 1 // CPR config stays the same sleep_mode_en [1] = 0 // re-awaken section 1 only, section 3 is awake elsif (isi_cpr reset n == 0) then reset jiom [5:0] = 0x04 // all except ISI domain +
CPR config reset _src [4:0] = 0x04 cfgjresetjn = 1 // CPR config stays the same s leep jnode _en [ 1 ] = 0 // re-awaken section 1 only, section 2 is awake elsif (gpioj_ιpr_wakeup = 1) then reset jiom [5: 0] = 0x3 C // PEP and CPU sections only reset _src [4:0] = 0x10 cfgjresetja = 1 // CPR config stays the same sleep mode en[l] = 0 // re-awaken section 1 only, section 2 is awake else // propagate resets from reset section register reset_dom[5 : 0] = 0x3F // default to on cfgjreset i = 1 // CPR cfg registers are not in any section sleep_mode_en [3 : 0] = sleep_mode_en[3 : 0] // stay the same by default if (reset jsectionj [0] == 0) then reset jiom [5] = 0 // jclk domain reset_dom[4] = 0 // doclk domain reset jiom [0] = 0 // pclk section 0 domain if (reset_section i[l] == 0) then reset dom[l] = 0 // pclk section 1 domain if (reset_sectionja[2] == 0) then reset_dom[2] = 0 // pclk section 2 domain
(ISI) if (reset section n[3] == 0) then reset jiom [3 ] = 0 // USB domain
16.5.7 Sleep logic
The sleep logic is used to generate gating signals for each of SoPECs clock domains. The gate enable (gatejdom) is generated based on the configured sleep nodejan and the internally generated jclkjanable signal.
The logic is given by // clock gating for sleep modes gate_dom [5 : 0] = 0x0 // default to all clocks on if ( sleep_mode_en [0] == 1) then // section 0 sleep gatejiom [0] = 1 // pclk section 0 gatejiom [4] = 1 // doclk domain gatejdom [5] = 1 // j clk domain if ( sleep jnodej2n [l] == 1) then // section 1 sleep gate_dom [l] = 1 // pclk section 1 if (sleep_mode_en [2] == 1) then // section 2 sleep gatejiom [2] = 1 // pclk section 2 if (sleep_mode_en [3] == 1) then // section 3 sleep gatejiom [3] = 1 // usb section 3 // the j clk can be turned off by CDU signal if (j clk_enable == 0 ) then gatejdom [5] = 1 The clock gating and sleep logic is clocked with the master j clk clock which is not gated by this logic, but is synchronous to other pclkjsection and jclk domains.
Once a section is in sleep mode it cannot generate a reset to restart the device. For example if section 1 is in sleep mode then the watchdog timer is effectively disabled and cannot trigger a reset. 16.5.3 Clock gate logic
The clock gate logic is used to safely gate clocks without generating any glitches on the gated clock. When the enable is high the clock is active otherwise the clock is gated. 16.5.9 Clock generator Logic
The clock generator block contains the PLL, crystal oscillator, clock dividers and associated control logic. The PLL VCO frequency is at 960MHz locked to a 32 MHz refclk generated by the crystal oscillator. In test mode the xtalin signal can be driven directly by the test clock generator, the test clock will be reflected on the refclk signal to the PLL. 16. δ.9.1 Clock divider A
The clock divider A block generates the 43MHz clock from the input 96MHz clock (pllouta) generated by the PLL. The divider is enabled only when the PLL has acquired lock. 16.δ.9.2 Clock divider B The clock divider B block generates the 160MHz clocks from the input 320MHz clock (plloutb) generated by the PLL. The divider is enabled only when the PLL has acquired lock.
16. δ.9.3 PLL control state machine
The PLL will go out of lock whenever pllj/eset goes high (the PLL reset is the only active high reset in the device) or if the configuration bits pll_rangea, pll angeb, pll_mult, plljune are changed. The
PLL control state machine ensures that the rest of the device is protected from glitching clocks while the PLL is being reset or it's configuration is being changed.
In the case of a hardware reset (the reset is deglitched), the state machine first disables the output clocks (via the clkjgate signal), it then holds the PLL in reset while its configuration bits are reset to default values. The state machine then releases the PLL reset and waits approx. 100us to allow the
PLL to regain lock. Once the lock time has elapsed the state machine re-enables the output clocks and resets the remainder of the device via the reset_dg_n signal.
When the CPU changes any of the configuration registers it must write to the PLLupdate register to allow the state machine to update the PLL to the new configuration setup. If a PLLUpdate is detected the state machine first gates the output clocks. It then holds the PLL in reset while the PLL configuration registers are updated. Once updated the PLL reset is released and the state machine waits approx 10Ous for the PLL to regain lock before re-enabling the output clocks. Any write to the
PLLUpdate register will cause the state machine to perform the update operation regardless of whether the configuration values changed or not. All logic in the clock generator is clocked on bufrefclk which is always an active clock regardless of the state of the PLL.
17 ROM Block
17.1 OVERVIEW
The ROM block interfaces to the CPU bus and contains the SoPEC boot code. The ROM block consists of the CPU bus interface, the ROM macro and the ChiplD macro. The current ROM size is 16 KBytes implemented as a 4096 x32 macro. Access to the ROM is not cached because the CPU enjoys fast (no more than one cycle slower than a cache access), unarbitrated access to the ROM. Each SoPEC device is required to have a unique ChiplD which is set by blowing fuses at manufacture. IBM's 300mm ECID macro and a custom 112-bit ECID macro are used to implement the ChiplD offering 224-bits of laser fuses. The exact number of fuse bits to be used for the ChiplD will be determined later but all bits are made available to the CPU. The ECID macros allows all 224 bits to be read out in parallel and the ROM block will make all 224 bits available in the FuseChiplD[N] registers which are readable by the CPU in supervisor mode only.
17.2 BOOT OPERATION The are two boot scenarios for the SoPEC device namely after power-on and after being awoken from sleep mode. When the device is in sleep mode it is hoped that power will actually be removed from the DRAM, CPU and most other peripherals and so the program code will need to be freshly downloaded each time the device wakes up from sleep mode. In order to reduce the wakeup boot time (and hence the perceived print latency) certain data items are stored in the PSS block (see section 18). These data items include the SHA-1 hash digest expected for the program(s) to be downloaded, the master/slave SoPEC id and some configuration parameters. All of these data items are stored in the PSS by the CPU prior to entering sleep mode. The SHA-1 value stored in the PSS is calculated by the CPU by decrypting the signature of the downloaded program using the appropriate public key stored in ROM. This compute intensive decryption only needs to take place once as part of the power-on boot sequence - subsequent wakeup boot sequences will simply use the resulting SHA-1 digest stored in the PSS. Note that the digest only needs to be stored in the PSS before entering sleep mode and the PSS can be used for temporary storage of any data at all other times.
The CPU is expected to be in supervisor mode for the entire boot sequence described by the pseudocode below. Note that the boot sequence has not been finalised but is expected to be close to the following: if (ResetSrc == 1) then // Reset was a power-on reset configure jsopec // need to configure peris (USB, ISI , DMA, ICU etc . ) // Otherwise reset was a wakeup reset so peris etc . were already configured PAUSE : wait until IrqSemaphore ! = 0 // i . e . wait until an interrupt has been serviced if (IrqSemaphore == DMAChanOMsg) then parsejnsg (DMAChanOMsgPtr) // this routine will parse the message and take any // necessary action e . g. programming the DMAChannell registers elsif (IrqSemaphore == DMAChanlMsg) then // program has been downloaded CalculatedHash = gen_shal (ProgramLocn, ProgramSize) if (ResetSrc == 1) then ExpectedHash = sigjiecrypt (ProgramSig, public jkey) else ExpectedHash = PSSHash if (ExpectedHash == CalculatedHash) then jmp (PrgramLocn) // transfer control to the downloaded program else send j^iost jnsg ( "Program Authentication Failed" ) goto PAUSE : elsif (IrqSemaphore == timeout) then // nothing has happened if (ResetSrc == 1) then sleepjnode ( ) // put SoPEC into sleep mode to be woken up by USB/ISI activity else // we were woken up but nothing happened reset_sopec (PowerOnReset) else goto PAUSE
The boot code places no restrictions on the activity of any programs downloaded and authenticated by it other than those imposed by the configuration of the MMU i.e. the principal function of the boot code is to authenticate that any programs downloaded by it are from a trusted source. It is the responsibility of the downloaded program to ensure that any code it downloads is also authenticated and that the system remains secure. The downloaded program code is also responsible for setting the SoPEC ISIId (see section 12.5 for a description of the ISIId) in a multi -SoPEC system. See the "SoPEC Security Overview" document [9] for more details of the SoPEC security features. 17.3 IMPLEMENTATION 17.3.1 Definitions of I/O Table 98. ROM Block I/O
Figure imgf000244_0001
Figure imgf000245_0001
17.3.2 Configuration registers
The ROM block will only allow read accesses to the FuseChiplD registers and the ROM with supervisor data space permissions (i.e. cpu_acode[1 :0] = 11). Write accesses with supervisor data space permissions will have no effect. All other accesses with will result in rom_cpu_berr being asserted. The CPU subsystem bus slave interface is described in more detail in section 9.4.3. Table 99. ROM Block Register Map
Figure imgf000245_0002
17.3.3 Sub-Block Partition IBM offer two variants of their ROM macros; A high performance version (ROMHD) and a low power version (ROMLD). It is likely that the low power version will be used unless some implementation issue requires the high performance version. Both versions offer the same bit density. The sub-block partition diagram below does not include the clocking and test signals for the ROM or ECID macros. The CPU subsystem bus interface is described in more detail in section 11.4.3. 17.3.4 Table 100. ROM Block internal signals
Figure imgf000246_0001
Sub-block signal definition
18 Power Safe Storage (PSS) Block
18.1 OVERVIEW
The PSS block provides 128 bytes of storage space that will maintain its state when the rest of the SoPEC device is in sleep mode. The PSS is expected to be used primarily for the storage of decrypted signatures associated with downloaded programmed code but it can also be used to store any information that needs to survive sleep mode (e.g. configuration details). Note that the signature digest only needs to be stored in the PSS before entering sleep mode and the PSS can be used for temporary storage of any data at all other times. Prior to entering sleep mode the CPU should store all of the information it will need on exiting sleep mode in the PSS. On emerging from sleep mode the boot code in ROM will read the ResetSrc register in the CPR block to determine which reset source caused the wakeup. The reset source information indicates whether or not the PSS contains valid stored data, and the PSS data determines the type of boot sequence to execute. If for any reason a full power-on boot sequence should be performed (e.g. the printer driver has been updated) then this is simply achieved by initiating a full software reset. Note that a reset or a powerdown (powerdown is implemented by clock gating) of the PSS block will not clear the contents of the 12δ bytes of storage. If clearing of the PSS storage is required, then the CPU must write to each location individually. 1δ.2 IMPLEMENTATION
The storage area of the PSS block will be implemented as a 12δ-byte register array. The array is located from PSS_base through to PSS_base+0x7F in the address map. The PSS block will only allow read or write accesses with supervisor data space permissions (i.e. cpu_acode[1 :0] = 11 ). All other accesses will result in pss_cpu_berr being asserted. The CPU subsystem bus slave interface is described in more detail in section 11.4.3. 13.2.1 Definitions of I/O Table 101. PSS Block I/O
Figure imgf000247_0001
19 Low Speed Serial Interface (LSS) 19.1 OVERVIEW The Low Speed Serial Interface (LSS) provides a mechanism for the internal SoPEC CPU to communicate with external QA chips via two independent LSS buses. The LSS communicates through the GPIO block to the QA chips. This allows the QA chip pins to be reused in multi- SoPEC environments. The LSS Master system-level interface is illustrated in Figure 75. Note that multiple QA chips are allowed on each LSS bus. 19.2 QA COMMUNICATION
The SoPEC data interface to the QA Chips is a low speed, 2 pin, synchronous serial bus. Data is transferred to the QA chips via the Issjdata pin synchronously with the lss_clk pin. When the lss_clk is high the data on Issjdata is deemed to be valid. Only the LSS master in SoPEC can drive the lss_clk pin, this pin is an input only to the QA chips. The LSS block must be able to interface with an open-collector pull-up bus. This means that when the LSS block should transmit a logical zero it will drive 0 on the bus, but when it should transmit a logical 1 it will leave high- impedance on the bus (i.e. it doesn't drive the bus). If all the agents on the LSS bus adhere to this protocol then there will be no issues with bus contention.
The LSS block controls all communication to and from the QA chips. The LSS block is the bus master in all cases. The LSS block interprets a command register set by the SoPEC CPU, initiates transactions to the QA chip in question and optionally accepts return data. Any return information is presented through the configuration registers to the SoPEC CPU. The LSS block indicates to the CPU the completion of a command or the occurrence of an error via an interrupt. The LSS protocol can be used to communicate with other LSS slave devices (other than QA chips). However should a LSS slave device hold the clock low (for whatever reason), it will be in violation of the LSS protocol and is not supported. The LSS clock is only ever driven by the LSS master.
19.2.1 Start and stop conditions
All transmissions on the LSS bus are initiated by the LSS master issuing a START condition and terminated by the LSS master issuing a STOP condition. START and STOP conditions are always generated by the LSS master. As illustrated in Figure 76, a START condition corresponds to a high to low transition on Issjdata while lss_clk is high. A STOP condition corresponds to a low to high transition on Issjdata while lss_clk is high.
19.2.2 Data transfer
Data is transferred on the LSS bus via a byte orientated protocol. Bytes are transmitted serially. Each byte is sent most significant bit (MSB) first through to least significant bit (LSB) last. One clock pulse is generated for each data bit transferred. Each byte must be followed by an acknowledge bit.
The data on the Issjdata must be stable during the HIGH period of the lss_clk clock. Data may only change when lss_clk is low. A transmitter outputs data after the falling edge of lss_clk and a receiver inputs the data at the rising edge of lss_clk. This data is only considered as a valid data bit at the next lss_clk falling edge provided a START or STOP is not detected in the period before the next lss_clk falling edge. All clock pulses are generated by the LSS block. The transmitter releases the Issjdata line (high) during the acknowledge clock pulse (ninth clock pulse). The receiver must pull down the Issjdata line during the acknowledge clock pulse so that it remains stable low during the HIGH period of this clock pulse. Data transfers follow the format shown in Figure 77. The first byte sent by the LSS master after a START condition is a primary id byte, where bits 7-2 form a 6-bit primary id (0 is a global id and will address all QA Chips on a particular LSS bus), bit 1 is an even parity bit for the primary id, and bit 0 forms the read/ write sense. Bit 0 is high if the following command is a read to the primary id given or low for a write command to that id. An acknowledge is generated by the QA chip(s) corresponding to the given id (if such a chip exists) by driving the Issjdata line low synchronous with the LSS master generated ninth Issjclk.
19.2.3 Write procedure
The protocol for a write access to a QA Chip over the LSS bus is illustrated in Figure 79 below. The LSS master in SoPEC initiates the transaction by generating a START condition on the LSS bus. It then transmits the primary id byte with a 0 in bit 0 to indicate that the following command is a write to the primary id. An acknowledge is generated by the QA chip corresponding to the given primary id. The LSS master will clock out M data bytes with the slave QA Chip acknowledging each successful byte written. Once the slave QA chip has acknowledged the Mth data byte the LSS master issues a STOP condition to complete the transfer. The QA chip gathers the M data bytes together and interprets them as a command. See QA Chip Interface Specification for more details on the format of the commands used to communicate with the QA chip[δ]. Note that the QA chip is free to not acknowledge any byte transmitted. The LSS master should respond by issuing an interrupt to the CPU to indicate this error. The CPU should then generate a STOP condition on the LSS bus to gracefully complete the transaction on the LSS bus.
19.2.4 Read procedure
The LSS master in SoPEC initiates the transaction by generating a START condition on the LSS bus. It then transmits the primary id byte with a 1 in bit 0 to indicate that the following command is a read to the primary id. An acknowledge is generated by the QA chip corresponding to the given primary id. The LSS master releases the Issjdata bus and proceeds to clock the expected number of bytes from the QA chip with the LSS master acknowledging each successful byte read. The last expected byte is not acknowledged by the LSS master. It then completes the transaction by generating a STOP condition on the LSS bus. See QA Chip Interface Specification for more details on the format of the commands used to communicate with the QA chip[δ]. 19.3 IMPLEMENTATION
A block diagram of the LSS master is given in Figure 60. It consists of a block of configuration registers that are programmed by the CPU and two identical LSS master units that generate the signalling protocols on the two LSS buses as well as interrupts to the CPU. The CPU initiates and terminates transactions on the LSS buses by writing an appropriate command to the command register, writes bytes to be transmitted to a buffer and reads bytes received from a buffer, and checks the sources of interrupts by reading status registers. 19.3.1 Definitions of IO Table 102. LSS IO pins definitions
Figure imgf000249_0001
Figure imgf000250_0001
19.3.2 Configuration registers
The configuration registers in the LSS block are programmed via the CPU interface. Refer to section 11.4 on page 96 for the description of the protocol and timing diagrams for reading and writing registers in the LSS block. Note that since addresses in SoPEC are byte aligned and the CPU only supports 32-bit register reads and writes, the lower 2 bits of the CPU address bus are not required to decode the address space for the LSS block. Table 103 lists the configuration registers in the LSS block. When reading a register that is less than 32 bits wide zeros should be returned on the upper unused bit(s) of lss_cpujdata.
The input cpujacode signal indicates whether the current CPU access is supervisor, user, program or data. The configuration registers in the LSS block can only be read or written by a supervisor data access, i.e. when cpujacode equals b11. If the current access is a supervisor data access then the LSS responds by asserting lss_cpu dyiOr a single clock cycle. If the current access is anything other than a supervisor data access, then the LSS generates a bus error by asserting Issjcpujberr for a single clock cycle instead of lss_cpu_rdy as shown in section 11.4 on page 96. A write access will be ignored, and a read access will return zero. Table 103. LSS Control Registers
Figure imgf000251_0001
Figure imgf000252_0001
Figure imgf000253_0001
19.3.2.1 LSS command registers
The LSS command registers define a sequence of events to perform on the respective LSS bus before issuing an interrupt to the CPU. There is a separate command register and interrupt for each LSS bus. The format of the command is given in Table 104. The CPU writes to the command register to initiate a sequence of events on an LSS bus. Once the sequence of events has completed or an error has occurred, an interrupt is sent back to the CPU.
Some example commands are:
• a single START condition (Start = 1 , IdByteEnable = 0, RdWrEnable = 0, Stop = 0)
• a single STOP condition (Start = 0, IdByteEnable = 0, RdWrEnable = 0, Stop = 1 )
• a START condition followed by transmission of the id byte (Start = 1 , IdByteEnable = 1 , RdWrEnable = 0, Stop = 0, IdByte contains primary id byte)
• a write transfer of 20 bytes from the data buffer (Start = 0, IdByteEnable = 0, RdWrEnable = 1 , RdWrSense = 0, Stop = 0, TxRxByteCount = 20) • a read transfer of δ bytes into the data buffer (Start = 0, IdByteEnable = 0, RdWrEnable = 1 , RdWrSense = 1 , ReadNack = 0, Stop = 0, TxRxByteCount = δ)
• a complete read transaction of 16 bytes (Start = 1 , IdByteEnable = 1 , RdWrEnable = 1 , RdWrSense = 1 , ReadNack = 1, Stop = 1 , IdByte contains primary id byte, TxRxByteCount = 16), etc.
The CPU can thus program the number of bytes to be transmitted or received (up to a maximum of 20) on the LSS bus before it gets interrupted. This allows it to insert arbitrary delays in a transfer at a byte boundary. For example the CPU may want to transmit 30 bytes to a QA chip but insert a delay between the 20th and 21st bytes sent. It does this by first writing 20 bytes to the data buffer. It then writes a command to generate a START condition, send the primary id byte and then transmit the 20 bytes from the data buffer. When interrupted by the LSS block to indicate successful completion of the command the CPU can then write the remaining 10 bytes to the data buffer. It can then wait for a defined period of time before writing a command to transmit the 10 bytes from the data buffer and generate a STOP condition to terminate the transaction over the LSS bus.
An interrupt to the CPU is generated for one cycle when any bit in LssNlntStatus is set. The CPU can read LssNlntStatus to discover the source of the interrupt. The LssNlntStatus registers are cleared when the CPU writes to the LssNCmd register. A null command write to the LssNCmd register will cause the LssNlntStatus registers to clear and no new command to start. A null command is defined as Start, IdbyteEnable, RdWrEnable and Stop all set to zero. Table 104. LSS command register description
Figure imgf000254_0001
Figure imgf000255_0001
The data buffer is implemented in the LSS master block. When the CPU writes to the LssNBuffer registers the data written is presented to the LSS master block via the IssNj ufferjjvrdata bus and configuration registers block pulses the lssN_bufferjwen bit corresponding to the register written. For example if LssNBuffer[2] is written to lssN_buffer_wen[2] will be pulsed. When the CPU reads the LssNBuffer registers the configuration registers block reflect the lssN_buffer_rdata bus back to the CPU. 19.3.3 LSS master unit The LSS master unit is instantiated for both LSS bus 0 and LSS bus 1. It controls transactions on the LSS bus by means of the state machine shown in Figure 33, which interprets the commands that are written by the CPU. It also contains a single 20 byte data buffer used for transmitting and receiving data.
The CPU can write data to be transmitted on the LSS bus by writing to the LssNBuffer registers. It can also read data that the LSS master unit receives on the LSS bus by reading the same registers. The LSS master always transmits or receives bytes to or from the data buffer in the same order.
For a transmit command, LssNBuffer[0][7:0] gets transmitted first, then LssNBuffer[0][1δ:8], LssNBuffer[0][23:16], LssNBuffer[0][31 :24], LssNBuffer[1][7:0] and so on until TxRxByteCount number of bytes are transmitted. A receive command fills data to the buffer in the same order. Each new command the buffer start point is reset.
All state machine outputs, flags and counters are cleared on reset. After a reset the state machine goes to the Reset state and initialises the LSS pins (lss_clk is set to 1 , Issjdata is tristated and allowed to be pulled up to 1). When the reset condition is removed the state machine transitions to the Wait state.
It remains in the Wait state until lss_new_cmd equals 1. If the Start bit of the command is 0 the state machine proceeds directly to the Checkld Byte Enable state. If the Start bit is 1 it proceeds to the GenerateStart state and issues a START condition on the LSS bus.
In the CheckldByteEnable state, if the IdByteEnable bit of the command is 0 the state machine proceeds directly to the CheckRdWrEnable state. If the IdByteEnable bit is 1 the state machine enters the SendldByte state and the byte in the IdByte field of the command is transmitted on the LSS. The WaitForldAck state is then entered. If the byte is acknowledged, the state machine proceeds to the CheckRdWrEnable state. If the byte is not-acknowledged, the state machine proceeds to the Generatelnterrupt state and issues an interrupt to indicate a not-acknowledge was received after transmission of the primary id byte.
In the CheckRdWrEnable state, if the RdWrEnable bit of the command is 0 the state machine proceeds directly to the CheckStop state. If the RdWrEnable bit is 1 , count is loaded with the value of the TxRxByteCount field of the command and the state machine enters either the ReceiveByte state if the RdWrSense bit of the command is 1 or the TransmitByte state if the RdWrSense bit is 0.
For a write transaction, the state machine keeps transmitting bytes from the data buffer, decrementing count after each byte transmitted, until count is 1. If all the bytes are successfully transmitted the state machine proceeds to the CheckStop state. If the slave QA chip not- acknowledges a transmitted byte, the state machine indicates this error by issuing an interrupt to the CPU and then entering the Generatelnterrupt state.
For a read transaction, the state machine keeps receiving bytes into the data buffer, decrementing count after each byte transmitted, until count is 1. After each byte received the LSS master must issue an acknowledge. After the last expected byte (i.e. when count is 1 ) the state machine checks the ReadNack bit of the command to see whether it must issue an acknowledge or not- acknowledge for that byte. The CheckStop state is then entered.
In the CheckStop state, if the Stop bit of the command is 0 the state machine proceeds directly to the Generatelnterrupt state. If the Stop bit is 1 it proceeds to the GenerateStop state and issues a STOP condition on the LSS bus before proceeding to the Generatelnterrupt state. In both cases an interrupt is issued to indicate successful completion of the command. The state machine then enters the Wait state to await the next command. When the state machine reenters the Wait state the output pins (Issjdata and lss_clk) are not changed, they retain the state of the last command. This allows the possibility of multi-command transactions. The CPU may abort the current transfer at any time by performing a write to the Reset register of the LSS block.
19.3.3.1 START and STOP generation
START and STOP conditions, which signal the beginning and end of data transmission, occur when the LSS master generates a falling and rising edge respectively on the data while the clock is high. In the GenerateStart state, lss_gpio_clk is held high with Issjgpioja remaining deasserted (so the data line is pulled high externally) for LssClockHighLowDuration pclk cycles. Then Issjgpioja is asserted and Issjgpiojdout is pulled low (to drive a 0 on the data line, creating a falling edge) with Issjgpiojclk remaining high for another LssClockHighLowDuration pclk cycles. In the GenerateStop state, both lss_gpio_clk and Issjgpiojdout are pulled low followed by the assertion of Issjgpioja to drive a 0 while the clock is low. After LssClockHighLowDuration pclk cycles, lss_gpio_clk is set high. After a further LssClockHighLowDuration pclk cycles, Issjgpioja is deasserted to release the data bus and create a rising edge on the data bus during the high period of the clock. If the bus is not in the required state for start and stop generation (lss_clk=l , lss_data=1 for start, and /ss_c/ c=1 , lss_data=0), the state machine moves the bus to the correct state and proceeds as described above. Figure 62 shows the transition timing from any bus state to start and stop generation
19.3.3.2 Clock pulse generation The LSS master holds lss_gpio_clk high while the LSS bus is inactive. A clock pulse is generated for each bit transmitted or received over the LSS bus. It is generated by first holding Issjgpiojclk low for LssClockHighLowDuration pclk cycles, and then high for LssClockHighLowDuration pclk cycles.
19.3.3.3 Data De-glitching When data is received in the LSS block it is passed to a de-glitching circuit. The de-glitch circuit samples the data 3 times on pclk and compares the samples. If all 3 samples are the same then the data is passed, otherwise the data is ignored.
Note that the LSS data input on SoPEC is double registered in the GPIO block before being passed to the LSS. 19.3.3.4 Data reception
The input data, gpiojssjdi, is first synchronised to the pclk domain by means of two flip-flops clocked b pclk (the double register resides in the GPIO block) . The LSS master generates a clock pulse for each bit received. The output Issjgpioja is deasserted LssClockToDataHold pclk cycles after the falling edge of lssjgpio_clk to release the data bus. The value on the synchronised gpiojssjdi is sampled Tstrobe number of clock cycles after the rising edge of lss_gpio_clk (the data is de-glitched over a further 3 stage register to avoid possible glitch detection). See Figure 34 for further timing information.
In the ReceiveByte state, the state machine generates δ clock pulses. At each Tstrobe time after the rising edge of lss_gpio_clk the synchronised gpiojssjdi is sampled. The first bit sampled is LssNBuffer[0][7], the second LssNBuffer[0][6], etc to LssNBuffer[0][0j. For each byte received the state machine either sends an NAK or an ACK depending on the command configuration and the number of bytes received.
In the SendNack state the state machine generates a single clock pulse. Issjgpioja is deasserted and the LSS data line is pulled high externally to issue a not-acknowledge. In the SendAck state the state machine generates a single clock pulse. Issjgpioja is asserted and a 0 driven on Issjgpiojdout after lss_gpio_clk falling edge to issue an acknowledge. 19.3.3.δ Data transmission
The LSS master generates a clock pulse for each bit transmitted. Data is output on the LSS bus on the falling edge of lssjgpio_clk.
When the LSS master drives a logical zero on the bus it will assert Issjgpioja and drive a 0 on Issjgpiojdout after lss_gpio_clk falling edge. Issjgpioja will remain asserted and Issjgpiojdout will remain low until the next lss_clk falling edge. When the LSS master drives a logical one Issjgpioja should be deasserted at lss_gpio_clk falling edge and remain deasserted at least until the next lss_gpio_clk falling edge. This is because the LSS bus will be externally pulled up to logical one via a pull-up resistor. In the Sendld byte state, the state machine generates δ clock pulses to transmit the byte in the IdByte field of the current valid command. On each falling edge of lss_gpio_clk a bit is driven on the data bus as outlined above. On the first falling edge ldByte[7] is driven on the data bus, on the second falling edge ldByte[6] is driven out, etc.
In the TransmitByte state, the state machine generates δ clock pulses to transmit the byte at the output of the transmit FIFO. On each falling edge of lss_gpio_clk a bit is driven on the data bus as outlined above. On the first falling edge LssNBuffer[0][7] is driven on the data bus, on the second falling edge LssNBuffer[0][6] is driven out, etc on to LssNBuffer[0][7] bits. In the WaitForAck state, the state machine generates a single clock pulse. At Tstrobe time after the rising edge of lss_gpio_clk the synchronized gpiojssjdi is sampled. A 0 indicates an acknowledge and ackjdetect is pulsed, a 1 indicates a not-acknowledge and nackjdetect is pulsed.
19.3.3.6 Data rate control The CPU can control the data rate by setting the clock period of the LSS bus clock by programming appropriate value in LssClockHighLowDuration. The default setting for the register is 200 (pclk cycles) which corresponds to transmission rate of 400kHz on the LSS bus (the lss_clk is high for LssClockHighLowDuration cycles then low for LssClockHighLowDuration cycles,). The lss_clk will always have a 50:50 duty cycle. The LssClockHighLowDuration register should not be set to values less than δ.
The hold time of Issjdata after the falling edge of lss_clk is programmable by the LssClocktoDataHold register. This register should not be programmed to less than 2 or greater than the LssClockHighLowDuration value.
19.3.3.7 LSS master timing parameters The LSS master timing parameters are shown in Figure δ4 and the associated values are shown in Table 105 . Table 105. LSS master timing parameters
Figure imgf000258_0001
Figure imgf000259_0001
DRAM SUBSYSTEM
20 DRAM Interface Unit (DIU)
20.1 OVERVIEW Figure 65 shows how the DIU provides the interface between the on-chip 20 Mbit embedded
DRAM and the rest of SoPEC. In addition to outlining the functionality of the DIU, this chapter provides a top-level overview of the memory storage and access patterns of SoPEC and the buffering required in the various SoPEC blocks to support those access requirements.
The main functionality of the DIU is to arbitrate between requests for access to the embedded DRAM and provide read or write accesses to the requesters. The DIU must also implement the initialisation sequence and refresh logic for the embedded DRAM.
The arbitration scheme uses a fully programmable timeslot mechanism for non-CPU requesters to meet the bandwidth and latency requirements for each unit, with unused slots re-allocated to provide best effort accesses. The CPU is allowed high priority access, giving it minimum latency, but allowing bounds to be placed on its bandwidth consumption.
The interface between the DIU and the SoPEC requesters is similar to the interface on PEC1 i.e. separate control, read data and write data busses.
The embedded DRAM is used principally to store:
• CPU program code and data. • PEP (re)programming commands.
• Compressed pages containing contone, bi-level and raw tag data and header information.
• Decompressed contone and bi-level data.
• Dotline store during a print. • Print setup information such as tag format structures, dither matrices and dead nozzle information.
20.2 IBM Cu-11 EMBEDDED DRAM
20.2.1 Single bank SoPEC will use the 1.5 V core voltage option in IBM's 0.13 μm class Cu-11 process.
The random read/write cycle time and the refresh cycle time is 3 cycles at 160 MHz [16]. An open page access will complete in 1 cycle if the page mode select signal is clocked at 320 MHz or 2 cycles if the page mode select signal is clocked every 160 MHz cycle. The page mode select signal will be clocked at 160 MHz in SoPEC in order to simplify timing closure. The DRAM word size is 256 bits.
Most SoPEC requesters will make single 256 bit DRAM accesses (see Section 20.4). These accesses will take 3 cycles as they are random accesses i.e. they will most likely be to a different memory row than the previous access.
The entire 20 Mbit DRAM will be implemented as a single memory bank. In Cu-11 , the maximum single instance size is 16 Mbit. The first 1 Mbit tile of each instance contains an area overhead so the cheapest solution in terms of area is to have only 2 instances. 16 Mbit and 4Mbit instances would together consume an area of 14.63 mm2 as would 2 times 10 Mbit instances. 4 times 5 Mbit instances would require 17.2 mm2.
The instance size will determine the frequency of refresh. Each refresh requires 3 clock cycles. In Cu-11 each row consists of 3 columns of 256-bit words. This means that 10 Mbit requires 5120 rows. A complete DRAM refresh is required every 3.2 ms. Two times 10 Mbit instances would require a refresh every 100 clock cycles, if the instances are refreshed in parallel.
The SoPEC DRAM will be constructed as two 10 Mbit instances implemented as a single memory bank. 20.3 SoPEC MEMORY USAGE REQUIREMENTS
The memory usage requirements for the embedded DRAM are shown in Table 106 . Table 106. Memory Usage Requirements
Figure imgf000260_0001
Figure imgf000261_0001
Note:
• Total storage is fixed to 2560 Kbytes to align to 20 Mbit DRAM. This will mean that less space than noted in Table may be available for the compressed band store. 20.4 SoPEC MEMORY ACCESS PATTERNS
Table 107 shows a summary of the blocks on SoPEC requiring access to the embedded DRAM and their individual memory access patterns. Most blocks will access the DRAM in single 256-bit accesses. All accesses must be padded to 256-bits except for 64-bit CDU write accesses and CPU write accesses. Bits which should not be written are masked using the individual DRAM bit write inputs or byte write inputs, depending on the foundry. Using single 256-bit accesses means that the buffering required in the SoPEC DRAM requesters will be minimized. Table 107. Memory access patterns of SoPEC DRAM Requesters
Figure imgf000262_0001
Refresh Single refresh.
20.5 BUFFERING REQUIRED IN SOPEC DRAM REQUESTERS
If each DIU access is a single 256-bit access then we need to provide a 256-bit double buffer in the DRAM requester. If the DRAM requester has a 64-bit interface then this can be implemented as an 8 x 64-bit FIFO. Table 108. Buffer sizes in SoPEC DRAM requesters
Figure imgf000263_0001
Figure imgf000264_0001
Table 109. SoPEC DIU Bandwidth Requirements
Figure imgf000264_0002
Figure imgf000265_0001
Motes:
1 : The number of allocated timeslots is based on 64 timeslots each of 1 bit/cycle but broken down to a granularity of 0.25 bit/cycle. Bandwidth is allocated based on peak bandwidth.
2: Wire-speed bandwidth for a 4 wire SCB configuration is 32 Mbits/s for each wire plus 12 Mbit/s for USB. This is a maximum of 138 Mbit/s. The maximum effective data rate is 26 Mbits/s for each wire plus 8 Mbit/s for USB. This is 112 Mbit/s. 112 Mbit/s is 0.734 bits/cycle or 256 bits every 348 cycles. 3: Wire-speed bandwidth for a 2 wire SCB configuration is 32 Mbits/s for each wire plus 12 Mbit/s for USB. This is a maximum of 74 Mbit/s. The maximum effective data rate is 26 Mbits/s for each wire plus 8 Mbit/s for USB. This is 60 Mbit/s. 60 Mbit/s is 0.393 bits/cycle or 256 bits every 650 cycles. 4: At 1 :1 compression CDU must read a 4 color pixel (32 bits) every SF2 cycles.
5: At 10:1 average compression CDU must read a 4 color pixel (32 bits) every 10*SF2 cycles.
6: 4 color pixel (32 bits) is required, on average, by the CFU every SF2 (scale factor) cycles.
The time available to write the data is a function of the size of the buffer in DRAM. 1.5 buffering means 4 color pixel (32 bits) must be written every SF2/ 2 (scale factor) cycles. Therefore, at a scale factor of SF, 64 bits are required every SF2 cycles.
Since 64 valid bits are written per 256-bit write (Figure n page379 on page Error! Bookmark not defined.) then the DRAM is accessed every SF2 cycles i.e. at SF4 an access every 16 cycles, at SF6 an access every 36 cycles.
If a page mode burst of 4 accesses is used then each access takes (3 + 2 + 2 +2) equals 9 cycles. This means at SF, a set of 4 back-to-back accesses must occur every 4*SF2 cycles. This assumes the page mode select signal is clocked at 160 MHz. CDU timeslots therefore take 9 cycles.
For scale factors lower than 4 double buffering will be used.
7: The peak bandwidth is twice the average bandwidth in the case of 1.5 buffering. 8: Each CDU(W) burst takes 9 cycles instead of 4 cycles for other accesses so CDU timeslots are longer.
9: 4 color pixel (32 bits) read by CFU every SF cycles. At SF4, 32 bits is required every 4 cycles or
256 bits every 32 cycles. At SF6, 32bits every 6 cycles or 256 bits every 48 cycles.
10: At 1 :1 compression require 1 bit/cycle or 256 bits every 256 cycles. 11 : The average bandwidth required at 10:1 compression is 0.1 bits/cycle.
12: Two separate reads of 1 bit/cycle.
13: Write at 1 bit/cycle.
14: Each tag can be consumed in at most 126 dot cycles and requires 128 bits. This is a maximum rate of 256 bits every 252 cycles. 15: 17 x 64 bit reads per line in PEC1 is 5 x 256 bit reads per line in SoPEC. Double-line buffered storage.
16: 128 bytes read per line is 4 x 256 bit reads per line. Double-line buffered storage.
17: 5% dead nozzles 10-bit delta encoded stored with 6-bit dead nozzle mask requires 0.8 bits/cycle read access or a 256-bit access every 320 cycles. This assumes the dead nozzles are evenly spaced out. In practice dead nozzles are likely to be clumped. Peak bandwidth is estimated as 3 times average bandwidth.
18: 6 bits/cycle requires 6 x 256 bit writes every 256 cycles.
19: 6 bits/160 MHz SoPEC cycle average but will peak at 2 x 6 bits per 106 MHz print head cycle or 8 bits/ SoPEC cycle. The PHI can equalise the DRAM access rate over the line so that the peak rate equals the average rate of 6 bits/ cycle. The print head is clocked at an effective speed of 106 MHz.
20: Assume one 256 read per 256 cycles is sufficient i.e. maximum latency of 256 cycles per access is allowable.
21 : Refresh must occur every 3.2 ms. Refresh occurs row at a time over 5120 rows of 2 parallel
10 Mbit instances. Refresh must occur every 100 cycles. Each refresh takes 3 cycles.
20.7 DIU BUS TOPOLOGY
20.7.1 Basic topology Table 110. SoPEC DIU Requesters
Figure imgf000267_0001
Table 110 shows the DIU requesters in SoPEC. There are 12 read requesters and 5 write requesters in SoPEC as compared with 8 read requesters and 4 write requesters in PEC1. Refresh is an additional requester. In PEC1 , the interface between the DIU and the DIU requesters had the following main features:
• separate control and address signals per DIU requester multiplexed in the DIU according to the arbitration scheme,
• separate 64-bit write data bus for each DRAM write requester multiplexed in the DIU,
• common 64-bit read bus from the DIU with separate enables to each DIU read requester. Timing closure for this bussing scheme was straight-forward in PEC1. This suggests that a similar scheme will also achieve timing closure in SoPEC. SoPEC has 5 more DRAM requesters but it will be in a 0.13 urn process with more metal layers and SoPEC will run at approximately the same speed as PEC1.
Using 256-bit busses would match the data width of the embedded DRAM but such large busses may result in an increase in size of the DIU and the entire SoPEC chip. The SoPEC requestors would require double 256-bit wide buffers to match the 256-bit busses. These buffers, which must be implemented in flip-flops, are less area efficient than 8-deep 64-bit wide register arrays which can be used with 64-bit busses. SoPEC will therefore use 64-bit data busses. Use of 256-bit busses would however simplify the DIU implementation as local buffering of 256-bit DRAM data would not be required within the DIU. 20.7.1.1 CPU DRAM access
The CPU is the only DIU requestor for which access latency is critical. All DIU write requesters transfer write data to the DIU using separate point-to-point busses. The CPU will use the cpu_dataout[31 :0] bus. CPU reads will not be over the shared 64-bit read bus. Instead, CPU reads will use a separate 256-bit read bus. 20.7.2 Making more efficient use of DRAM bandwidth
The embedded DRAM is 256-bits wide. The 4 cycles it takes to transfer the 256-bits over the 64- bit data busses of SoPEC means that effectively each access will be at least 4 cycles long. It takes only 3 cycles to actually do a 256-bit random DRAM access in the case of IBM DRAM.
20.7.2.1 Common read bus If we have a common read data bus, as in PEC1 , then if we are doing back to back read accesses the next DRAM read cannot start until the read data bus is free. So each DRAM read access can occur only every 4 cycles. This is shown in Figure 86 with the actual DRAM access taking 3 cycles leaving 1 unused cycle per access.
20.7.2.2 Interleaving CPU and non-CPU read accesses The CPU has a separate 256-bit read bus. All other read accesses are 256-bit accesses are over a shared 64-bit read bus. Interleaving CPU and non-CPU read accesses means the effective duration of an interleaved access timeslot is the DRAM access time (3 cycles) rather than 4 cycles. Figure 87 shows interleaved CPU and non-CPU read accesses. 20.7.2.3 Interleaving read and write accesses
Having separate write data busses means write accesses can be interleaved with each other and with read accesses. So now the effective duration of an interleaved access timeslot is the DRAM access time (3 cycles) rather than 4 cycles. Interleaving is achieved by ordering the DIU arbitration slot allocation appropriately. Figure 88 shows interleaved read and write accesses. Figure 89 shows interleaved write accesses.
256-bit write data takes 4 cycles to transmit over 64-bit busses so a 256-bit buffer is required in the DIU to gather the write data from the write requester. The exception is CPU write data which is transferred in a single cycle.
Figure 89 shows multiple write accesses being interleaved to obtain 3 cycle DRAM access.
Since two write accesses can overlap two sets of 256-bit write buffers and multiplexors to connect two write requestors simultaneously to the DIU are required.
Write requestors only require approximately one third of the total non-CPU bandwidth. This means that a rule can be introduced such that non-CPU write requestors are not allocated adjacent timeslots. This means that a single 256-bit write buffer and multiplexor to connect the one write requestor at a time to the DIU is all that is required.
Note that if the rule prohibiting back-to-back non-CPU writes is not adhered to, then the second write slot of any attempted such pair will be disregarded and re-allocated under the unused read round-robin scheme.
20.7.3 Bus widths summary Table 111. SoPEC DIU Requesters Data Bus Width
Figure imgf000269_0001
20.7.4 Conclusions
Timeslots should be programmed to maximise interleaving of shared read bus accesses with other accesses for 3 cycle DRAM access. The interleaving is achieved by ordering the DIU arbitration slot allocation appropriately. CPU arbitration has been designed to maximise interleaving with non-CPU requesters 20.8 SOPEC DRAM ADDRESSING SCHEME
The embedded DRAM is composed of 256-bit words. However the CPU-subsystem may need to write individual bytes of DRAM. Therefore it was decided to make the DIU byte addressable. 22 bits are required to byte address 20 Mbit of DRAM.
Most blocks read or write 256 bit words of DRAM. Therefore only the top 17 bits i.e. bits 21 to 5 are required to address 256-bit word aligned locations. The exceptions are
• CDU which can write 64-bits so only the top 19 address bits i.e. bits 21-3 are required.
• CPU writes can be 8, 16 or 32-bits. The cpu_diu_wmask[1 :0] pins indicate whether to write 8, 16 or 32 bits. All DIU accesses must be within the same 256-bit aligned DRAM word. The exception is the CDU write access which is a write of 64-bits to each of 4 contiguous 256-bit DRAM words. 20.8.1 Write Address Constaints Specific to the CDU
Note the following conditions which apply to the CDU write address, due to the four masked page- mode writes which occur whenever a CDU write slot is arbitrated.
• The CDU address presented to the DIU is cdu_diujwadr[21 :3]. • Bits [4:3] indicate which 64-bit segment out of 256 bits should be written in 4 successive masked page-mode writes.
• Each 10-Mbit DRAM macro has an input address port of width [15:0]. Of these bits, [2:0] are the "page address". Page-mode writes, where you just vary these LSBs (i.e. the "page" or column address), but keep the rest of the address constant, are faster than random writes. This is taken advantage of for CDU writes.
• To guarantee against trying to span a page boundary, the DIU treats "cdu_diujvadr[6:5]" as being fixed at "00".
• From cdu_diu_wadr[21 :3], a initial address of cdu_diu_wadr[21 :7] , concatenated with "00", is used as the starting location for the first CDU write. This address is then auto- incremented a further three times.
20.9 DIU PROTOCOLS The DIU protocols are
• Pipelined i.e. the following transaction is initiated while the previous transfer is in progress.
• Split transaction i.e. the transaction is split into independent address and data transfers. 20.9.1 Read Protocol except CPU
The SoPEC read requestors, except for the CPU, perform single 256-bit read accesses with the read data being transferred from the DIU in 4 consecutive cycles over a shared 64-bit read bus, diu_data[63:0j. The read address <unit>_diu_radr[21 :δ] is 256-bit aligned. The read protocol is: • <unit>_diu_rreq is asserted along with a valid <unit>jdiu_radr[21 :δj.
• The DIU acknowledges the request with diu_<unit>_rack. The request should be deasserted. The minimum number of cycles between <unit>_diu_rreq being asserted and the DIU generating an diu_<unit>_rack strobe is 2 cycles (1 cycle to register the request, 1 cycle to perform the arbitration - see Section 20.14.10). • The read data is returned on diu_data[63:0] and its validity is indicated by diu_<unit>_rvalid. The overall 256 bits of data are transferred over four cycles in the order : [63:0] -> [127:64] -> [191 :128] -> [255:192].
• When four diuj<unit>_rvalid pulses have been received then if there is a further request <unit>_diu_rreq should be asserted again. diu_<unit>_rvalid Will be always be asserted by the DIU for four consecutive cycles. There is a fixed gap of 2 cycles between diu_<unit>jrack and the first diu_<unit>_rvalid pulse. For more detail on the timing of such reads and the implications for back-to-back sequences, see Section 20.14.10.
20.9.2 Read Protocol for CPU The CPU performs single 256-bit read accesses with the read data being transferred from the DIU over a dedicated 256-bit read bus for DRAM data, dram_cpujdata[2δδ:0j. The read address cpu_adr[21 :δ] is 256-bit aligned. The CPU DIU read protocol is: • cpujdiu req is asserted along with a valid cpujadr[21:δj.
• The DIU acknowledges the request with diujpujrack. The request should be deasserted. The minimum number of cycles between cpujdiujreq being asserted and the DIU generating a cpujdiujrack strobe is 1 cycle (1 cycle to perform the arbitration - see Section 20.14.10). • The read data is returned on dram_cpujdata[2δδ:0] and its validity is indicated by diu_cpu_rvalid.
• When the diu_cpu_rvalid pulse has been received then if there is a further request cpujdiujreq should be asserted again. The diujpujrvalid pulse with a gap of 1 cycle after rack (1 cycle for the read data to be returned from the DRAM - see Section 20.14.10). 20.9.3 Write Protocol except CPU and CDU
The SoPEC write requestors, except for the CPU and CDU, perform single 256-bit write accesses with the write data being transferred to the DIU in 4 consecutive cycles over dedicated point-to- point 64-bit write data busses. The write address <unit>jdiu_wadr[21:δ] is 256-bit aligned. The write protocol is: • <unit>_diu_wreq is asserted along with a valid <unit>_diujwadr[21:δj.
• The DIU acknowledges the request with diuj<unit>_wack. The request should be deasserted. The minimum number of cycles between <unit>_diu_wreq being asserted and the DIU generating an diu_<unit>_wack strobe is 2 cycles (1 cycle to register the request, 1 cycle to perform the arbitration - see Section 20.14.10). • In the clock cycles following diu_<unit>jjvack the SoPEC Unit outputs the <unit>_diu_data[63:0], asserting <unit>jdiuj/waHd. The first <unit>_diu /walid pulse can occur the clock cycle after diu_<unit>_wack. <unit>jdiu_wvalid remains asserted for the following 3 clock cycles. This allows for reading from an SRAM where new data is available in the clock cycle after the address has changed e.g. the address for the second 64-bits of write data is available the cycle after diu_<unit> /ι ack meaning the second 64-bits of write data is a further cycle later. The overall 256 bits of data is transferred over four cycles in the order : [63:0] -> [127:64] -> [191 :128] -> [255:192].
• Note that for SCB writes, each 64-bit quarter-word has an 8-bit byte enable mask associated with it. A different mask is used with each quarter-word. The 4 mask values are transferred along with their associated data, as shown in Figure 92.
• If four consecutive <unit>jdiujwvalid pulses are not provided by the requester, then the arbitration logic will disregard the write and re-allocate the slot under the unused read round-robin scheme.
Once all the write data has been output then if there is a further request <unit>jdiujwreq should be asserted again. 20.9.4 CPU Write Protocol
The CPU performs single 128-bit writes to the DIU on a dedicated write bus, cpu _diu_wdata[127:0]. There is an accompanying write mask, cpu_diu_wmask[1δ:0], consisting of 16 byte enables and the CPU also supplies a 128-bit aligned write address on cpujdiujNadr[21:4j. Note that writes are posted by the CPU to the DIU and stored in a 1 -deep buffer. When the DAU subsequently arbitrates in favour of the CPU, the contents of the buffer are written to DRAM. The CPU write protocol, illustrated in Figure 93., is as follows :-
• The DIU signals to the CPU via diu_cpu_write_rdy that its write buffer is empty and that the CPU may post a write whenever it wishes.
• The CPU asserts cpujdiujwdatavalid to enable a write into the buffer and to confirm the validity of the write address, data and mask.
• The DIU de-asserts diu_cpujwrite_rdy in the following cycle to indicate that its buffer is full and that the posted write is pending execution. • When the CPU is next awarded a DRAM access by the DAU, the buffer's contents are written to memory. The DIU re-asserts diu_cpu_write_rdy once the write data has been captured by DRAM, namely in the "MSN1" DCU state.
• The CPU can then, if it wishes, asynchronously use the new value of .diujcpujwritejrdy to enable a new posted write in the same "MSN1" cycle. 20.9.5 CDU Write Protocol
The CDU performs four 64-bit word writes to 4 contiguous 256-bit DRAM addresses with the first address specified by cdujdiujwadr[21:3]. The write address cdujdiujjvadr[21:δ] is 256-bit aligned with bits cdu_diu_wadr[4:3] allowing the 64-bit word to be selected. The write protocol is: • cdujdiujwdata is asserted along with a valid cdu_diu_wadr[21 :3].
• The DIU acknowledges the request with diujodujwack. The request should be deasserted. The minimum number of cycles between cdujdiujwreq being asserted and the DIU generating an diu_cdu_wack strobe is 2 cycles (1 cycle to register the request, 1 cycle to perform the arbitration - see Section 20.14.10). • In the clock cycles following diujodujwack the CDU outputs the cdu_diu_data[63:0], together with asserted cdujdiujwvalid. The first cdujdiujwvalid pulse can occur the clock cycle after diujodujwack. cdujdiujjwalid remains asserted for the following 3 clock cycles. This allows for reading from an SRAM where new data is available in the clock cycle after the address has changed e.g. the address for the second 64-bits of write data is available the cycle after diujodujwack meaning the second 64-bits of write data is a further cycle later. Data is transferred over the 4-cycle window in an order, such that each successive 64 bits will be written to a monotonically increasing (by 1 location) 256-bit DRAM word.
• If four consecutive cdujdiujwvalid pulses are not provided with the data, then the arbitration logic will disregard the write and re-allocate the slot under the unused read round-robin scheme. • Once all the write data has been output then if there is a further request cdujdiujwreq should be asserted again.
20.10 DIU ARBITRATION MECHANISM
The DIU will arbitrate access to the embedded DRAM. The arbitration scheme is outlined in the next sections.
20.10.1 Timeslot based arbitration scheme
Table summarised the bandwidth requirements of the SoPEC requestors to DRAM. If we allocate the DIU requestors in terms of peak bandwidth then we require 35.25 bits/cycle (at SF =6) and 40.75 bits/ cycle (at SF = 4) for all the requestors except the CPU. A timeslot scheme is defined with 64 main timeslots. The number of used main timeslots is programmable between 1 and 64.
Since DRAM read requestors, except for the CPU, are connected to the DIU via a 64-bit data bus each 256-bit DRAM access requires 4 pclk cycles to transfer the read data over the shared read bus. The timeslot rotation period for 64 timeslots each of 4 pclk cycles is 256 pclk cycles or 1.6 μs, assuming pclk is 160 MHz. Each timeslot represents a 256-bit access every 256 pclk cycles or 1 bit/cycle. This is the granularity of the majority of DIU requestors bandwidth requirements in Table .
The SoPEC DIU requesters can be represented using 4 bits (Table n page288 on page 298). Using 64 timeslots means that to allocate each timeslot to a requester, a total of 64 x 5-bit configuration registers are required for the 64 main timeslots.
Timeslot based arbitration works by having a pointer point to the current timeslot. When re- arbitration is signaled the arbitration winner is the current timeslot and the pointer advances to the next timeslot. Each timeslot denotes a single access. The duration of the timeslot depends on the access. Note that advancement through the timeslot rotation is dependent on an enable bit, RotationSync, being set. The consequences of clearing and setting this bit are described in section 20.14.12.2.1 on page 325.
If the SoPEC Unit assigned to the current timeslot is not requesting then the unused timeslot arbitration mechanism outlined in Section 20.10.6 is used to select the arbitration winner. Note that there is always an arbitration winner for every slot. This is because the unused read re- allocation scheme includes refresh in its round-robin protocol. If all other blocks are not requesting, an early refresh will act as fall-back for the slot.
20.10.2 Separate read and write arbitration windows
For write accesses, except the CPU, 256-bits of write data are transferred from the SoPEC DIU write requestors over 64-bit write busses in 4 clock cycles. This write data transfer latency means that writes accesses, except for CPU writes and also the CDU, must be arbitrated 4 cycles in advance. (The CDU is an exception because CDU writes can start once the first 64-bits of write data have been transferred since each 64-bits is associated with a write to a different 256-bit word). Since write arbitration must occur 4 cycles in advance, and the minimum duration of a timeslot duration is 3 cycles, the arbitration rules must be modified to initiate write accesses in advance. Accordingly, there is a write timeslot lookahead pointer shown in Figure 96 two timeslots in advance of the current timeslot pointer. The following examples illustrate separate read and write timeslot arbitration with no adjacent write timeslots. (Recall rule on adjacent write timeslots introduced in Section 20.7.2.3 on page 267.) In Figure 97 writes are arbitrated two timeslots in advance. Reads are arbitrated in the same timeslot as they are issued. Writes can be arbitrated in the same timeslot as a read. During arbitration the command address of the arbitrated SoPEC Unit is captured. Other examples are shown in Figure 98 and Figure 99. The actual timeslot order is always the same as the programmed timeslot order i.e. out of order accesses do not occur and data coherency is never an issue. Each write must always incur a latency of two timeslots. Startup latency may vary depending on the position of the first write timeslot. This startup latency is not important. Table 112 shows the 4 scenarios depending on whether the current timeslot and write timeslot lookahead pointers point to read or write accesses. Table 112. Arbitration with separate windows for read and write accesses
Figure imgf000274_0001
If the current timeslot pointer points to a read access then this will be initiated immediately. If the write timeslot lookahead pointer points to a write access then this access is arbitrated immediately, or immediately after the read access associated with the current timeslot pointer is initiated. When a write access is arbitrated the DIU will capture the write address. When the current timeslot pointer advances to the write timeslot then the actual DRAM access will be initiated. Writes will therefore be arbitrated 2 timeslots in advance of the DRAM write occurring. At initialisation, the write lookahead pointer points to the first timeslot. The current timeslot pointer is invalid until the write lookahead pointer advances to the third timeslot when the current timeslot pointer will point to the first timeslot. Then both pointers advance in tandem. CPU write accesses are excepted from the lookahead mechanism.
If the selected SoPEC Unit is not requesting then there will be separate read and write selection for unused timeslots. This is described in Section 20.10.6. 20.10.3 Arbitration of CPU accesses
What distinguishes the CPU from other SoPEC requestors, is that the CPU requires minimum latency DRAM access i.e. preferably the CPU should get the next available timeslot whenever it requests.
The minimum CPU read access latency is estimated in Table 113. This is the time between the CPU making a request to the DIU and receiving the read data back from the DIU. Table 113. Estimated CPU read access latency ignoring caching
Figure imgf000275_0001
If the CPU, as is likely, requests DRAM access again immediately after receiving data from the
DIU then the CPU could access every second timeslot if the access latency is 6 cycles. This assumes that interleaving is employed so that timeslots last 3 cycles. If the CPU access latency were 7 cycles, then the CPU would only be able to access every third timeslot.
If a cache hit occurs the CPU does not require DRAM access. For its next DIU access it will have to wait for its next assigned DIU slot. Cache hits therefore will reduce the number of DRAM accesses but not speed up any of those accesses.
To avoid the CPU having to wait for its next timeslot it is desirable to have a mechanism for ensuring that the CPU always gets the next available timeslot without incurring any latency on the non-CPU timeslots.
This can be done by defining each timeslot as consisting of a CPU access preceding a non-CPU access. Each timeslot will last 6 cycles i.e. a CPU access of 3 cycles and a non-CPU access of 3 cycles. This is exactly the interleaving behaviour outlined in Section 20.7.2.2. If the CPU does not require an access, the timeslot will take 3 or 4 and the timeslot rotation will go faster. A summary is given in Table 114. Table 114. Timeslot access times.
Figure imgf000276_0001
CDU write accesses require 9 cycles. CDU write accesses preceded by a CPU access require 12 cycles. CDU timeslots therefore take longer than all other DIU requestors timeslots. With a 256 cycle rotation there can be 42 accesses of 6 cycles.
For low scale factor applications, it is desirable to have more timeslots available in the same 256 cycle rotation. So two counters of 4-bits each are defined allowing the CPU to get a maximum of (CPUPreAccessTlmeslots + 1 ) pre-accesses for every (CPUTotalTlmeslots + 1 ) main slots. A timeslot counter starts at CPUTotalTlmeslots and decrements every timeslot, while another counter starts at CPUPreAccessTlmeslots and decrements every timeslot in which the CPU uses its access. When the CPU pre-access counter goes to zero before CPUTotalTlmeslots, no further CPU accesses are allowed. When the CPUTotalTlmeslots counter reaches zero both counters are reset to their respective initial values.
The CPU is not included in the list of SoPEC DIU requesters, Table , for the main timeslot allocations. The CPU cannot therefore be allocated main timeslots. It relies on pre-accesses in advance of such slots as the sole method for DRAM transfers. CPU access to DRAM can never be fully disabled, since to do so would render SoPEC inoperable. Therefore the CPUPreAccessTlmeslots and CPUTotalTlmeslots register values are interpreted as follows : In each succeeding window of (CPUTotalTimeslots+ 1 ) slots, the maximum quota of CPU pre-accesses allowed is (CPUPreAccessTlmeslots + 1 ). The "+ 1" implementations mean that the CPU quota cannot be made zero. The various modes of operation are summarised in Table 115 with a nominal rotation period of 256 cycles. Table 115. CPU timeslot allocation modes with nominal rotation period of 256 cycles
Figure imgf000276_0002
Figure imgf000277_0001
20.10.4 CDU accesses
As indicated in Section 20.10.3, CDU write accesses require 9 cycles. CDU write accesses preceded by a CPU access require 12 cycles. CDU timeslots therefore take longer than all other DIU requestors timeslots. This means that when a write timeslot is unused it cannot be re- allocated to a CDU write as CDU accesses take 9 cycles. The write accesses which the CDU write could otherwise replace require only 3 or 4 cycles.
Unused CDU write accesses can be replaced by any other write access according to 20.10.6.1 Unused write timeslots allocation on page 277.
20.10.5 Refresh controller Refresh is not included in the list of SoPEC DIU requesters, Table , for the main timeslot allocations. Timeslots cannot therefore be allocated to refresh.
The DRAM must be refreshed every 3.2 ms. Refresh occurs row at a time over 5120 rows of 2 parallel 10 Mbit instances. A refresh operation must therefore occur every 100 cycles. The refreshjperiod register has a default value of 99. Each refresh takes 3 cycles. A refresh counter will count down the number of cycles between each refresh. When the down- counter reaches 0, the refresh controller will issue a refresh request and the down-counter is reloaded with the value in refreshjperiod and the count-down resumes immediately. Allocation of main slots must take into account that a refresh is required at least once every 100 cycles. Refresh is included in the unused read and write timeslot allocation. If unused timeslot allocation results in refresh occurring early by N cycles, then the refresh counter will have counted down to N. In this case, the refresh counter is reset to refreshjperiod and the count-down recommences. Refresh can be preceded by a CPU access in the same way as any other access. This is controlled by the CPUPreAccessTlmeslots and CPUTotalTlmeslots configuration registers. Refresh will therefore not affect CPU performance. A sequence of accesses including refresh might therefore be CPU, refresh, CPU, actual timeslot. 20.10.6 Allocating unused timeslots
Unused slots are re-allocated separately depending on whether the unused access was a read access or a write access. This is best-effort traffic. Only unused non-CPU accesses are reallocated.
20.10.6.1 Unused write timeslots allocation
Unused write timeslots are re-allocated according to a fixed priority order shown in Table 116 . Table 116. Unused write timeslot priority order
Figure imgf000278_0002
location for write as CDU accesses take 9 cycles. The write accesses which the CDU write could otherwise replace require only 3 or 4 cycles.
Unused write timeslot allocation occurs two timeslots in advance as noted in Section 20.10.2. If the units at priorities 1-3 are not requesting then the timeslot is re-allocated according to the unused read timeslot allocation scheme described in Section 20.10.6.2. However, the unused read timeslot allocation will occur when the current timeslot pointer of Figure 96 reaches the timeslot i.e. it will not occur in advance.
20.10.6.2 Unused read timeslots allocation
Unused read timeslots are re-allocated according to a two level round-robin scheme. The SoPEC
Units included in read timeslot re-allocation is shown in Table 117.
Table 117. Unused read timeslot allocation
Figure imgf000278_0001
Figure imgf000279_0001
Each SoPEC requestor has an associated bit, ReadRoundRobinLevel, which indicates whether it is in level 1 or level 2 round-robin. Table 118. Read round-robin level selection
Figure imgf000279_0002
A pointer points to the most recent winner on each of the round-robin levels. Re-allocation is carried out by traversing level 1 requesters, starting with the one immediately succeeding the last level 1 winner. If a requesting unit is found, then it wins arbitration and the level 1 pointer is shifted to its position. If no level 1 unit wants the slot, then level 2 is similarly examined and its pointer adjusted.
Since refresh occupies a (shared) position on one of the two levels and continually requests access, there will always be some round-robin winner for any unused slot.
20.10.6.2.1 Shared CPU / Refresh Round-Robin Position Note that the CPU can conditionally be allowed to take part in the unused read round-robin scheme. Its participation is controlled via the configuration bit EnableCPURoundRobin. When this bit is set, the CPU and refresh share a joint position in the round-robin order, shown in Table .
When cleared, the position is occupied by refresh alone.
If the shared position is next in line to be awarded an unused non-CPU read/write slot, then the CPU will have first option on the slot. Only if the CPU doesn't want the access, will it be granted to refresh. If the CPU is excluded from the round robin, then any awards to the position benefit refresh.
20.11 GUIDELINES FOR PROGRAMMING THE DIU
Some guidelines for programming the DIU arbitration scheme are given in this section together with an example.
20.11.1 Circuit Latency Circuit latency is a fixed service delay which is incurred, as and from the acceptance by the DIU arbitration logic of a block's pending read/write request. It is due to the processing time of the request, readying the data, plus the DRAM access time. Latencies differ for read and write requests. See Tables 79 and 80 for respective breakdowns. If a requesting block is currently stalled, then the longest time it will have to wait between issuing a new request for data and actually receiving it would be its timeslot period, plus the circuit latency overhead, along with any intervening non-standard slot durations, such as refresh and CDU(W). In any case, a stalled block will always incur this latency as an additional overhead, when coming out of a stall. In the case where a block starts up or unstalls, it will start processing newly-received data at a time beyond its serviced timeslot equivalent to the circuit latency. If the block's timeslots are evenly spaced apart in time to match its processing rate, (in the hope of minimising stalls,) then the earliest that the block could restall, if not re-serviced by the DIU, would be the same latency delay beyond its next timeslot occurrence. Put another way, the latency incurred at start-up pushes the potential DlU-induced stall point out by the same fixed delta beyond each successive timeslot allocated to the block. This assumes that a block re-requests access well in advance of its upcoming timeslots. Thus, for a given stall-free run of operation, the circuit latency overhead is only incurred inititially when unstalling. While a block can be stalled as a result of how quickly the DIU services its DRAM requests, it is also prone to stalls caused by its upstream or downstream neighbours being able to supply or consume data which is transferred between the blocks directly, (as opposed to via the DIU). Such neighbour-induced stalls, often occurring at events like end of line, will have the effect that a block's DIU read buffer will tend to fill, as the block stops processing read data. Its DIU write buffer will also tend to fill, unable to despatch to DRAM until the downstream block frees up shared-access DRAM locations. This scenario is beneficial, in that when a block unstalls as a result of its neighbour releasing it, then that block's read/write DIU buffers will have a fill state less likely to stall it a second time, as a result of DIU service delays.
A block's slots should be scheduled with a service guarantee in mind. This is dictated by the block's processing rate and hence, required access to the DRAM. The rate is expressed in terms of bits per cycle across a processing window, which is typically (though not always) 256 cycles. Slots should be evenly interspersed in this window (or "rotation") so that the DIU can fulfill the block's service needs.
The following ground rules apply in calculating the distribution of slots for a given non-CPU block:- • The block can, at maximum, suffer a stall once in the rotation, (i.e. unstall and restall) and hence incur the circuit latency described above.
This rule is, by definition, always fulfilled by those blocks which have a service requirement of only 1 bit/cycle (equivalent to 1 slot/rotation) or fewer. It can be shown that the rule is also satisfied by those blocks requiring more than 1 bit/cycle. See Section 20.12.1 Slot Distributions and Stall Calculations for Individual Blocks, on page 285. • Within the rotation, certain slots will be unavailable, due to their being used for refresh. (See Section 20.11.2 Refresh latencies)
• In programming the rotation, account must be taken of the fact that any CDU(W) accesses will consume an extra 6 cycles/access, over and above the norm, in CPU pre-access mode, or 5 cycles/access without pre-access.
• The total delay overhead due to latency, refreshes and CDU(W) can be factored into the service guarantee for all blocks in the rotation by deleting once, (i.e. reducing the rotation window,) that number of slots which equates to the cumulative duration of these various anomalies.
• The use of lower scale factors will imply a more frequent demand for slots by non-CPU blocks. The percentage of slots in the overall rotation which can therefore be designated as CPU pre-access ones should be calculated last, based on what can be accommodated in the light of the non-CPU slot need.
Read latency is summarised below in Table 119 . Table 119. Read latency
Figure imgf000281_0001
Write latency is summarised in Table 120. Table 120. Write latency
Figure imgf000281_0002
Figure imgf000282_0001
Timeslots removed to allow for read latency will also cover write latency, since the former is the larger of the two.
20.11.2 Ref res h latencies The number of allocated timeslots for each requester needs to take into account that a refresh must occur every 100 cycles. This can be achieved by deleting timeslots from the rotation since the number of timeslots is made programmable.
Refresh is preceded by a CPU access in the same way as any other access. This is controlled by the CPUPreAccessTlmeslots and CPUTotalTlmeslots configuration registers. Refresh will therefore not affect CPU performance.
As an example, in CPU pre-access mode each timeslot will last 6 cycles. If the timeslot rotation has 50 timeslots then the rotation will last 300 cycles. The refresh controller will trigger a refresh every 100 cycles. Up to 47 timeslots can be allocated to the rotation ignoring refresh. Three timeslots deleted from the 50 timeslot rotation will allow for the latency of a refresh every 100 cycles.
20.11.3 Ensuring sufficient DNC and PCU access
PCU command reads from DRAM are exceptional events and should complete in as short a time as possible. Similarly, we must ensure there is sufficient free bandwidth for DNC accesses e.g. when clusters of dead nozzles occur. In Table DNC is allocated 3 times average bandwidth. PCU and DNC can also be allocated to the level 1 round-robin allocation for unused timeslots so that unused timeslot bandwidth is preferentially available to them.
20.11.4 Basing timeslot allocation on peak bandwidths
Since the embedded DRAM provides sufficient bandwidth to use 1 :1 compression rates for the CDU and LBD, it is possible to simplify the main timeslot allocation by basing the allocation on peak bandwidths. As combined bi-level and tag bandwidth at 1 :1 scaling is only 5 bits/cycle, we will usually only consider the contone scale factor as the variable in determining timeslot allocations.
If slot allocation is based on peak bandwidth requirements then DRAM access will be guaranteed to all SoPEC requesters. If we do not allocate slots for peak bandwidth requirements then we can also allow for the peaks deterministically by adding some cycles to the print line time. 20.11.5 Adjacent timeslot restrictions
20.11.δ.1 Non-CPU write adjacent timeslot restrictions
Non-CPU write requestors should not be assigned adjacent timeslots as described in Section
20.7.2.3. This is because adjacent timeslots assigned to non-CPU requestors would require two sets of 256-bit write buffers and multiplexors to connect two write requestors simultaneously to the
DIU. Only one 256-bit write buffer and multiplexor is implemented. Recall from section 20.7.2.3 on page 267 that if adjacent non-CPU writes are attempted, that the second write of any such pair will be disregarded and re-allocated under the unused read scheme. .
20.11.δ.2 Same DIU requestor adjacent timeslot restrictions
All DIU requesters have state-machines which request and transfer the read or write data before requesting again. From Figure 90 read requests have a minimum separation of 9 cycles. From
Figure 92 write requests have a minimum separation of 7 cycles. Therefore adjacent timeslots should not be assigned to a particular DIU requester because the requester will not be able to make use of all these slots.
In the case that a CPU access precedes a non-CPU access timeslots last 6 cycles so write and read requesters can only make use of every second timeslot. In the case that timeslots are not preceded by CPU accesses timeslots last 4 cycles so the same write requester can use every second timeslot but the same read requestor can use only every third timeslot. Some DIU requestors may introduce additional pipeline delays before they can request again. Therefore timeslots should be separated by more than the minimum to allow a margin.
20.11.6 Line margin
The SFU must output 1 bit/cycle to the HCU. Since HCUNumDots may not be a multiple of 256 bits the last 256-bit DRAM word on the line can contain extra zeros. In this case, the SFU may not be able to provide 1 bit/cycle to the HCU. This could lead to a stall by the SFU. This stall could then propagate if the margins being used by the HCU are not sufficient to hide it. The maximum stall can be estimated by the calculation: DRAM service period - X scale factor * dots used from last DRAM read for HCU line.
Similarly, if the line length is not a multiple of 256-bits then e.g. the LLU could read data from
DRAM which contains padded zeros. This could lead to a stall. This stall could then propagate if the page margins cannot hide it.
A single addition of 256 cycles to the line time will suffice for all DIU requesters to mask these stalls.
20.12 EXAMPLE OUTLINE DIU PROGRAMMING Table 121. Timeslot allocation based on peak bandwidth
Figure imgf000283_0001
Figure imgf000284_0001
Table 121 shows an allocation of main timeslots based on the peak bandwidths of Table .
The bandwidth required for each unit is calculated allowing extra cycles for read and write circuit latency for each access requiring a bandwidth of more than 1 bit/cycle. Fractional bandwidth is supplied via unused read slots.
The timeslot rotation is 256 cycles. Timeslots are deleted from the rotation to allow for circuit latencies for accesses of up to 1 bit per cycle i.e. 1 timeslot per rotation.
Example 1 : Scale-factor = 6
Program the MainTimeslot configuration register (Table ) for peak required bandwidths of
SoPEC Units according to the scale factor.
Program the read round-robin allocation to share unused read slots. Allocate PCU, DNC, HCU and TFS to level 1 read round-robin.
Assume scale-factor of 6 and peak bandwidths from Table .
• Assign all DIU requestors except TE(TFS) and HCU to multiples of 1 timeslot, as indicated in Table , where each timeslot is 1 bit/cycle. This requires 33 timeslots.
• No timeslots are explicitly allocated for the fractional bandwidth requirements of TE(TFS) and HCU accesses. Instead, these units are serviced via unused read slots.
7 The SCB figure of 0.734 bits/cycle applies to multi-SoPEC systems. For s/ng/e-SoPEC systems, the figure is 0.050 bits/cycle.
8 Bandwidth for CDU(W) is peak value. Because of 1.5 buffering in DRAM, peak CDU( ) b/w equals 2 x average CDU(W) b/w. For CDU(R), peak b/w = average CDU(R) b/w. • Allow 3 timeslots to allow for 3 refreshes in the rotation.
• Therefore, 36 scheduled slots are used in the rotation for main timeslots and refreshes, some or all of which may be able to have a CPU pre-access, provided they fit in the rotation window. • Each of the 2 CDU(W) accesses requires 9 cycles. Per access, this implies an overhead of 1 slot (12 cycles instead of 6) in pre-access mode, or 1.25 slots (9 cycles instead of 4) for no pre-access. The cumulative overhead of the two accesses is either 2 slots (pre-access) or 3 slots (no pre-access).
• Assuming all blocks require a service guarantee of no more than a single stall across 256 bits, allow 10 cycles for read latency, which also takes care of 9-cycle write latency. This can be accounted for by reserving 2 six-cycle slots (CPU pre-access) or 3 four-cycle slots (no pre-access).
• Assume a 256 cycle timeslot rotation.
• CDU(W) and read latency reduce the number of available cycles in a rotation to: 256 - 2x6 - 2x6 = 232 cycles (CPU pre-access) or 256 - 3x4 - 3x4 = 232 cycles (no pre-access).
• As a result, 232 cycles available for 36 accesses implies each access can take 232 / 36 = 6.44 cycles maximum. So, all accesses can have a pre-access.
• Therefore the CPU achieves a pre-access ratio of 36 / 36 = 100% of slots in the rotation. Example 2: Scale-factor = 4 Program the MainTimeslot configuration register (Table ) for peak required bandwidths of SoPEC Units according to the scale factor. Program the read round-robin allocation to share unused read slots. Allocate PCU, DNC, HCU and TFS to level 1 read round-robin. • Assume scale-factor of 4 and peak bandwidths from Table . • Assign all DIU requestors except TE(TFS) and HCU multiples of 1 timeslot, as indicated in Table , where each timeslot is 1 bit/cycle. This requires 38 timeslots. • No timeslots are explicitly allocated for the fractional bandwidth requirements of TE(TFS) and HCU accesses. Instead, these units are serviced via unused read slots. • Allow 3 timeslots to allow for 3 refreshes in the rotation. • Therefore, 41 scheduled slots are used in the rotation for main timeslots and refreshes, some or all of which can have a CPU pre-access, provided they fit in the rotation window. • Each of the 4 CDU(W) accesses requires 9 cycles. Per access, this implies an overhead of 1 slot (12 cycles instead of 6) for pre-access mode, or 1.25 slots (9 cycles instead of 4) for no pre-access. The cumulative overhead of the four accesses is either 4 slots (pre-access) or 5 slots (no pre-access). • Assuming all blocks require a service guarantee of no more than a single stall across 256 bits, allow 10 cycles for read latency, which also takes care of 9-cycle write latency. This can be accounted for by reserving 2 six-cycle slots (CPU pre-access) or 3 four-cycle slots (no pre-access). • Assume a 256 cycle timeslot rotation. • CDU(W) and read latency reduce the number of available cycles in a rotation to: 256 - 4x6 - 2x6 = 220 cycles (CPU pre-access) or 256 - 5x4 - 3x4 = 224 cycles (no pre-access). • As a result, between 220 and 224 cycles are available for 41 accesses, which implies each access can take between 220 / 41 = 5.36 cycles and 224 / 41 = 5.46 cycles. • Work out how many slots can have a pre-access: For the lower number of 220 cycles, this implies (41 - n)*6 + n*4 <= 220, where n = number of slots with no pre-access cycle. Solving the equation gives n >= 13. Check answer: 28*6 + 13*4 = 220. • So 28 slots out of the 41 in the rotation can have CPU pre-accesses. • The CPU thus achieves a pre-access ratio of 28 / 41 = 68.3% of slots in the rotation.
20.12.1 Slot Distributions and Stall Calculations for Individual Blocks The following sections show how the slots for blocks with a service requirement greater than 1 bit/cycle should be distributed. Calculations are included to check that such blocks will not suffer more than one stall per rotation. 20.12.1.1 SFU
This has 2 bits/cycle on read but this is two separate channels of 1 bit/cycle sharing the same DIU interface so it is effectively 2 channels each of 1 bit/cycle so allowing the same margins as the LBD will work.
20.12.1.2 DWU The DWU has 12 double buffers in each of the 6 colour planes, odd and even. These buffers are filled by the DNC and will request DIU access when double buffers fill. The DNC supplies 6 bits to the DWU every cycle (6 odd in one cycle, 6 even in the next cycle). So the service deadline is 512 cycles, given 6 accesses per 256-cycle rotation.
20.12.1.3 CFU Here the requirement is that the DIU stall should be less than the time taken for the CFU to consume one third of its triple buffer. The total DIU stall = refresh latency + extra CDU(W) latency + read circuit latency = 3 + 5 (for 4 cycle timeslots) + 10 = 18 cycles. The CFU can consume its data at 8 bits/cycle at SF = 4. Therefore 256 bits of data will last 32 cycles so the triple buffer is safe. In fact we only need an extra 144 bits of buffering or 3 x 64 bits. But it is safer to have the full extra 256 bits or 4 x 64 bits of buffering.
20.12.1.4 LLU
The LLU has 2 channels, each of which could request at 6 bits/106 MHz channel or 4 bits/160MHz cycle, giving a total of 8 bits/160MHz cycle. The service deadline for each channel is 256 x 106 MHz cycles, i.e. all 6 colours must be transferred in 256 cycles to feed the printhead. This equates to 384 x 160 MHz cycles.
Over a span of 384 cycles, there will be 6 CDU(W) accesses, 4 refreshes and one read latency encountered at most. Assuming CPU pre-accesses for these occurrences, this means the number of available cycles is given by 384 - 6x6 - 4x6 - 10 = 314 cycles. For a CPU pre-access slot rate of 50%, 314 cycles implies 31 CPU and 63 non-CPU accesses
(31 x 6 + 32 x 4 = 314). For 12 LLU accesses interspersed amongst these 63 non-CPU slots, implies an LLU allocation rate of approximately one slot in 5.
If the CPU pre-access is 100% across all slots, then 314 cycles gives 52 slots each to CPU and non-CPU accesses, (52 x 6 = 312 cycles). Twelve accesses spread over 52 slots, implies a 1-in-4 slot allocation to the LLU.
The same LLU slot allocation rate (1 slot in 5, or 1 in 4) can be applied to programming slots across a 2δ6-cycle rotation window. The window size does not affect the occurrence of LLU slots, so the 384-cycle service requirement will be fulfilled. 20.12.1. δ DNC
This has a 2.4 bits/cycle bandwidth requirement. Each access will see the DIU stall of 18 cycles.
2.4 bits/ cycle corresponds to an access every 106 cycles within a 256 cycle rotation. So to allow for DIU latency we need an access every 106 -18 or 88 cycles. This is a bandwidth of 2.9 bits/cycle, requiring 3 timeslots in the rotation. 20.12.1.6 CDU
The JPEG decoder produces 8 bits/cycle. Peak CDUR[ead] bandwidth is 4 bits/cycle (SF=4), peak CDUW[rite] bandwidth is 4 bits/cycle (SF=4). both with 1.5 DRAM buffering.
The CDU(R) does a DIU read every 64 cycles at scale factor 4 with 1.5 DRAM buffering. The delay in being serviced by the DIU could be read circuit latency (10) + refresh (3) + extra CDU(W) cycles (6) = 19 cycles. The JPEG decoder can consume each 256 bits of DlU-supplied data at 8 bits/cycle, i.e. in 32 cycles. If the DIU is 19 cycles late (due to latency) in supplying the read data then the JPEG decoder will have finished processing the read data 32 + 19 = 49 cycles after the
DIU access. This is 64 - 49 = 15 cycles in advance of the next read. This 15 cycles is the upper limit on how much the DIU read service can further be delayed, without causing a stall. Given this margin, a stall on the read side will not occur.
On the write side, for scale factor 4, the access pattern is a DIU writes every 64 cycles with 1.5
DRAM buffereing. The JPEG decoder runs at 8 bits cycle and consumes 256 bits in 32 cycles.
The CDU will not stall if the JPEG decode time (32) + DIU stall (19) < 64, which is true.
20.13 CPU DRAM ACCESS PERFORMANCE The CPU's share of the timeslots can be specified in terms of guaranteed bandwidth and average bandwidth allocations.
The CPU's access rate to memory depends on
• the CPU read access latency i.e. the time between the CPU making a request to the DIU and receiving the read data back from the DIU. • how often it can get access to DIU timeslots.
Table estimated the CPU read latency as 6 cycles.
How often the CPU can get access to DIU timeslots depends on the access type. This is summarised in Table 122 . Table 122. CPU DRAM access performance
Figure imgf000288_0001
timeslots will have a duration of 3 or 4 cycles depending on whether the current access and preceding access are both to the shared read bus. This will mean that the timeslot rotation will run faster and more bandwidth is available. If the CPU runs out of its instruction cache then instruction fetch performance is only limited by the on-chip bus protocol. If data resides in the data cache then 160 MHz performance is achieved.
Accessing memory mapped registers, PSS or ROM with a 3 cycle bus protocol (address cycle + data cycle) gives 53 MHz performance.
Due to the action of CPU caching, some bandwidth limiting of the CPU in Fractional CPU Pre- access mode is expected to have little or no impact on the overall CPU performance.
20.14 IMPLEMENTATION
The DRAM Interface Unit (DIU) is partitioned into 2 logical blocks to facilitate design and verification. a. The DRAM Arbitration Unit (DAU) which interfaces with the SoPEC DIU requesters. b. The DRAM Controller Unit (DCU) which accesses the embedded DRAM.
The basic principle in design of the DIU is to ensure that the eDRAM is accessed at its maximum rate while keeping the CPU read access latency as low as possible.
The DCU is designed to interface with single bank 20 Mbit IBM Cu-11 embedded DRAM performing random accesses every 3 cycles. Page mode burst of 4 write accesses, associated with the CDU, are also supported.
The DAU is designed to support interleaved accesses allowing the DRAM to be accessed every 3 cycles where back-to-back accesses do not occur over the shared 64-bit read data bus.
20.14.1 DIU Partition
20.14.2 Definition of DCU IO Table 123. DCU interface
Figure imgf000289_0001
20.14.3 DRAM access types The DRAM access types used in SoPEC are summarised in Table 124. For a refresh operation the DRAM generates the address internally. Table 124. SoPEC DRAM access types
Figure imgf000290_0001
20.14.4 Constructing the 20 Mbit DRAM from two 10 Mbit instances
The 20 Mbit DRAM is constructed from two 10 Mbit instances. The address ranges of the two instances are shown in Table 125 . Table 125. Address ranges of the two 10 Mbit instances in the 20 Mbit DRAM
Figure imgf000290_0002
There are separate macro select signals, instOjMSN and instljMSN, for each instance and separate dataout busses instOJDO and instlJDO, which are multiplexed in the DCU. Apart from these signals both instances share the DRAM output pins of the DCU.
The DRAM Arbitration Unit (DAU) generates a 17 bit address, daujdcujadr[21:δ], sufficient to address all 256-bit words in the 20 Mbit DRAM. The upper 5 bits are used to select between the two memory instances by gating their MSN pins. If instancel is selected then the lower 16-bits are translated to map into the 10 Mbit range of that instance. The multiplexing and address translation rules are shown in Table 126.
In the case that the DAU issues a refresh, indicated by daujdcujrefresh, then both macros are selected. The other control signals Table 126. Instance selection and address translation
Figure imgf000290_0003
Figure imgf000291_0001
daujdcu_adr[21:δ], daujdcujrwn and daujdcujoduwpage are ignored.
The instance selection and address translation logic is shown in Figure 102. The address translation and instance decode logic also increments the address presented to the DRAM in the case of a page mode write. Pseudo code is given below. if risingjsdge (dau_dcu ^alid) then //capture the address from the DAU next jαmdadr [21:5] = dau_dcu jadr [21:5] elsif pagemode_adr_inc == 1 then //increment the address next_cmdadr [21:5] = cmdadr [21:5] + 1 else next αmdadr [21:5] = cmdadr [21:5] if risingjsdge (dau_dcu_valid) then //capture the address from the DAU adr_var [21:5] : = daujicu jad [21:5] else adr var[21:5] := cmdadr [21: 5] if adr_var[21:17] < 01010 then //choose instanceO instance_sel = 0 A [15:0] = adr_var[20:5] else //choose instancel instancejael = 1 A [15:0] = adr_var[21:5] - hAOOO
Pseudo code for the select logic, SELO, for DRAM InstanceO is given below. //instanceO selected or refresh if instance sel == 0 OR dau dcu refresh == 1 then instOJMSN = MSN else instOJMSN = 1 Pseudo code for the select logic, SE 7, for DRAM Instancel is given below. //instancel selected or refresh if instance_sel == 1 OR daujicujrefresh == 1 then instlJMSN = MSN else instlJMSN = 1 During a random read, the read data is returned, on dcujdaujrdata, after time Tacc, the random access time, which varies between 3 and 8 ns (see Table ). To avoid any metastability issues the read data must be captured by a flip-flop which is enabled 2 pclk cycles or 12.5 ns after the
DRAM access has been started. The DCU generates the enable signal dcu _dau_rvalid to capture dcujdaujrdata. The byte write mask daujdcu wmask[31:0] must be expanded to the bit write mask bitwritemask[255:0] needed by the DRAM.
20.14.5 DAU-DCU interface description
The DCU asserts dcujdaujadv in the MSN2 state to indicate to the DAU to supply the next command, dcujdaujadv causes the DAU to perform arbitration in the MSN2 cycle. The resulting command is available to the DCU in the following cycle, the RST state. The timing is shown in
Figure 103. The command to the DRAM must be valid in the RST and MSN1 states, or at least meet the hold time requirement to the MSN falling edge at the start of the MSN1 state.
Note that the DAU issues a valid arbitration result following every dcujdaujadv pulse. If no unit is requesting DRAM access, then a fall-back refresh request will be issued. When daujdcu_refresh is asserted the operation is a refresh and daujdcujadr, daujdcujrwn and daujdcujoduwpage are ignored. The DCU generates a second signal, dcujdaujwadv, which is asserted in the RST state. This indicates to the DAU that it can perform arbitration in advance for non-CPU writes. The reason for performing arbitration in advance for non-CPU writes is explained in " Command Multiplexor Sub-block Table 136. Command Multiplexor Sub-block IO Definition
Figure imgf000292_0001
Figure imgf000293_0001
Figure imgf000294_0001
The DCU state-machine can stall in the MSN2 state when the signal dau_dcu_msn2stall is asserted by the DAU Arbitration Logic,
The states of the DCU state-machine are summarised in Table 127 . Table 127. States of the DCU state-machine
Figure imgf000294_0002
Figure imgf000295_0001
20.14.6 DCU state machines
The IBM DRAM has a simple SRAM like interface. The DRAM is accessed as a single bank. The state machine to access the DRAM is shown in Figure 104. The signal pagemode jadr Jnc is exported from the DCU as dcujdaujoduwaccept. dcujdaujoduwaccept tells the DAU to supply the next write data to the DRAM
20.14.7 CU-11 DRAM timing diagrams
The IBM Cu-11 embedded DRAM datasheet is referenced as [16].
Table 128 shows the timing parameters which must be obeyed for the IBM embedded DRAM. Table 128. 1.5 V Cu-11 DRAM a.c. parameters
Figure imgf000295_0002
timing diagrams show how the timing parameters in Table 129 are satisfied in SoPEC. 20.14.8 Definition of DAU IO Table 129. DAU interface
Figure imgf000295_0003
Figure imgf000296_0001
Figure imgf000297_0001
Figure imgf000298_0001
The CPU subsystem bus interface is described in more detail in Section 11.4.3. The DAU block will only allow supervisor-mode accesses to update its configuration registers (i.e. cpujacode[1:0] = b11 ). All other accesses will result in diujopu_berr being asserted. 20.14.9 DAU Configuration Registers Table 130. DAU configuration registers
Figure imgf000299_0001
Figure imgf000300_0001
Figure imgf000301_0001
Figure imgf000302_0001
Figure imgf000303_0001
Figure imgf000304_0001
Table 131. SoPEC DIU requester encoding for main timeslots.
Figure imgf000304_0002
ReadRoundRobinLevel and ReadRoundRobinEnable registers are encoded in the bit order defined in Table 132. Table 132. Read round-robin registers bit order
Figure imgf000304_0003
Figure imgf000305_0002
20.14.9.1 Configuration register reset
Figure imgf000305_0001
The RefreshPeriod configuration register has a reset value of 0x063 which ensures that a refresh will occur every 100 cycles and the contents of the DRAM will remain valid.
The CPUPreAccessTlmeslots and CPUTotalTlmeslots configuration registers both have a reset value of 0x0. Matching values in these two registers means that every slot has a CPU pre-acess.
NumMainTimeslots is reset to 0x1 , so there are just 2 main timeslots in the rotation initially. These slots alternate between SCB writes and PCU reads, as defined by the reset value of
MainTimeslot[63:0], thus respecting at reset time the general rule that adjacent non-CPU writes are not permitted.
The first access issued by the DIU after reset will be a refresh.
20.14.9.2 DIU Debug
External visibility of the DIU must be provided for debug purposes. To facilitate this debug registers are added to the DIU address space.
The DIU CPU system data bus diu_cpu_data[31 :0] returns configuration and status register information to the CPU. When a configuration or status register is not being read by the CPU debug data is returned on diu_cpujdata[31 :0] instead. An accompanying active high diujopuj ebug alid signal is used to indicate when the data bus contains valid debug data.
The DIU features a DebugSelect register that controls a local multiplexor to determine which register is output on diu_cpu_data[31 :0].
Three kinds of debug information are gathered: a. The order and access type of DIU requesters winning arbitration.
This information can be obtained by observing the signals in the ArbitrationHistory debug register at DIUJ3ase+0x304 described in Table 133. Table 133. ArbitrationHistory debug register description, DIU_base+0x304
Figure imgf000305_0003
Figure imgf000306_0001
Figure imgf000307_0001
Table 134. arbjsel, readjsel and write jsel encoding
Figure imgf000307_0002
The encoding for arbjsel is described in Table 134.
b. The time between a DIU requester requesting an access and completing the access. This information can be obtained by observing the signals in the DlUPertormance debug register at DIUj3ase+0x308 described in Table 135. The encoding for readjsel and write jsel is described in Table . The data collected from DlUPertormance can be post-processed to count the number of cycles between a unit requesting DIU access and the access being completed.
Table 135. DlUPertormance debug register description, DlU )ase+0x308
Figure imgf000308_0001
c.lnterface signals to DIU requestors and DAU-DCU interface. All interface signals with the exception of data busses at the interfaces between the DAU and DCU and DIU write and read requestors can be monitored in debug mode by observing debug registers DIUJ3ase+0x314 to DIU_Base+0x3δ4. 20.14.10 DRAM Arbitration Unit (DAU) The DAU is shown in Figure 101. The DAU is composed of the following sub-blocks a. CPU Configuration and Arbitration Logic sub-block. b. Command Multiplexor sub-block. c. Read and Write Data Multiplexor sub-block.
The function of the DAU is to supply DRAM commands to the DCU.
• The DCU requests a command from the DAU by asserting dcujdaujadv.
• The DAU Command Multiplexor requests the Arbitration Logic sub-block to arbitrate the next DRAM access. The Command Multiplexor passes dcujdaujadv as the rejarbitrate signal to the Arbitration Logic sub-block. • If the RotationSync bit has been cleared, then the arbitration logic grants exclusive access to the CPU and scheduled refreshes. If the bit has been set, regular arbitration occurs. A detailed description of RotationSync is given in section 20.14.12.2.1 on page 325.
• Until the Arbitration Logic has a valid result it stalls the DCU by asserting dau_dcu_msn2stall. The Arbitration Logic then returns the selected arbitration winner to the Command Multiplexor which issues the command to the DRAM. The Arbitration Logic could stall for example if it selected a shared read bus access but the Read Multiplexor indicated it was busy by de-asserting read_cmd_rdy[1j.
• In the case of a read command the read data from the DRAM is multiplexed back to the read requestor by the Read Multiplexor. In the case of a write operation the Write Multiplexor multiplexes the write data from the selected DIU write requestor to the DCU before the write command can occur. If the write data is not available then the Command Multiplexor will keep daujdcuj alid de-asserted. This will stall the DCU until the write command is ready to be issued. • Arbitration for non-CPU writes occurs in advance. The DCU provides a signal dcujdaujwadv which the Command Multiplexor issues to the Arbitrate Logic as rejarbitratejwadv. If arbitration is blocked by the Write Multiplexor being busy, as indicated by write jomd_rdy[1] being de-asserted, then the Arbitration Logic will stall the DCU by asserting dau_dcu_msn2stall until the Write Multiplexor is ready. 20.14.10.1 Read Accesses
The timing of a non-CPU DIU read access are shown in Figure 109. Note rejarbitrate is asserted in the MSN2 state of the previous access.
Note the fixed timing relationship between the read acknowledgment and the first rvalid for all non-CPU reads. This means that the second and any later reads in a back-to-back non-CPU sequence have their acknowledgments asserted one cycle later, i.e. in the "MSN1" DCU state.
The timing of a CPU DIU read access is shown in Figure 110. Note rejarbitrate is asserted in the
MSN2 state of the previous access.
Some points can be noted from Figure 109 and Figure 110.
DIU requests: • For non-CPU accesses the <unit>_diu req signals are registered before the arbitration can occur.
• For CPU accesses the cpujdiuj/req signal is not registered to reduce CPU DIU access latency.
Arbitration occurs when the dcujdaujadv signal from the DCU is asserted. The DRAM address for the arbitration winner is available in the next cycle, the RST state of the DCU.
The DRAM access starts in the MSN1 state of the DCU and completes in the RST state of the
DCU.
Read data is available:
• In the MSN2 cycle where it is output unregistered to the CPU • In the MSN2 cycle and registered in the DAU before being output in the next cycle to all other read requestors in order to ease timing.
The DIU protocol is in fact:
• Pipelined i.e. the following transaction is initiated while the previous transfer is in progress.
• Split transaction i.e. the transaction is split into independent address and data transfers. Some general points should be noted in the case of CPU accesses:
• Since the CPU request is not registered in the DIU before arbitration, then the CPU must generate the request, route it to the DAU and complete arbitration all in 1 cycle. To facilitate this CPU access is arbitrated late in the arbitration cycle (see Section 20.14.12.2).
• Since the CPU read data is not registered in the DAU and CPU read data is available 8 ns after the start of the access then 4.5 ns are available for routing and any shallow logic before the CPU read data is captured by the CPU (see Section 20.14.4).
The phases of CPU DIU read access are shown in Figure 111. This matches the timing shown in Table 135.
20.14.10.2 Write Accesses
CPU writes are posted into a 1-deep write buffer in the DIU and written to DRAM as shown below in Figure 112.
The sequence of events is as follows :- • [1] The DIU signals that its buffer for CPU posted writes is empty (and has been for some time in the case shown).
• [2] The CPU asserts "cpujdiu_wdatavalid" to enable a write to the DIU buffer and presents valid address, data and write mask. The CPU considers the write posted and thus complete in the cycle following [2] in the diagram below. • [3] The DIU stores the address/data/mask in its buffer and indicates to the arbitration logic that a posted write wishes to participate in any upcoming arbitration.
• [4] Provided the CPU still has a pre-access entitlement left, or is next in line for a round- robin award, a slot is arbitrated in favour of the posted write. Note that posted CPU writes have higher arbitration priority than simultaneous CPU reads. • [5] The DRAM write occurs.
• [6] The earliest that "diu_cpu_writej-dy" can be re-asserted in the "MSN1 " state of the DRAM write. In the same cycle, having seen the re-assertion, the CPU can asynchronously turn around "cpujdiu_wdatavalid" and enable a subsequent posted write, should it wish to do so. The timing of a non-CPU/non-CDU DIU write access is shown below in Figure 113. Compared to a read access, write data is only available from the requester 4 cycles after the address. An extra cycle is used to ensure that data is first registered in the DAU, before being despatched to DRAM. As a result, writes are pre-arbitrated 5 cycles in advance of the main arbitration decision to actually write the data to memory. The diagram above shows the following sequence of events :- [1] A non-CPU block signals a write request. [2] A registered version of this is available to the DAU arbitration logic. [3] Write pre-arbitration occurs in favour of the requester. [4] A write acknowledgment is returned by the DIU. [5] The pre-arbitration will only be upheld if the requester supplies 4 consecutive write data quarter-words, qualified by an asserted wvalid flag.
• [6] Provided this has happened, the main arbitration logic is in a position at [6] to reconfirm the pre-arbitration decision. Note however that such reconfirmation may have to wait a further one or two DRAM accesses, if the write is pre-empted by a CPU pre-access and/or a scheduled refresh.
• [7] This is the earliest that the write to DRAM can occur.
• Note that neither the arbitration at [8] nor the pre-arbitration at [9] can award its respective slot to a non-CPU write, due to the ban on back-to-back accesses.
The timing of a CDU DIU write access is shown overleaf in Figure 114. This is simular to a regular non-CPU write access, but uses page mode to carry out 4 consecutive
DRAM writes to contiguous addresses. As a consequence, subsequent accesses are delayed by
6 cycles, as shown in the diagram. Note that a new write can be pre-arbitrated at [10] in Figure
114.
20.14.11 Command Multiplexor Sub-block Table 136. Command Multiplexor Sub-block IO Definition
Figure imgf000311_0001
Figure imgf000312_0001
20.14.11.1 Command Multiplexor Sub-block Description
The Command Multiplexor sub-block issues read, write or refresh commands to the DCU, according to the SoPEC Unit selected for DRAM access by the Arbitration Logic. The Command Multiplexor signals the Arbitration Logic to perform arbitration to select the next SoPEC Unit for DRAM access. It does this by asserting the rejarbitrate signal, rejarbitrate is asserted when the DCU indicates on dcujdaujadv that it needs the next command. The Command Multiplexor is shown in Figure 115.
Initially, the issuing of commands is described. Then the additional complexity of handling non- CPU write commands arbitrated in advance is introduced. DAU-DCU interface
See Section 20.14.5 for a description of the DAU-DCU interface. Generating rejarbitrate
The condition for asserting rejarbitrate is that the DCU is looking for another command from the DAU. This is indicated by dcujdaujadv being asserted. re arbitrate = dcu dau adv
Interface to SoPEC DIU requestors When the Command Multiplexor initiates arbitration by asserting rejarbitrate to the Arbitration Logic sub-block, the arbitration winner is indicated by the arb_sel[4:0] and dir_sel[1:0] signals returned from the Arbitration Logic. The validity of these signals is indicated by arbjgnt. The encoding of arbjsel[4:0] is shown in Table . The value of arbjsel[4:0] is used to control the steering multiplexor to select the DIU address of the winning arbitration requestor. The arbjgnt signal is decoded as an acknowledge, diu_<unit>_*ack back to the winning DIU requestor. The timing of these operations is shown in Figure 116. adr[21:0] is the outpuf of the steering multiplexor controlled by arbjsel[4:0]. The steering multiplexor can acknowledge DIU requestors in successive cycles.
Command Issuing Logic
The address presented by the winning SoPEC requestor from the steering multiplexor is presented to the command issuing logic together with arbjsel[4:0] and dir_sel[1 :0]. The command issuing logic translates the winning command into the signals required by the DCU. adrJ21:0], arbjsel[4:0] and dir_sel[1:0] comes from the steering multiplexor. dau_dcu_adr [21 : 5] = adr [21 : 5] dau_dcu_rwn = (dirjsel [1 : 0] == read) daujdcu_cduwpage = (arbjsel [4 : 0] == CDU write) daujicujrefresh = (dirjsel [1 : 0] == refresh)
daujdcu /alid indicates that a valid command is available to the DCU.
For a write command, dau jdcu /alid ' will not be asserted until there is also valid write data present. This is indicated by the signal write_datajvalid[1 :0] from the Read Write Data Multiplexor sub-block.
For a write command, the data issued to the DCU on dau_dcujwdata[2δδ:0] is multiplexed from cpujwdata[31 :0] and wdata[2δδ:0] depending on whether the write is a CPU or non-CPU write. The write data from the Write Multiplexor for the CDU is available on wdata[63:0]. This data must be issued to the DCU on daujdcu_wdata[255:0j. wdata[63:0] is copied to each 64-bit word of dau_dcujwdata[2δδ:0]. daujdcujwdata [255 : 0] = 0x00000000 if ( arbjsel [4 : 0] ==CPϋ wri te) then daujdcujwdata [31 : 0] = cpu jwdata [31 : 0] elsif {arbjsel [4 : 0] ==CDU write) ) then dau jdcu jwdata [63 : 0] = wdata [63 : 0] daujdcujwdat [127 : 64] = wdata [63 : 0] daujdcujwdata [191 : 128] = wdata [63 : 0] daujdcujwdat [255 : 192] = wdata [63 : 0] else daujdcu wdat [255 : 0] = wdata [255 : 0]
CPU write masking
The CPU write data bus is only 128 bits wide, cpu jdiu jwmask[1δ:0] indicates how many bytes of that 128 bits should be written. The associated address cpu jdiu jwadr[21:4] is a 128-bit aligned address. The actual DRAM write must be a 256-bit access. The command multiplexor issues the 256-bit DRAM address to the DCU on dau_dcu_adr[21:δ]. cpu diu wadr[4] arid cpu jdiu jjvmask[1 δ:0] are used jointly to construct a byte write mask da~u_dcujwmask[31:0] for this 256-bit write access. CDU write masking
The CPU performs four 64-bit word writes to 4 contiguous 256-bit DRAM addresses with the first address specified by cdu_diu_wadr[21 :3]. The write address cdujdiujwadr[21:δ] is 256-bit aligned with bits cdu_diujwadr[4:3] allowing the 64-bit word to be selected. If these 4 DRAM words lie in the same DRAM row then an efficient access will be obtained. The command multiplexor logic must issue 4 successive accesses to 256-bit DRAM addresses cdujdiu_wadr[21:δ], + 1, +2, +3. dau_dcu_wmask[31 :0] indicates which 8 bytes (64-bits) of the 256-bit word are to be written. dau_dcujjvmask[31:0] is calculated using cdu_diujwadr[4:3] i.e. bits 8*cdu_diujwadr[4:3] to 8*(cdu_diujwadr[4:3]+1)-1 of dau_dcu_wmask[31 :0]are asserted. Arbitrating non-CPU writes in advance
In the case of a non-CPU write commands, the write data must be transferred from the SoPEC requester before the write can occur. Arbitration should occur early to allow for any delay for the write data to be transferred to the DRAM. Figure 113 indicates that write data transfer over 64-bit busses will take a further 4 cycles after the address is transferred. The arbitration must therefore occur 4 cycles in advance of arbitration for read accesses, Figure 109 and Figure 110, or for CPU writes Figure 112. Arbitration of CDU write accesses, Figure 114, should take place 1 cycle in advance of arbitration for read and CPU write accesses. To simplify implementation CDU write accesses are arbitrated 4 cycles in advance, similar to other non-CPU writes. The Command Multiplexor generates another version of rejarbitrate called rejarbitratejwadv based on the signal dcu jdaujjvadv from the DCU. In the 3 cycle DRAM access dcujdaujadv and therefore rejarbitrate are asserted in the MSN2 state of the DCU state-machine, dcujdaujwadv and therefore rejarbitratejwadv will therefore be asserted in the following RST state, see Figure 117. This matches the timing required for non-CPU writes shown in Figure 113 and Figure 114. rejarbitratejwadv causes the Arbitration Logic to perform an arbitration for non- CPU in advance. re_ari>itrate = dcujdaujadv re arbitrate wadv = dcu dau wadv If the winner of this arbitration is a non-CPU write then arbjgnt is asserted and the arbitration winner is output on arb_sel[4:0] and dir_sel[1 :0]. Otherwise arbjgnt is not asserted. Since non-CPU write commands are arbitrated early, the non-CPU command is not issued to the DCU immediately but instead written into an advance command register. if (arb_sel"(4 : 0 == non-CPU write) then' advance_cmd register [3 : 0] = arbjsel [4 : 0] advancejαmdjregister [5 : 4] = dirjsel .l . O] advance_cmd_register [27 : 6] = adr [21 : 0]
If a DCU command is in progress then the arbitration in advance of a non-CPU write command will overwrite the steering multiplexor input to the command issuing logic. The arbitration in advance happens in the DCU MSN1 state. The new command is available at the steering multiplexor in the MSN2 state. The command in progress will have been latched in the DRAM by MSN falling at the start of the MSN1 state.
Issuing non-CPU write commands
The arb_sel[4:0] and dir_sel[1:0] values generated by the Arbitration Logic reflect the out of order arbitration sequence.
This out of order arbitration sequence is exported to the Read Write Data Multiplexor sub-block.
This is so that write data in available in time for the actual write operation to DRAM. Otherwise a latency would be introduced every time a write command is selected.
However, the Command Multiplexor must execute the command stream in-order. In-order command execution is achieved by waiting until rejarbitrate has advanced to the non- CPU write timeslot from which rejarbitratejwadv has previously issued a non-CPU write written to the advance command register.
If rejarbitratejwadv arbitrates a non-CPU write in advance then within the Arbitration Logic the timeslot is marked to indicate whether a write was issued. When rejarbitrate advances to a write timeslot in the Arbitration Logic then one of two actions can occur depending on whether the slot was marked by rejarbitratejwadv to indicate whether a write was issued or not.
• Non-CPU write arbitrated by rejarbitratejwadv
If the timeslot has been marked as having issued a write then the arbitration logic responds to rejarbitrate by issuing arb_sel[4:0], dir_sel[1:0] and asserting arbjgnt as for a normal arbitration but selecting a non-CPU write access. Normally, rejarbitrate does not issue non-CPU write accesses. Non-CPU writes are arbitrated by rejarbitratejwadv. dirjsel[1:0] == 00 indicates a non- CPU write issued by rejarbitrate.
The command multiplexor does not write the command into the advance command register as it has already been placed there earlier by rejarbitratejwadv. Instead, the already present write command in the advance command register is issued when write jdata_valid[1] = 1. Note, that the value of arbjsel[4:0] issued by rejarbitrate could specify a different write than that in the advance command register since time has advanced. It is always the command in the advance command register that is issued. The steering multiplexor in this case must not issue an acknowledge back to SoPEC requester indicated by the value of arb_sel[4:0]. if (dir_sel [l : 0] == 00 ) then commandjLssuing_logic [27 : 0] • == advance _cmd_register [27 : 0] else commandjissuing_logic [27 : 0] == steeringjπultiplexor [27 : 0] ac = arbjgnt AND NOT (dirjsel [1 : 0] == 00 )
• Non-CPU write not arbitrated by rejarbitratejwadv
If the timeslot has been marked as not having issued a write, the rejarbitrate will use the un-used read timeslot selection to replace the un-used write timeslot with a read timeslot according to Section 20.10.6.2 Unused read timeslots allocation. The mechanism for write timeslot arbitration selects non-CPU writes in advance. But the selected non-CPU write is stored in the Command Multiplexor and issued when the write data is available. This means that even if this timeslot is overwritten by the CPU reprogramming the timeslot before the write command is actually issued to the DRAM, the originally arbitrated non-CPU write will always be correctly issued.
Accepting write commands
When a write command is issued then write Jαtα ιccept[l:0] is asserted. This tells the Write Multiplexor that the current write data has been accepted by the DRAM and the write multiplexor can receive write data from the next arbitration winner if it is a write, write _dαtαjtccept[l:0] differentiates between CPU and non-CPU writes. A write command is known to have been issued when rejxrbitrαte vαdv to decide on the next command is detected.
In the case of CDU writes the DCU will generate a signal dcujdaujoduwaccept which tells the Command Multiplexor to issue a write_data_accept[1]. This will result in the Write Multiplexor supplying the next CDU write data to the DRAM. write_data_accept [0] = RISING EDGE (re_arbifcrate_wad AND command_issuing_logic {dirjsel [1] ==1) AND command_issuing_logic {arbjsel [4 : 0] ==CPϋ) write j atajaccept [1] = (RISING EDGE {rejarbitratejwadv) AND command_issuing_logic {dir_sel [1] ==1) AND command_issuing_logic (arj sel [4 : 0] ~non_CPU) ) OR dcu jdau_cduwaccept = = 1
Debug logic output to CPU Configuration and Arbitration Logic sub-block write_sel[4:0] reflects the value of arbjsel[4:0] at the command issuing logic. The signal writejoomplete is asserted when every any bit of write jdatajaccept[1 :0] is asserted. write_complete write jdatajaccept [0] OR write jdatajaccept [0]
write_sel[4:0] and writejoomplete are CPU readable from the DlUPertormance and WritePerformance status registers. When writejoomplete is asserted write_sel[4:0] Will indicate which write access the DAU has issued. 20.14.12 CPU Configuration and Arbitration Logic Sub-block Table 137. CPU Configuration and Arbitration Logic Sub-block IO Definition
Figure imgf000318_0001
Figure imgf000319_0001
Figure imgf000320_0001
The CPU Interface and Arbitration Logic sub-block is shown in Figure 118.
20.14.12.1 CPU Interface and Configuration Registers Description
The CPU Interface and Configuration Registers sub-block provides for the CPU to access DAU specific registers by reading or writing to the DAU address space.
The CPU subsystem bus interface is described in more detail in Section 11.4.3. The DAU block will only allow supervisor mode accesses to data space (i.e. cpujacode[1:0] = b11 ). All other accesses will result in diujopujoerr being asserted. The configuration registers described in Section 20.14.9 Table 130. DAU configuration registers
Figure imgf000321_0001
Figure imgf000322_0001
Figure imgf000323_0001
Figure imgf000324_0001
Figure imgf000325_0001
Figure imgf000326_0001
are imp emented ere. 20.14.12.2 Arbitration Logic Description
Arbitration is triggered by the signal rejarbitrate from the Command Multiplexor sub-block with the signal arbjgnt indicating that arbitration has occurred and the arbitration winner is indicated by arbjsel[4:0]. The encoding of arb_sel[4:0] is shown in Table . The signal dirjsel[1:0] indicates if the arbitration winner is a read, write or refresh. Arbitration should complete within one clock cycle so arbjgnt is normally asserted the clock cycle after rejarbitrate and stays high for 1 clock cycle. arbjsel[4:0] and dirjsel[1:0] remain persistent until arbitration occurs again. The arbitration timing is shown in Figure 119. 20.14.12.2.1 Rotation Synchronisation
A configuration bit, RotationSync, is used to initialise advancement through the timeslot rotation, in order that the CPU will know, on a cycle basis, which timeslot is being arbitrated. This is essential for debug purposes, so that exact arbitration sequences can be reproduced. In general, if RotationSync is set, slots continue to be arbitrated in the regular order specified by the timeslot rotation. When the bit is cleared, the current rotation continues until the slot pointers for pre- and main arbitration reach zero. The arbitration logic then grants DRAM access exclusively to the CPU and refreshes.
When the CPU again writes to RotationSync to cause a 0-to-1 transition of the bit, the rdy acknowledgment back to the CPU for this write will be exactly coincident with the RST cycle of the initial refresh which heralds the enabling of a new rotation. This refresh, along with the second access which can be either a CPU pre-access or a refresh, (depending on the CPU's request inputs), form a 2-access "preamble" before the first non-CPU requester in the new rotation can be serviced. This preamble is necessary to give the write pre-arbitration the necessary head start on the main arbitration, so that write data can be loaded in time. See Figure 105 below. The same preamble procedure is followed when emerging from reset.
The alignment of rdy with the commencement of the rotation ensures that the CPU is always able to calculate at any point how far a rotation has progressed. RotationSync has a reset value of 1 to ensure that the default power-up rotation can take place. Note that any CPU writes to the DIU's other configuration registers should only be made when RotationSync is cleared. This ensures that accesses by non-CPU requesters to DRAM are not affected by partial configuration updates which have yet to be completed.
20.14.12.2.2 Motivation for Rotation Synchronisation The motivation for this feature is that communications with SoPEC from external sources are synchronised to the internal clock of our position within a DIU full timeslot rotation. This means that if an external source told SOPEC to start a print 3 separate times, it would likely be at three different points within a full DIU rotation. This difference means that the DIU arbitration for each of the runs would be different, which would manifest itself externally as anomalous or inconsistent print performance. The lack of reproducibility is the problem here.
However, if in response to the external source saying to start the print, we caused the internal to pass through a known state at a fixed time offset to other internal actions, this would result in reproducible prints. So, the plan is that the software would do a rotation synchronise action, then writes "Go" into various PEP units to cause the prints. This means the DIU state will be the identical with respect to the PEP units state between separate runs.
20.14.12.2.3 Wind-down Protocol when Rotation Synchronisation is Initiated
When a zero is written to "RotationSync", this initiates a "wind-down protocol" in the DIU, in which any rotation already begun must be fully completed. The protocol implements the following sequence :- • The pre-arbitration logic must reach the end of whatever rotation it is on and stop pre- arbitrating.
• Only when this has happened, does the main arbitration consider doing likewise with its current rotation. Note that the main arbitration lags the pre-arbitration by at least 2 DRAM accesses, subject to variation by CPU pre-accesses and/or scheduled refreshes, so that the two arbitration processes are sometimes on different rotations.
• Once the main arbitration has reached the end of its rotation, rotation synchronisation is considered to be fully activated. Arbitration then proceeds as outlined in the next section.
20.14.12.2.4 Arbitration during Rotation Synchronisation
Note that when RotationSync is '0' and, assuming the terminating rotation has completely drained out, then DRAM arbitration is granted according to the following fixed priority order :- Scheduled Refresh -> CPU(W) -> CPU(R) -> Default Refresh.
CPU pre-access counters play no part in arbitration during this period. It is only subsequently, when emerging from rotation sync, that they are reloaded with the values of CPUPreAccessTlmeslots and CPUTotalTlmeslots and normal service resumes. 20.14.12.2.5 Timeslot-based arbitration
Timeslot-based arbitration works by having a pointer point to the current timeslot. This is shown in Figure 95 repeated here as Figure 121. When re-arbitration is signaled the arbitration winner is the current timeslot and the pointer advances to the next timeslot. Each timeslot denotes a single access. The duration of the timeslot depends on the access. If the SoPEC Unit assigned to the current timeslot is not requesting then the unused timeslot arbitration mechanism outlined in Section 20.10.6 is used to select the arbitration winner. Note that this unused slot re-allocation is guaranteed to produce a result, because of the inclusion of refresh in the round-robin scheme.
Pseudo-code to represent arbitration is given below: if rejarbitrate == 1 then arbjgnt = 1 if current timeslot requesting then choose (arbjsel, dir_sel) at current timeslot else // un-used timeslot scheme choose winner according to un-used timeslot allocation of Section 20 . 10 .6 arbjgnt = 0 20.14.12.3 Arbitrating non-CPU writes in advance
In the case of a non-CPU write commands, the write data must be transferred from the SoPEC requester before the write can occur. Arbitration should occur early to allow for any delay for the write data to be transferred to the DRAM.
Figure 113 indicates that write data transfer over 64-bit busses will take a further 4 cycles after the address is transferred. The arbitration must therefore occur 4 cycles in advance of arbitration for read accesses, Figure 109 and Figure 110, or for CPU writes Figure 112. Arbitration of CDU write accesses, Figure 114, should take place 1 cycle in advance of arbitration for read and CPU write accesses. To simplify implementation CDU write accesses are arbitrated 4 cycles in advance, similar to other non-CPU writes.
The Command Multiplexor generates a second arbitration signal rejarbitratejwadv which initiates the arbitration in advance of non-CPU write accesses. The timeslot scheme is then modified to have 2 separate pointers: • rejarbitrate can arbitrate read, refresh and CPU read and write accesses according to the position of the current timeslot pointer. • rejarbitratejwadv can arbitrate only non-CPU write accesses according to the position of the write lookahead pointer. Pseudo-code to represent arbitration is given below:
//rejarbitrate if (rejarbitrate == 1) AND (current timeslot pointer!-- non- CPU write) then arbjgnt = 1 if current timeslot requesting then choose (arbjsel , dirjsel) at current timeslot else // un-used read timeslot scheme choose winner according to un-used read timeslot allocation of Section 20 . 10 .6.2 If the SoPEC Unit assigned to the current timeslot is not requesting then the unused read timeslot arbitration mechanism outlined in Section 20.10.6.2 is used to select the arbitration winner.
/ /re jarbitrate cadv if (rejarbitratejwadv == 1) AND (write lookahead timeslot pointer == non-CPU write) then if write lookahead timeslot requesting then choose (arbjsel, dirjsel) at write lookahead timeslot arbjgnt = 1 elsif un-used write timeslot scheme has a requestor choose winner according to un-used write timeslot allocation of Section 20 . 10 . 6.1 arbjgnt = 1 else //no arbitration winner arbjgnt = 0 rejarbitrate is generated in the MSN2 state of the DCU state-machine, whereas rejarbitratejwadv is generated in the RST state. See Figure 103. The write lookahead pointer points two timeslots in advance of the current timeslot pointer. Therefore rejarbitratejwadv causes the Arbitration Logic to perform an arbitration for non-CPU two timeslots in advance. As noted in Table , each timeslot lasts at least 3 cycles. Therefor rejarbitratejwadv arbitrates at least 4 cycles in advance.
At initialisation, the write lookahead pointer points to the first timeslot. The current timeslot pointer is invalid until the write lookahead pointer advances to the third timeslot when the current timeslot pointer will point to the first timeslot. Then both pointers advance in tandem.
Some accesses can be preceded by a CPU access as in Table . These CPU accesses are not allocated timeslots. If this is the case the timeslot will last 3 (CPU access) + 3 (non-CPU access) = 6 cycles. In that case, a second write lookahead pointer, the CPU pre-access write lookahead pointer, is selected which points only one timeslot in advance, rejarbitratejwadv will still arbitrate 4 cycles in advance.
20.14.12.3.1 Issuing non-CPU write commands
Although the Arbitration Logic will arbitrate non-CPU writes in advance, the Command Multiplexor must issue all accesses in the timeslot order. This is achieved as follows:
If rejarbitratejwadv arbitrates a non-CPU write in advance then within the Arbitration Logic the timeslot is marked to indicate whether a write was issued. / / re jarb i t rat e jtfadv if (rejarbitratejwadv == 1) AND (write lookahead timeslot pointer == non-CPU write) then if write lookahead timeslot requesting then choose (arbjsel , dirjsel) at write lookahead timeslot arbjgnt = 1 MARKjtimeslot = 1 elsif un-used write timeslot scheme has a requestor choose winner according to un-used write timeslot allocation of Section 20 . 10 . 6. 1 arbjgnt = 1 MARKjtimeslot = 1 else //no pre-arbitration winner arbjgnt = 0 MARKjtimeslot = 0 When rejarbitrate advances to a write timeslot in the Arbitration Logic then one of two actions can occur depending on whether the slot was marked by rejarbitratejwadv to indicate whether a write was issued or not.
• Non-CPU write arbitrated by rejarbitratejwadv
If the timeslot has been marked as having issued a write then the arbitration logic responds to rejarbitrate by issuing arb_sel[4:0], dirjsel[1:0] and asserting arbjgnt as for a normal arbitration but selecting a non-CPU write access. Normally, rejarbitrate does not issue non-CPU write accesses. Non-CPU writes are arbitrated by rejarbitratejwadv. dirjsel[1:0] == 00 indicates a non- CPU write issued by rejarbitrate.
• Non-CPU write not arbitrated by rejarbitratejwadv
If the timeslot has been marked as not having issued a write, the rejarbitrate will use the un-used read timeslot selection to replace the un-used write timeslot with a read timeslot according to Section 20.10.6.2 Unused read timeslots allocation.
//rejarbitrate except for non-CPU writes if (rejarbitrate == 1) AND (current timeslot pointer!= non- CPU write) then arbjgnt = 1 if current timeslot requesting then choose (arbjsel, dirjsel) at current timeslot else // un-used read timeslot scheme choose winner according to un-used read timeslot allocation of Section 20.10.6.2 arbjgnt = 1 //non-CPU write MARKED as issued elsif (rejarbitrate == 1) AND (current timeslot pointer == non-CPU write) AND (MARKjtimeslot == 1) then //indicate to Command Multiplexor that non-CPU write has been arbitrated in //advance arbjgnt = 1 dirjsel [1:0] == 00 //non-CPU write not MARKED as issued elsif (rejarbitrate == 1) AND (current timeslot pointer == non-CPU write) AND (MARKjtimeslot == 0) then choose winner according to un-used read timeslot allocation of Section 20.10.6.2 arbjgnt = 1
20.14.12.4 Flow control
If read commands are to win arbitration, the Read Multiplexor must be ready to accept the read data from the DRAM. This is indicated by the read_cmd_rdy[1 :0] signal. read_cmd_rdy[1 :0] supplies flow control from the Read Multiplexor. readj^mdjrdy [0] ==1 //Read multiplexor ready for CPU read readjαmdjrdy [1] ==1 //Read multiplexor ready for non-CPU read
The Read Multiplexor will normally always accept CPU reads, see Section 20.14.13.1, so read_cmd_rdy[0]==1 should always apply. Similarly, if write commands are to win arbitration, the Write Multiplexor must be ready to accept the write data from the winning SoPEC requestor. This is indicated by the write jomd_rdy[1:0] signal, write jomdjrdy[1:0] supplies flow control from the Write Multiplexor. writej md_rdy [0] ==1 //Write multiplexor ready for CPU write write_cmdjtrdy [1] ==l //Write multiplexor ready for non- CPU write
The Write Multiplexor will normally always accept CPU writes, see Section 20.14.13.2, so write_cmd_rdy[0]==1 should always apply.
Non-CPU read flow control
If rejarbitrate selects an access then the signal
Figure imgf000332_0001
asserted until the Read Write Multiplexor is ready. arbjgnt is not asserted until the Read Write Multiplexor is ready.
This mechanism will stall the DCU access to the DRAM until the Read Write Multiplexor is ready to accept the next data from the DRAM in the case of a read.
//other access flow control dau ϊcu_msn2stall = (((rejarbitrate selects CPU read) AND read_cmd_rdy [0] ==0) OR (rejarbitrate selects non-CPU read) AND read_cmd_rdy [1] ==0) ) arbjgnt not asserted until daujicu_msn2stall de-asserts
20.14.12.δ Arbitration Hierarchy
CPU and refresh are not included in the timeslot allocations defined in the DAU configuration registers of Table .
The hierarchy of arbitration under normal operation is a. CPU access b. Refresh access c. Timeslot access.
This is shown in Figure 124. The first DRAM access issued after reset must be a refresh.
As shown in Figure 118, the DIU request signals <unit> jdi jreq, <unit>jdiujwreq are registered at the input of the arbitration block to ease timing. The exceptions are the refreshjreq signal, which is generated locally in the sub-block and cpujdiujreq. The CPU read request signal is not registered so as to keep CPU DIU read access latency to a minimum. Since CPU writes are posted, cpujdiujwreq is registered so that the DAU can process the write at a later juncture. The arbitration logic is coded to perform arbitration of non-CPU requests first and then to gate the result with the CPU requests. In this way the CPU can make the requests available late in the arbitration cycle.
Note that when RotationSync is set to '0', a modified hierarchy of arbitration is used. This is outlined in section 20.14.12.2.3 on page 280.
20.14.12.6 Timeslot access The basic timeslot arbitration is based on the MainTimeslot configuration registers. Arbitration works by the timeslot pointed to by either the current or write lookahead pointer winning arbitration. The pointers then advance to the next timeslot. This was shown in Figure 90. Each main timeslot pointer gets advanced each time it is accessed regardless of whether the slot is used.
20.14.12.7 Unused timeslot allocation
If an assigned slot is not used (because its corresponding SoPEC Unit is not requesting) then it is reassigned according to the scheme described in Section 20.10.6.
Only used non-CPU accesses are reallocated. CDU write accesses cannot be included in the unused timeslot allocation for write as CDU accesses take 6 cycles. The write accesses which the CDU write could otherwise replace require only 3 or 4 cycles.
Unused write accesses are re-allocated according to the fixed priority scheme of Table . Unused read timeslots are re-allocated according to the two-level round-robin scheme described in Section 20.10.6.2. A pointer points to the most recently re-allocated unit in each of the round-robin levels. If the unit immediately succedling the pointer is requesting, then this unit wins the arbitration and the pointer is advanced to reflect the new winner. If this is not the case, then the subsequent units (wrapping back eventually to the pointed unit) in the level 1 round-robin are examined. When a requesting unit is found this unit wins the arbitration and the pointer is adjusted. If no unit is requesting then the pointer does not advance and the second level of round-robin is examined in a similar fashion. In the following pseudo-code the bit indices are for the ReadRoundRobinLevel configuration register described in Table .
//choose the winning arbitration level levell = 0 level2 = 0 for i = 0 to 11 if unit (i) requesting AND ReadRoundRobinLevel (i) = 0 then levell = 1 if unit (i) requesting AND ReadRoundRobinLevel (i) = 1 then level2 = 1
Round-robin arbitration is effectively a priority assignment with the units assigned a priority according to the round-robin order of Table but starting at the unit currently pointed to.
//levelptr is pointer of selected round robin level priority is array 0 to 11 // index 0 is SCBR(0) etc. from Table //assign decreasing priorities from the current pointer; maximum priority is 11 for i = 1 to 12 priority (levelptr + i) = 12 - i i++
The arbitration winner is the one with the highest priority provided it is requesting and its ReadRoundRobinLevel bit points to the chosen level. The levelptr is advanced to the arbitration winner.
The priority comparison can be done in the hierarchical manner shown in Figure 125.
20.14.12.8 How Non-CPU Address Restrictions Affect Arbitration
Recall from Table "DAU configuration registers," on page288, " DAU configuration registers," on page 298 that there are minimum valid DRAM addresses for non-CPU accesses, defined by minNonCPUReadAdr, minDWUWriteAdr and minNonCPUWriteAdr. Similarly, a non-CPU requester may not try to access a location above the high memory mark. To ensure compliance with these address restrictions, the following DIU response occurs for any incorrectly addressed non-CPU writes :-
• Issue a write acknowledgment at pre-arbitration time, to prevent the write requester from hanging.
• Disregard the incoming write data and write valids and void the pre-arbitration.
• Subsequently re-allocate the write slot at main arbitration time via the round robin. For any incorrectly addressed non-CPU reads, the response is :-
• Arbitrate the slot in favour of the scheduled, misbehaving requester. • Issue the read acknowledgement and rvalids to keep the requester from hanging.
• Intercept the read data coming from the DCU and send back all zeros instead. If an invalidly addressed non-CPU access is attempted, then a sticky bit, stickyJnvalid_non_cpujadr, is set in the ArbitrationHistory configuration register. See Table n page293 on page 304 for details. 20.14.12.9 Refresh Controller Description
The refresh controller implements the functionality described in detail in Section 20.10.5. Refresh is not included in the timeslot allocations.
CPU and refresh have priority over other accesses. If the refresh controller is requesting i.e. refreshjreq is asserted, then the refresh request will win any arbitration initiated by rejarbitrate. When the refresh has won the arbitration refreshjreq is de-asserted.
The refresh counter is reset to Refresh Period [8:0] i.e. the number of cycles between each refresh.
Every time this counter decrements to 0, a refresh is issued by asserting refreshjreq. The counter immediately reloads with the value in RefreshPeriod[8:0] and continues its countdown. It does not wait for an acknowledgment, since the priority of a refresh request supersedes that of any pending non-CPU access and it will be serviced immediately. In this way, a refresh request is guaranteed to occur every (RefreshPeriod[8:0] + 1) cycles. A given refresh request may incur some incidental delay in being serviced, due to alignment with DRAM accesses and the possibility of a higher-priority CPU pre-access.
Refresh is also included in the unused read and write timeslot allocation, having second option on awards to a round-robin position shared with the CPU. A refresh issued as a result of an unused timeslot allocation also causes the refresh counter to reload with the value in RefreshPeriod[8:0]. The first access issued by the DAU after reset must be a refresh. This assures that refreshes for all DRAM words fall within the required" 3.2ms window. //issue a refresh request if counter reaches 0 or at reset or for re-allocated slot if RefreshPeriod != 0 AND (refreshment == 0 OR diujsoftjresetja == 0 OR prstja ==0 OR unused_timeslotjallocation == 1) then refreshjreq = 1 //de-assert refresh request when refresh acked else if refreshjack == 1 then refreshjreq = 0
//refresh counter if refreshment == 0 OR diujsoftjresetja == 0 OR prst i ==0 OR unusedjimeslotjallocation == 1 then refresh_cnt = RefreshPeriod else refresh ent = refresh ent - 1
Refresh can preceded by a CPU access in the same way as any other access. This is controlled by the CPUPreAccessTlmeslots and CPUTotalTlmeslots configuration registers. Refresh will therefore not affect CPU performance. A sequence of accesses including refresh might therefore be CPU, refresh, CPU, actual timeslot. 20.14.12.10 CPU Timeslot Controller Description CPU accesses have priority over all other accesses.CPU access is not included in the timeslot allocations. CPU access is controlled by the CPUPreAccessTlmeslots and CPUTotalTlmeslots configuration registers.
To avoid the CPU having to wait for its next timeslot it is desirable to have a mechanism for ensuring that the CPU always gets the next available timeslot without incurring any latency on the non-CPU timeslots. This is be done by defining each timeslot as consisting of a CPU access preceding a non-CPU access. Two counters of 4-bits each are defined allowing the CPU to get a maximum of (CPUPreAccessTlmeslots + 1) pre-accesses out of a total of (CPUTotalTlmeslots + 1) main slots. A timeslot counter starts at CPUTotalTlmeslots and decrements every timeslot, while another counter starts at CPUPreAccessTlmeslots and decrements every timeslot in which the CPU uses its access. If the pre-access entitlement is used up before (CPUTotalTlmeslots +1) slots, no further CPU accesses are allowed. When the CPUTotalTlmeslots counter reaches zero both counters are reset to their respective initial values. When CPUPreAccessTlmeslots is set to zero then only one pre-access will occur during every (CPUTotalTlmeslots + 1 ) slots.
20.14.12.10.1 Conserving CPU Pre-Accesses
In section 20.10.6.2.1 on page 278, it is described how the CPU can be allowed participate in the unused read round-robin scheme. When enabled by the configuration bit EnableCPURoundRobin, the CPU shares a joint position in the round robin with refresh. In this case, the CPU has priority, ahead of refresh, in availing of any unused slot awarded to this position.
Such CPU round-robin accesses do not count towards depleting the CPU's quota of pre- accesses, specified by CPUPreAccessTlmeslots. Note that in order to conserve these pre- accesses, the arbitration logic, when faced with the choice of servicing a CPU request either by a pre-access or by an immediately following unused read slot which the CPU is poised to win, will opt for the latter. 20.14.13 Read and Write Data Multiplexor sub-block Table 138. Read and Write Multiplexor Sub-block IO Definition
Figure imgf000336_0001
Figure imgf000337_0001
Figure imgf000338_0001
20.14.13.1 Read Multiplexor logic description
The Read Multiplexor has 2 read channels
• a separate read bus for the CPU, dram_cpu_data[2δδ:0]. • and a shared read bus for the rest of SoPEC, diu_data[63:0].
The validity of data on the data busses is indicated by signals diu_<unit>_rvalid.
Timing waveforms for non-CPU and CPU DIU read accesses are shown in Figure 90 and Figure
91 , respectively.
The Read Multiplexor timing is shown in Figure 127. Figure 127 shows both CPU and non-CPU reads. Both CPU and non-CPU channels are independent i.e. data can be output on the CPU read bus while non-CPU data is being transmitted in 4 cycles over the shared 64-bit read bus.
CPU read data, dram_cpujdata[2δδ:0], is available in the same cycle as output from the DCU.
CPU read data needs to be registered immediately on entering the CPU by a flip-flop enabled by the diujopu valid signal. To ease timing, non-CPU read data from the DCU is first registered in the Read Multiplexor by capturing it in the shared read data buffer of Figure 126 enabled by the dcujdaujrvalid signal.
The data is then partitioned in 64-bit words on diu_data[63:0].
20.14.13.1.1 Non-CPU Read Data Coherency
Note that for data coherency reasons, a non-CPU read will always result in read data being returned to the requester which includes the after-effects of any pending (i.e. pre-arbitrated, but not yet executed) non-CPU write to the same address, which is currently cached in the non-CPU write buffer. This is shown graphically in Figure n page319 on page Error! Bookmark not defined..
Should the pending write be partially masked, then the read data returned must take account of that mask. Pending, masked writes by the CDU and SCB, as well as all unmasked non-CPU writes are fully supported.
Since CPU writes are dealt with on a dedicated write channel, no attempt is made to implement coherency between posted, unexecuted CPU writes and non-CPU reads to the same address.
20.14.13.1.2 Read multiplexor command queue When the Arbitration Logic sub-block issues a read command the associated value of arbjsel[4:0], which indicates which SoPEC Unit has won arbitration, is written into a buffer, the read command queue. write_en = arbjgnt AND dirjsel [1 : 0] ==" 01" if write_en==l then WRITE arbjsel into read command queue
The encoding of arb_sel[4:0] is given in Table . dirjsel[1:0]=="01" indicates that the operation is a read. The read command queue is shown in Figure 128. The command queue could contain values of arbjsel [4:0] for 3 reads at a time.
• In the scenario of Figure 127 the command queue can contain 2 values of arbjsel[4:0] i.e. for the simultaneous CDU and CPU accesses.
• In the scenario of Figure 130, the command queue can contain 3 values of arb_sel[4:0] i.e. at the time of the second dcujdau valid pulse the command queue will contain an arbjsel [4:0] for the arbitration performed in that cycle, and the two previous arbjsel[4:0] values associated with the data for the first two dcujdaujvalid pulses, the data associated with the first dcujdaujvalid pulse not having been fully transfered over the shared read data bus. The read command queue is specified as 4 deep so it is never expected to fill. The top of the command queue is a signal readjype[4:0] which indicates the destination of the current read data. The encoding of readjype[4:0] is given in Table .
20.14.13.1.3 CPU reads
Read data for the CPU goes straight out on dram_cpu_data[2δδ:0] and dcujdaujrvalid is output on diujopujvalid. cpu_read_compiete(0) is asserted when a CPU read at the top of the read command queue occurs. cpu_read_complete(0) causes the read command queue to be popped. cpujreadjαomplete ( 0 ) = (readjtype [4 : 0] == CPU read) AND (dcujdaujrvalid == 1) If the current read command queue location points to a non-CPU access and the second read command queue location points to a CPU access then the next dcujdaujrvalid pulse received is associated with a CPU access. This is the scenario illustrated in Figure 127. The dcujdaujrvalid pulse from the DCU must be output to the CPU as diujopujrvalid. This is achieved by using cpujreadjoompleteCl ) to multiplex dcujdaujrvalid to diujopujrvalid. cpujreadjoomplete(1 ) is also used to pop the second from top read command queue location from the read command queue. cpujread_complete ( l) = (read_type == non-CPU read) AND SECOND (read_type == CPU read) AND (dcujdaujrvalid == 1 )
20.14.13.1.4 Multiplexing dcujdaujrvalid readjype[4:0] and cpujreadjoompleie(X) multiplexes the data valid signal, dcujdaujrvalid, from the DCU, between the CPU and the shared read bus logic, diujopujrvalid is the read valid signal going to the CPU. noncpujrvalid is the read valid signal used by the Read Multiplexor control logic to generate read valid signals for non-CPU reads. if read_type [4 : 0] == CPU-read then //select CPU diujopujrvalid : = 1 noncpu jrval id : = 0 if (readjtype [4 : 0] == non-CPU-read) AND SECOND (readjtype [4 : 0] == CPU-read) AND dcujdaujrvalid == 1 then //select CPU diujpujrvalid: = 1 noncpujrvalid: = 0 else //select shared read bus logic diujopujrvalid: = 0 noncpujrvalid: = 1
20.14.13.1.5 Non-CPU reads Read data for the shared read bus is registered in the shared read data buffer using noncpujrvalid. The shared read buffer has 5 locations of 64 bits with separate read pointer, readjptr[2:0], and write pointer, write_ptr[2:0]. if noncpujrvalid == 1 and (4 spaces in shared read buffer) then shared jread_data_buffer [writej tr] dcujdaujdata [63 : 0] sharedjreadjdataj uf f er [writejptr+1] dcujdaujdata [127 : 64] shared jreadjdata_buffer [write tr+2] dcujdaujdata [191 : 128] sharedjreadjdatajbuf f er [write j tr+3] = dcujdaujdata [255 : 192] The data written into the shared read buffer must be output to the correct SoPEC DIU read requestor according to the value of readjype[4:0] at the top of the command queue. The data is output 64 bits at a time on diu_data[63:0] according to a multiplexor controlled by readj tr[2:0]. diujdata [63 : 0] = sharedjreadjdatajbuf fer [readjotr]
Figure 126 shows how readjype[4:0] also selects which shared read bus requesters diu_<unit>_rvalid signal is connected to sharedjrvalid. Since the data from the DCU is registered in the Read Multiplexor then sharedjrvalid is a delayed version of noncpujrvalid. When the read valid, diu_<unit>_rvalid, for the command associated with readjype[4:0] has been asserted for 4 cycles then a signal shared eadjoomplete is asserted. This indicates that the read has completed, shared jreadjoomplete causes the value of readjype[4:0] in the read command queue to be popped.
A state machine for shared read bus access is shown in Figure 129. This show the generation of sharedjrvalid, shared jreadjoomplete and the shared read data buffer read pointer, readjptr[2:0], being incremented. Some points to note from Figure 129 are:
• sharedjrvalid is asserted the cycle after dcujdaujrvalid associated with a shared read bus access. This matches the cycle delay in capturing daujdcujdata[2δδ:0] in the shared read data buffer, sharedjrvalid remains asserted in the case of back to back shared read bus accesses. • sharedjreadjoomplete is asserted in the last sharedjrvalid cycle of a non-CPU access. sharedjreadjoomplete causes the shared read data queue to be popped. 20.14.13.1.6 Read command queue read pointer logic The read command queue read pointer logic works as follows. if sharedjreadjoomplete == 1 OR cpujreadjoomplete ( 0 ) == 1 then POP top of read command queue if cpujreadjoomplete (1) == 1 then POP second read command queue location 20.14.13.1.7 Debug signals sharedjreadjoomplete and cpujreadjoomplete together define readjoomplete which indicates to the debug logic that a read has completed. The source of the read is indicated on read_sel[4:0]. readjoomplete = sharedjreadjoomplete OR cpujreadjoomplete (0) OR cpujreadjoomplete (1) if cpujreadjoomplete (1) == 1 then readjsel := SECOND (read_type) else readjsel : = readjtype
20.14.13.1.8 Flow control
There are separate indications that the Read Multiplexor is able to accept CPU and shared read bus commands from the Arbitration Logic. These are indicated by read_cmdjrdy[1:0]. The Arbitration Logic can always issue CPU reads except if the read command queue fills. The read command queue should be large enough that this should never occur.
//Read Multiplexor ready for Arbitration Logic to issue CPU reads read jomd jrdy [ 0 ] == read command queue not full
For the shared read data, the Read Multiplexor deasserts the shared read bus readjomdjrdy[1] indication until a space is available in the read command queue. The read command queue should be large enough that this should never occur. readjomdjrdy[1] is also deasserted to provide flow control back to the Arbitration Logic to keep the shared read data bus just full.
//Read Multiplexor not ready for Arbitration Logic to issue non-CPU reads readjomdjrdy [1] = (read command queue not full) AND ( flowjoontrol = 0 )
The flow control condition is that DCU read data from the second of two back-to-back shared read bus accesses becomes available. This causes readjomdjrdy[1] to de-assert for 1 cycle, resulting in a repeated MSN2 DCU state. The timing is shown in Figure 130. flowjoontrol = (readjtype [4 : 0] == non-CPU read) AND SECOND (readjtype [4 : 0] == non- CPU read) AND (current DCU state == MSN2 ) AND (previous DCU state == MSN1) . Figure 130 shows a series of back to back transfers over the shared read data bus. The exact timing of the implementation must not introduce any additional latency on shared read bus read transfers i.e. arbitration must be re-enabled just in time to keep back to back shared read bus data full.
The following sequence of events is illustrated in Figure 130:
• Data from the first DRAM access is written into the shared read data buffer.
• Data from the second access is available 3 cycles later, but its transfer into the shared read buffer is delayed by a cycle, due to the MSN2 stall condition. (During this delay, read data for access 2 is maintained at the output of the DRAM.) A similar 1 -cycle delay is introduced for every subsequent read access until the back-to-back sequence comes to an end.
• Note that arbitration always occurs during the last MSN2 state of any access. So, for the second and later of any back-to-back non-CPU reads, arbitration is delayed by one cycle, i.e. it occurs every fourth cycle instead of the standard every third. This mechanism provides flow control back to the Arbitration Logic sub-block. Using this mechanism means that the access rate will be limited to which ever takes longer - DRAM access or transfer of read data over the shared read data bus. CPU reads are always be accepted by the Read Multiplexor. 20.14.13.2 Write Multiplexor logic description The Write Multiplexor supplies write data to the DCU.
There are two separate write channels, one for CPU data on cpu jdiu jwdata[127:0], one for non- CPU data on
Figure imgf000343_0001
A signal write _data_valid[1 :0] indicates to the Command Multiplexor that the data is valid. The Command Multiplexor then asserts a signal write jdata_accept[1:0] indicating that the data has been captured by the DRAM and the appropriate channel in the Write Multiplexor can accept the next write data.
Timing waveforms for write accesses are shown in Figure 92 to Figure 94, respectively. There are 3 types of write accesses:
• CPU accesses
CPU write data on cpu jdiu jwdata[127:0] is output on cpu wdata[1 '27:0]. Since CPU writes are posted, a local buffer is used to store the write data, address and mask until the CPU wins arbitration. This buffer is one position deep. write_data alid[0], which is synonymous with Idiujopujwritejrdy, remains asserted until the Command Multiplexor indicates it has been written to the DRAM by asserting write jdatajacceptjO]. The CPU write buffer can then accept new posted writes. For non-CPU writes, the Write Multiplexor multiplexes the write data from the DIU write requester to the write data buffer and the <unit>jdiujwvalid signal to the write multiplexor control logic.
• CDU accesses 64-bits of write data each for a masked write to a separate 256-bit word are transferred to the Write Multiplexor over 4 cycles. When a CDU write is selected the first 64-bits of write data on cdujdlujwdata[63:0] are multiplexed to non_cpuj/vo'ata/'63:0/. write jdata_valid[1] is asserted to indicate a non-CPU access when cdujdiujwvalid is asserted. The data is also written into the first location in the write data buffer. This is so that the data can continue to be output on nonjopu_wdata[63:0] and write_data_valid[1] remains asserted until the Command Multiplexor indicates it has been written to the DRAM by asserting write _data_accept[1]. Data continues to be accepted from the CDU and is written into the other locations in the write data buffer. Successive write jdatajaccept[1] pulses cause the successive 64-bit data words to be output on wdata[63:0] together with write_data alid[1]. The last write jdatajaccept[1] means the write buffer is empty and new write data can be accepted.
• Other write accesses. 256-bits of write data are transferred to the Write Multiplexor over 4 successive cycles. When a write is selected the first 64-bits of write data on <unit>_diu_wdata[63:0] are written into the write data buffer. The next 64-bits of data are written to the buffer in successive cycles. Once the last 64-bit word is available on <unit>jdiu_wdata[63:0] the entire word is output on nonjopu jwdata[2δδ:0], write jdata /alid [1] is asserted to indicate a non-CPU access, and the last 64-bit word is written into the last location in the write data buffer. Data continues to be output on non_cpu jjvdata[2δδ:0] and write_data_valid[1] remains asserted until the Command Multiplexor indicates it has been written to the DRAM by asserting write_data_accept[1]. New write data can then be written into the write buffer.
CPU write multiplexor control logic
When the Command Multiplexor has issued the CPU write it asserts write_datajaccept[0]. write_data_accept[0] causes the write multiplexor to assert write _cmd_rdy[0]. The signal write_cmd_rdy[0] tells the Arbitration Logic sub-block that it can issue another CPU write command i.e. the CPU write data buffer is empty.
Non-CPU write multiplexor control logic
The signal write jomdjrdy[1] tells the Arbitration Logic sub-block that the Write Multiplexor is ready to accept another non-CPU write command. When write jomd_rdy[1] is asserted the Arbitration Logic can issue a write command to the Write Multiplexor. It does this by writing the value of arbjsel[4:0] which indicates which SoPEC Unit has won arbitration into a write command register, write _cmd[3:0]. write sn = arbjgnt AND dirjsel [1] ==1 AND arbjsel = non- CPU if write _en==l then writejomd = arbjsel The encoding of arbjsel[4:0] is given in Table . dirjsel[1]==1 indicates that the operation is a write. arbjsel[4:0] is only written to the write command register if the write is a non-CPU write. A rule was introduced in Section 20.7.2.3 Interleaving read and write accesses to the effect that non-CPU write accesses would not be allocated adjacent timeslots. This means that a single write command register is required.
The write command register, write jomd [3:0], indicates the source of the write data. write_cmd[3:0] multiplexes the write data <unit>_diu_wdata, and the data valid signal, <unit>jdiu_wvalid, from the selected write requestor to the write data buffer. Note, that CPU write data is not included in the multiplex as the CPU has its own write channel. The <unit>jdiujwvalid are counted to generate the signal wordjsel[1:0] which decides which 64-bit word of the write data buffer to store the data from <unit> diu wdata.
//when the Command Multiplexor accepts the write data if writejdatajaccept [1] = 1 then //reset the word select signal wordjsel [1:0] =00 //when wvalid is asserted if wvalid = 1 then //increment the word select signal if wordjsel [1 : 0] == 11 then wordjsel [1 : 0] == 00 else wordjsel [1 : 0] == wordjsel [1 : 0] + 1 wvalid is the <unii>jdiu_wvalid signal multiplexed by write_cmd[3:0]. wordjsel[1:0] is reset when the Command Multiplexor accepts the write data. This is to ensure that wordjsel[1:0] is always starts at 00 for the first wvalid pulse of a 4 cycle write data transfer. The write command register is able to accept the next write when the Command Multiplexor accepts the write data by asserting write jdatajaccept[1J. Only the last write _data_accept[1] pulse associated with a CDU access (there are 4) will cause the write command register to be ready to accept the next write data. Flow control back to the Command Multiplexor write jomd dy[0] is asserted when the CPU data buffer is empty. writejomd dy[1] is asserted when both the write command register and the write data buffer is empty.
PEP SUBSYSTEM
21 PEP Controller Unit (PCU) 21.1 OVERVIEW
The PCU has three functions:
• The first is to act as a bus bridge between the CPU-bus and the PCU-bus for reading and writing PEP configuration registers. • The second is to support page banding by allowing the PEP blocks to be reprogrammed between bands by retrieving commands from DRAM instead of being programmed directly by the CPU.
• The third is to send register debug information to the RDU, within the CPU subsystem, when the PCU is in Debug Mode.
21.2 INTERFACES BETWEEN PCU AND OTHER UNITS
21.3 Bus BRIDGE
The PCU is a bus-bridge between the CPU-bus and the PCU-bus. The PCU is a slave on the CPU-bus but is the only master on the PCU-bus. See Figure page39 on page Error! Bookmark not defined..
21.3.1 CPU accessing PEP
All the blocks in the PEP can be addressed by the CPU via the PCU. The MMU in the CPU- subsystem will decode a PCU select signal, cpujpcujsel, for all the PCU mapped addresses (see section 11.4.3 on page 96). Using cpujadr bits 15-12 the PCU will decode individual block selects for each of the blocks within the PEP. The PEP blocks then decode the remaining address bits needed to address their PCU-bus mapped registers. Note: the CPU is only permitted to perform supervisor-mode data-type accesses of the PEP, i.e. cpujacode = 11. If the PCU is selected by the CPU and any other code is present on the cpujacode bus the access is ignored by the PCU and the pcujopuj err signal is strobed, CPU commands have priority over DRAM commands. When the PCU is executing each set of four commands retrieved from DRAM the CPU can access PCU-bus registers. In the case that DRAM commands are being executed and the CPU resets the CmdSource to zero, the contents of the DRAM CmdFifo is invalidated and no further commands from the fifo are executed. The CmdPending and NextBandCmdEnable work registers are also cleared. When a DRAM command writes to the CmdAdr register it means the next DRAM access will occur at the address written to CmdAdr. Therefore if the JUMP instruction is the first command in a group of four, the other three commands get executed and then the PCU will issue a read request to DRAM at the address specified by the JUMP instruction. If the JUMP instruction is the second command then the following two commands will be executed before the PCU requests from the new DRAM address specified by the JUMP instruction etc.Therefore the PCU will always execute the remaining commands in each four command group before carrying out the JUMP instruction.
21.4 PAGE BANDING
The PCU can be programmed to associate microcode in DRAM with each finishedband signal. When a finishedband signal is asserted the PCU will read commands from DRAM and execute these commands. These commands are each 64-bits (see Section 21.8.5) and consist of 32-bit address bits and 32 data bits and allow PCU mapped registers to be programmed directly by the PCU. If more than one finishedband signal is received at the same time, or others are received while microcode is already executing, the PCU will hold the commands as pending, and will execute them at the first opportunity.
Each microcode program associated with cdujinishedband, Ibdjinishedband and tejinishedband would simply restart the appropriate unit with new addresses - a total of about 4 or 5 microcode instructions. As well, or alternatively, pcujinishedband can be used to set up all of the units and therefore involves many more instructions. This minimizes the time that a unit is idle in between bands. The pcujinishedband control signal is issued once the specified combination of CDU, LBD and TE (programmed in BandSelectMask) have finished their processing for a band. 21.5 INTERRUPTS, ADDRESS LEGALITY AND SECURITY
Interrupts are generated when the various page expansion units have finished a particular band of data from DRAM. The cdujinishedband, Ibdjinishedband and tejinishedband signals are combined in the PCU into a single interrupt pcujinishedband which is exported by the PCU to the interrupt controller. The PCU mapped registers should only be accessible from Supervisor Data Mode. The area of DRAM where PCU commands are stored should be a Supervisor Mode only DRAM area, although this is not enforced by the PCU.
When the PCU is executing commands from DRAM, any block-address decoded from a command which is not part of the PEP block-address map will cause the PCU to ignore the command and strobe the pcujcujaddressjnvalid interrupt signal. The CPU can then interrogate the PCU to find the source of the illegal command. The MMU will ensure that the CPU cannot address an invalid PEP subsystem block.
When the PCU is executing commands from DRAM, any address decoded from a command which is not part of the PEP address map will cause the PCU to: • Cease execution of current command and flush all remaining commands already retrieved from DRAM.
• Clear CmdPending work-register.
• Clear NextBandCmdEnable registers.
• Set CmdSource to zero. In addition to cancelling all current and pending DRAM accesses the PCU strobes the pcujcujaddressjnvalid interrupt signal. The CPU can then interrogate the PCU to find the source of the illegal command.
21.6 DEBUG MODE
When the need to monitor the (possibly changing) value in any PEP configuration register the PCU may be placed in Debug Mode. This is done via the CPU setting certain Debug Address register within the PCU. Once in Debug Mode the PCU continually reads the target PEP configuration register and sends the read value to the RDU. Debug Mode has the lowest priority of all PCU functions: if the CPU wishes to perform an access or there are DRAM commands to be executed theywill interrupt the Debug access, and the PCU will resume Debug access once a CPU or DRAM command has completed. 21.7 IMPLEMENTATION 21.7.1 Definitions of I/O Table 139. PCU Port List
Figure imgf000348_0001
Figure imgf000349_0001
21.7.2 Configuration Registers Table 140. PCU Configuration Registers
Figure imgf000349_0002
Figure imgf000350_0001
Figure imgf000351_0001
Figure imgf000352_0001
21.8 DETAILED DESCRIPTION
21.8.1 PEP Blocks Register Map All PEP accesses are 32-bit register accesses.
From Table 140 it can be seen that four bits only are necessary to address each of the sub- blocks within the PEP part of SoPEC. Up to 14 bits may be used to address any configurable 32- bit register within PEP. This gives scope for 1024 configurable registers per sub-block. This address will come either from the CPU or from a command stored in DRAM. The bus is assembled as follows:
• adr[15:12] = sub-block address
• adr[n:2] = 32-bit register address within sub-block, only the number of bits required to decode the registers within each sub-block are used. Table 141. PEP blocks Register Map
Figure imgf000352_0002
21.8.2 Internal PCU PEP protocol The PCU performs PEP configuration register accesses via a select signal, pcu_<block>jsel. The read/ write sense of the access is communicated via the pcujrwn signal (1 = read, 0 = write). Write data is clocked out, and read data clocked in upon receipt of the appropriate select- read/write-address combination. Figure 133 shows a write operation followed by a read operation. The read operation is shown with wait states while the PEP block returns the read data.
For access to the PEP blocks a simple bus protocol is used. The PCU first determines which particular PEP block is being addressed so that the appropriate block select signal can be generated. During a write access PCU write data is driven out with the address and block select signals in the first cycle of an access. The addressed PEP block responds by asserting its ready signal indicating that it has registered the write data and the access can complete. The write data bus is common to all PEP blocks. A read access is initiated by driving the address and select signals during the first cycle of an access. The addressed PEP block responds by placing the read data on its bus and asserting its ready signal to indicate to the PCU that the read data is valid. Each block has a separate point-to- point data bus for read accesses to avoid the need for a tri-stateable bus. Consecutive accesses to a PEP block must be separated by at least a single cycle, during which the select signal must be de-asserted. 21.8.3 PCU DRAM access requirements
The PCU can execute register programming commands stored in DRAM. These commands can be executed at the start of a print run to initialize all the registers of PEP. The PCU can also execute instructions at the start of a page, and between bands. In the inter-band time, it is critical to have the PCU operate as fast as possible. Therefore in the inter-page and inter-band time the PCU needs to get low latency access to DRAM.
A typical band change requires on the order of 4 commands to restart each of the CDU, LBD, and TE, followed by a single command to terminate the DRAM command stream. This is on the order of 5 commands per restart component. The PCU does single 256 bit reads from DRAM. Each PCU command is 64 bits so each 256 bit DRAM read can contain 4 PCU commands. The requested command is read from DRAM together with the next 3 contiguous 64-bits which are cached to avoid unnecessary DRAM reads. Writing zero to CmdSource causes the PCU to flush commands and terminate program access from DRAM for that command stream. The PCU requires a 256-bit buffer to the 4 PCU commands read by each 256-bit DRAM access. When the buffer is empty the PCU can request DRAM access again. Adding a 256-bit double buffer would allow the next set of 4 commands to be fetched from DRAM while the current commands are being executed. 1024 commands of 64 bits requires 8 kB of DRAM storage. Programs stored in DRAM are referred to as PCU Program Code. 21.8.4 End of band unit The state machine is responsible for watching the various input xx inishedband signals, setting the FinishedSoFar flags, and outputting the pcujinishedband flags as specified by the BandSelect register. Each cycle, the end of band unit performs the following tasks: pcujinishedband = (FinishedSoFar [0] == BandSelectMask [0] ) AND (FinishedSoFar [1] == BandSelectMask [1] ) AND (FinishedSoFar [2] BandSelectMask [2] ) AND (BandSelectMask [0] OR BandSelectMask [1] OR BandSelectMask [2] ) if (pcujinishedband == 1) then FinishedSoFar [0] = 0 FinishedSoFar [1] = 0 FinishedSoFar [2] = 0 else FinishedSoFar [0] == (FinishedSoFar [0] OR lbd_finishedband) AND BandSelectMask [0] FinishedSoFar [1] = (FinishedSoFar [1] OR cdu_finishedband) AND BandSelectMask [1] FinishedSoFar [2] = (FinishedSoFar [2] OR te finishedband) AND BandSelectMask [2]
Note that it is the responsibility of the microcode at the start of printing a page to ensure that all 3 FinishedSoFar bits are cleared. It is not necessary to clear them between bands since this happens automatically.
If a bit of BandSelectMask is cleared, then the corresponding bit of FinishedSoFar has no impact on the generation of pcujinishedband. 21.8.5 Executing commands from DRAM
Registers in PEP can be programmed by means of simple 64-bit commands fetched from DRAM. The format of the commands is given in Table 142. Register locations can have a data value of up to 32 bits. Commands are PEP register write commands only. Table 142. Register write commands in PEP command bits 63-32 bits 31-16 bits 15-2 bits 1-0 Register write data κero 32-bit word Izero address
Due attention must be paid to the endianness of the processor, he LEON processor is a big- endian processor (bit 7 is the most significant bit). 21.8.6 General Operation Upon a Reset condition, CmdSource is cleared (to 0), which means that all commands are initially sourced only from the CPU bus interface. Registers and can then be written to or read from one location at a time via the CPU bus interface.
If CmdSource is 1 , commands are sourced from the DRAM at CmdAdr and from the CPU bus. Writing an address to CmdAdr automatically sets CmdSource to 1 , and causes a command stream to be retrieved from DRAM. The PCU will execute commands from the CPU or from the DRAM command stream, giving higher priority to the CPU always.
If CmdSource is 0 the'DRAM requestor examines the CmdPending bits to determine if a new DRAM command stream is pending. If any of CmdPending bits are set, then the appropriate NextBandCmdAdr or NextCmdAdr is copied to CmdAdr (causing CmdSource to get set to 1 ) and a new command DRAM stream is retrieved from DRAM and executed by the PCU. If there are multiple pending commands the DRAM requestor will service the lowest number pending bit first. Note that a new DRAM command stream only gets retrieved when the current command stream is empty. If there are no DRAM commands pending, and no CPU commands the PCU defaults to an idle state. When idle the PCU address bus defaults to the DebugSelect register value (bits 11 to 2 in particular) and the default unit PCU data bus is reflected to the CPU data bus. The default unit is determined by the DebugSelect register bits 15 to 12. In conjunction with this, upon receipt of a finishedBand[n] signal, NextBandCmdEnable[n] is copied to CmdPending[n] and NextBandCmdEnable[n] is cleared. Note, each of the LBD, CDU, and TE (where present) may be re-programmed individually between bands by appropriately setting NextBandCmdAdr[2-0] respectively. However, execution of inter-band commands may be postponed until all blocks specified in the BandSelectMask register have pulsed their finishedband signal. This may be accomplished by only setting NextBandCmdAdr[3] (indirectly causing NextBandCmdEnable[3] to be set) in which case it is the pcujinishedband signal which causes NextBandCmdEnable[3] to be copied to CmdPending[3j.
To conveniently update multiple registers, for example at the start of printing a page, a series of Write Register commands can be stored in DRAM. When the start address of the first Write Register command is written to the CmdAdr register (via the CPU), the CmdSource register is automatically set to 1 to actually start the execution at CmdAdr. Alternatively the CPU can write to NextCmdAdr causing the CmdPending[4] bit to get set, which will then get serviced by the DRAM requestor in the pending bit arbitration order.
The final instruction in the command block stored in DRAM must be a register write of 0 to CmdSource so that no more commands are read from DRAM. Subsequent commands will come from pending programs or can be sent via the CPU bus interface. 21.8.6.1 Debug Mode
Debug mode is implemented by reusing the normal CPU and DRAM access decode logic. When in the Arbitrate state (see state machine A below), the PEP address bus is defaulted to the value in the DebugSelect register. The top bits of the DebugSelect register are used to decode a select to a PEP unit and the remaining bits are reflected on the PEP address bus. The selected units read data bus is reflected on the pcujopujdata bus to the RDU in the CPU. The pcu_cpu_debug_valid signal indicates to the RDU that the data on the pcujopujdata bus is valid debug data.
Normal CPU and DRAM command access will require the PEP bus, and as such will cause the debug data to be invalid during the access, this is indicated to the RDU by setting pcujopujdebug alid to zero.
The decode logic is : // Default Debug decode if state == Arbitrate then if (cpujpcu_sel == 1 AND cpujacode /= SUPERVISORJDATAJMODE) then pcujopujiebug_valid = 0 // bus error condition pcujopujdata = 0 else <unit> decode (DebugSelect [15 : 12] ) if (<unit> == PCU ) then pcujopujdata = Internal PCU register else pcujopujdata = <unit>jocujiatain[31: 0] pcujadr [11 : 2] = DebugSelect [11:2] p cu jopu_debug _va lid = 1 AFTER 4 clock cycles else pcu jopu_debug_val id = 0 21.8.7 State Machines
DRAM command fetching and general command execution is accomplished using two state machines. State machine A evaluates whether a CPU or DRAM command is being executed, and proceeds to execute the command(s). Since the CPU has priority over the DRAM it is permitted to interrupt the execution of a stream of DRAM commands.
Machine B decides which address should be used for DRAM access, fetches commands from DRAM and fills a command fifo which A executes. The reason for separating the two functions is to facilitate the execution of CPU or Debug commands while state machine B is performing DRAM reads and filling the command fifo. In the case where state machine A is ready to execute commands (in its Arbitrate state) and it sees both a full DRAM command fifo and an active cpuj cujsel then the DRAM commands are executed last. 21.8.7.1 State Machine A: Arbitration and execution of commands
The state-machine enters the Reset state when there is an active strobe on either the reset pin, prstjn, or the PCU's soft-reset register. All registers in the PCU are zeroed, unless otherwise specified, on the next rising clock edge. The PCU self-deasserts the soft reset in the pclk cycle after it has been asserted. The state changes from Reset to Arbitrate when prstjn == 1 and PCUjsoftreset == 1. The state-machine waits in the Arbitrate state until it detects a request for CPU access to the PEP units (cpujpcujsel == 1 and cpujacode == 11 ) or a request to execute DRAM commands CmdSource == 1 , and DRAM commands are available, CmdFifoFull==1. Note if (cpu j cujsel == 1 and cpujacode != 11) the CPU is attempting an illegal access. The PCU ignores this command and strobes the cpu jp-cu Jo-err for one cycle.
While in the Arbitrate state the machine assigns the DebugSelect register to the PCU unit decode logic and the remaining bits to the PEP address bus. When in this state the debug data returned from the selected PEP unit is reflected on the CPU bus (pcujopujdata bus) and the
Figure imgf000357_0001
If a CPU access request is detected (cpujpcujsel == 1 and cpujacode == 11 ) then the machine proceeds to the CpuAccess state. In the CpuAccess state the cpu address is decoded and used to determine the PEP unit to select. The remaining address bits are passed through to the PEP address bus. The machine remains in the CpuAccess state until a valid ready from the selected PEP unit is received. When received the machine returns to the arbitrate state, and the ready signal to the CPU is pulsed. // decode the logic pcu_<unit>_sel = decode (cpujadr [15 : 12] ) pcujadr [11 : 2] = cpujadr [11 : 2] The CPU is prevented from generating an invalid PEP unit address (prevented in the MMU) and so CPU accesses cannot generate an invalid address error.
If the state machine detects a request to execute DRAM commands (CmdSource == 1 ), it will wait in the Arbitrate state until commands have been loaded into the command FIFO from DRAM (all controlled by state machine B). When the DRAM commands are available (cmdjifojull == 1) the state machine will proceed to the DRAMAccess state.
When in the DRAMAccess state the commands are executed from the cmdjifo. A command in the cmdjifo consists of 64-bits (or which the FIFO holds 4). The decoding of the 64-bits to commands is given in Table . For each command the decode is // DRAM command decode pcu_<unit>_sel = decode ( cmdjfif o [cmdjcount] [15 : 12] ) pcujadr [11 : 2] = cmdjEifo [cmdjcount] [11 : 2] pcujdataout = cmd_f if o [cmdjcount] [63 : 32]
When the selected PEP unit returns a ready signal
Figure imgf000357_0002
indicating the command has completed, the state machine will return to the Arbitrate state. If more commands exists (cmdjoount 1=0) the transition will decrement the command count.
When in the DRAMAccess state, if when decoding the DRAM command address bus (cmdJifo[cmd_count][1δ:12]), the address selects a reserved address, the state machine proceeds to the AdrError state, and then back to the Arbitrate state. An address error interrupt will be generated and the DRAM command FIFOs will be cleared. A CPU access can pre-empt any pending DRAM commands. After each command is completed the state machine returns to the Arbitrate state. If a CPU access is required and DRAM command stream is executing the CPU access always takes priority. If a CPU or DRAM command sets the CmdSource to 0, all subsequent DRAM commands in the command FIFO are cleared. If the CPU sets the CmdSource to 0 the CmdPending and NextBandCmdEnable work registers are also cleared.
218.7.2 State Machine B: Fetching DRAM commands
A system reset (prst_n==0) or a software reset (pcu_softreset_n==0) will cause the state machine to reset to the Reset state. The state machine remains in the Reset until both reset conditions are removed. When removed the machine proceeds to the Wait state.
The state machine waits in the Wait state until it determines that commands are needed from DRAM. Two possible conditions exist that require DRAM access. Either the PCU is processing commands which must be fetched from DRAM
Figure imgf000358_0001
), and the command FIFO is empty
Figure imgf000358_0002
and the command FIFO is empty and there are some commands pending (cmdjpending !=0). In either of these conditions the machine proceeds to the Ack state and issues a read request to DRAM
Figure imgf000358_0003
it calculates the address to read from dependent on the transition condition. In the command pending transition condition, the highest priority NextBandCmdAdr (or NextCmdAdr) that is pending is used for the read address (pcujdiujradr) and is also copied to the CmdAdr register. If multiple pending bits are set the lowest pending bits are serviced first. In the normal PCU processing transition the pcujdiujradr is the CmdAdr register.
When an acknowledge is received from the DRAM the state machine goes to the FillFifo state. In the FillFifo state the machine waits for the DRAM to respond to the read request and transfer data words. On receipt of the first word of data
Figure imgf000358_0004
, the machine stores the 64-bit data word in the command FIFO (cmd ifo[3[) and transitions to the Datal, Data2, Data3 states each time waiting for a diu_pcu_rvalid==1 and storing the transferred data word to cmd ifo[2], cmdJifo[1] and cmd ifo[0] respectively.
When the transfer is complete the machine returns to the Wait state, setting the cmdjoount to 3, the cmdjifojull is set to 1 and the CmdAdr is incremented. If the CPU sets the CmdSource register low while the PCU is in the middle of a DRAM access, the statemachine returns to the Wait state and the DRAM access is aborted. 21.8.7.3
Figure imgf000358_0005
Interrupt
When the PCU is executing commands from DRAM, addresses decoded from commands which are not PCU mapped addresses (4-bits only) will result in the current command being ignored and the pcujcujaddressjnvalid interrupt signal is strobed. When an invalid command occurs all remaining commands already retrieved from DRAM are flushed from the CmdFifo, and the CmdPending, NextBandCmdEnable and CmdSource registers are cleared to zero. The CPU can then interrogate the PCU to find the source of the illegal DRAM command via the InvalidAddress register. The CPU is prevented by the MMU from generating an invalid address command. 22 Contone Decoder Unit (CDU)
22.1 OVERVIEW
The Contone Decoder Unit (CDU) is responsible for performing the optional decompression of the contone data layer. The input to the CDU is up to 4 planes of compressed contone data in JPEG interleaved format. This will typically be 3 planes, representing a CMY contone image, or 4 planes representing a CMYK contone image. The CDU must support a page of A4 length (11.7 inches) and Letter width (8.5 inches) at a resolution of 267 ppi in 4 colors and a print speed of 1 side per 2 seconds. The CDU and the other page expansion units support the notion of page banding. A compressed page is divided into one or more bands, with a number of bands stored in memory. As a band of the page is consumed for printing a new band can be downloaded. The new band may be for the current page or the next page. Band-finish interrupts have been provided to notify the CPU of free buffer space. The compressed contone data is read from the on-chip DRAM. The output of the CDU is the decompressed contone data, separated into planes. The decompressed contone image is written to a circular buffer in DRAM with an expected minimum size of 12 lines and a configurable maximum. The decompressed contone image is subsequently read a line at a time by the CFU, optionally color converted, scaled up to 1600 ppi and then passed on to the HCU for the next stage in the printing pipeline. The CDU also outputs a cdujinishedband control flag indicating that the CDU has finished reading a band of compressed contone data in DRAM and that area of DRAM is now free. This flag is used by the PCU and is available as an interrupt to the CPU.
22.2 STORAGE REQUIREMENTS FOR DECOMPRESSED CONTONE DATA IN DRAM
A single SoPEC must support a page of A4 length (11.7 inches) and Letter width (8.5 inches) at a resolution of 267 ppi in 4 colors and a print speed of 1 side per 2 seconds. The printheads specified in the Bi-lithic Printhead Specification [2] have 13824 nozzles per color to provide full bleed printing for A4 and Letter. At 267 ppi, there are 2304 contone pixels9 per line represented by 288 JPEG blocks per color. However each of these blocks actually stores data for 8 lines, since a single JPEG block is 8 x 8 pixels. The CDU produces contone data for 8 lines in parallel, while the HCU processes data linearly across a line on a line by line basis. The contone data is decoded only once and then buffered in DRAM. This means we require two sets of 8 buffer-lines - one set of 8 buffer lines is being consumed by the CFU while the other set of 8 buffer lines is being generated by the CDU.
The buffer requirement can be reduced by using a 1.5 buffering scheme, where the CDU fills 8 lines while the CFU consumes 4 lines. The buffer space required is a minimum of 12 line stores per color, for a total space of 108 KBytes10. A circular buffer scheme is employed whereby the CDU may only begin to write a line of JPEG blocks (equals 8 lines of contone data) when there are 8-lines free in
9Pixels may be 8, 6, 24 or 32 bits depending on the number of color planes (8-bits per color)
1012 lines x 4 colors x 2304 bytes (assumes 267 ppi, 4 color, full bleed A4/Letter) the buffer. Once the full 8 lines have been written by the CDU, the CFU may now begin to read them on a line by line basis.
This reduction in buffering comes with the cost of an increased peak bandwidth requirement for the CDU write access to DRAM. The CDU must be able to write the decompressed contone at twice the rate at which the CFU reads the data. To allow for trade-offs to be made between peak bandwidth and amount of storage, the size of the circular buffer is configurable. For example, if the circular buffer is configured to be 16 lines it behaves like a double-buffer scheme where the peak bandwidth requirements of the CDU and CFU are equal. An increase over 16 lines allows the CDU to write ahead of the CFU and provides it with a margin to cope with very poor local compression ratios in the image.
SoPEC should also provide support for A3 printing and printing at resolutions above 267 ppi. This increases the storage requirement for the decompressed contone data (buffer) in DRAM. Table 143 gives the storage requirements for the decompressed contone data at some sample contone resolutions for different page sizes. It assumes 4 color planes of contone data and a 1.5 buffering scheme. Table 143. Storage requirements for decompressed contone data (buffer)
Figure imgf000360_0001
a. Required for CFU to convert to final output at 1600 dpi b. Bi-lithic printhead has 13824 nozzles per color providing full bleed printing for A4/Letter c. Bi-lithic printhead has 19488 nozzles per color providing full bleed printing for A3 d. 12 lines x 4 colors x 2304 bytes.
22.3 DECOMPRESSION PERFORMANCE REQUIREMENTS
The JPEG decoder core can produce a single color pixel every system clock (pclk) cycle, making it capable of decoding at a peak output rate of 8 bits/cycle. SoPEC processes 1 dot (bi-level in 6 colors) per system clock cycle to achieve a print speed of 1 side per 2 seconds for full bleed A4/Letter printing. The CFU replicates pixels a scale factor (SF) number of times in both the horizontal and vertical directions to convert the final output to 1600 ppi. Thus the CFU consumes a 4 color pixel (32 bits) every SFx SF cycles. The 1.5 buffering scheme described in section 22.2 on page 358 means that the CDU must write the data at twice this rate. With support for 4 colors at 267 ppi, the decompression output bandwidth requirement is 1.78 bits/cycle11. The JPEG decoder is fed directly from the main memory via the DRAM interface. The amount of compression determines the input bandwidth requirements for the CDU. As the level of compression increases, the bandwidth decreases, but the quality of the final output image can also decrease. Although the average compression ratio for contone data is expected to be 10:1 , the average bandwidth allocated to the CDU allows for a local minimum compression ratio of 5:1 over a single line of JPEG blocks. This equates to a peak input bandwidth requirement of 0.36 bits/cycle for 4 colors at 267 ppi, full bleed A4/Letter printing at 1 side per 2 seconds. Table 144 gives the decompression output bandwidth requirements for different resolutions of contone data to meet a print speed of 1 side per 2 seconds. Higher resolution requires higher bandwidth and larger storage for decompressed contone data in DRAM. A resolution of 400 ppi contone data in 4 colors requires 4 bits/cycle12, which is practical using a 1.5 buffering scheme. However, a resolution of 800 ppi would require a double buffering scheme (16 lines) so the CDU only has to match the CFU consumption rate. In this case the decompression output bandwidth requirement is 8 bits/cycle13, the limiting factor being the output rate of the JPEG decoder core.
Table 144. CDU performance requirements for full bleed A4/Letter printing at 1 side per 2 seconds.
Figure imgf000361_0001
a. Assumes 4 color pixel contone data and a 12 line buffer. b. Scale factor 2 requires at least a 16 line buffer. 22.4 DATA FLOW
Figure 136 shows the general data flow for contone data - compressed contone planes are read from DRAM by the CDU, and the decompressed contone data is written to the 12-line circular buffer in DRAM. The line buffers are subsequently read by the CFU. The CDU allows the contone data to be passed directly on, which will be the case if the color represented by each color plane in the JPEG image is an available ink. For example, the four
12 x ( (4 colors x 8 bits) / (6 x 6 cycles) ) = 1.78 bits/cycle
122 x ( (4 colors x 8 bits) / (4 x 4 cycles) ) = 4 bits/cycle
13 (,4 colors x 8 bits) / (2 x 2 cycles) = 8 bits/cycle colors may be C, M, Y, and K, directly represented by CMYK inks. The four colors may represent gold, metallic green etc. for multi-SoPEC printing with exact colors.
However JPEG produces better compression ratios for a given visible quality when luminance and chrominance channels are separated. With CMYK, K can be considered to be luminance, but C, M, and Y each contain luminance information, and so would need to be compressed with appropriate luminance tables. We therefore provide the means by which CMY can be passed to SoPEC as YCrCb. K does not need color conversion. When being JPEG compressed, CMY is typically converted to RGB, then to YCrCb and then finally JPEG compressed. At decompression, the YCrCb data is obtained and written to the decompressed contone store by the CDU. This is read by the CFU where the YCrCb can then be optionally color converted to RGB, and finally back to CMY.
The external RIP provides conversion from RGB to YCrCb, specifically to match the actual hardware implementation of the inverse transform within SoPEC, as per CCIR 601-2 [24] except that Y, Cr and Cb are normalized to occupy all 256 levels of an 8-bit binary encoding. The CFU provides the translation to either RGB or CMY. RGB is included since it is a necessary step to produce CMY, and some printers increase their color gamut by including RGB inks as well as CMYK.
22.5 IMPLEMENTATION A block diagram of the CDU is shown in Figure 137. All output signals from the CDU (cdujofujwradvδline, cdujinishedband, cdujcujpegerror, and control signals to the DIU) must always be valid after reset. If the CDU is not currently decoding, cdujofujwradvδline, cdujinishedband and cdujcujpegerror will always be 0. The read control unit is responsible for keeping the JPEG decoder's input FIFO full by reading compressed contone bytestream from external DRAM via the DIU, and produces the cdujinishedband signal. The write control unit accepts the output from the JPEG decoder a half JPEG block (32 bytes) at a time, writes it into a double-buffer, and writes the double buffered decompressed half blocks to DRAM via the DIU, interacting with the CFU in order to share DRAM buffers. 22.5.1 Definitions of I/O Table 145. CDU port list and description
Figure imgf000362_0001
Figure imgf000363_0001
Figure imgf000364_0001
The configuration registers in the CDU are programmed via the PCU interface. Refer to section 2 .8.2 on page 351 for the description of the protocol and timing diagrams for reading and writing registers in the CDU. Note that since addresses in SoPEC are byte aligned and the PCU only supports 32-bit register reads and writes, the lower 2 bits of the PCU address bus are not required to decode the address space for the CDU. When reading a register that is less than 32 bits wide zeros should be returned on the upper unused bit(s) of cdujpcujdatain. Since the CDU, LBD and TE all access the page band store, they share two registers that enable sequential memory accesses to the page band stores to be circular in nature. Table 146 lists these two registers. Table 146. Registers shared between the CDU, LBD, and TE
Address Register name tebits value on description
Figure imgf000365_0001
The software reset logic should include a circuit to ensure that both the pclk and jclk domains are reset regardless of the state of the jclkjanable when the reset is initiated. The CDU contains the following additional registers: Table 147. CDU registers
Figure imgf000365_0002
Figure imgf000366_0001
Figure imgf000367_0001
Figure imgf000368_0001
Figure imgf000369_0001
Figure imgf000370_0001
22.5.3 Typical operation
The CDU should only be started after the CFU has been started.
For the first band of data, users set up NextBandCurrSourceAdr, NextBandEndSourceAdr,
NextBandValidBytesLastFetch, and the various MaxPlane, MaxBlock, BuffStartBlockAdr, BuffEndBlockAdr and NumBuffLines. Users then set the CDU's Go bit to start processing of the band. When the compressed contone data for the band has finished being read in, the cdujinishedband interrupt will be sent to the PCU and CPU indicating that the memory associated with the first band is now free. Processing can now start on the next band of contone data.
In order to process the next band NextBandCurrSourceAdr, NextBandEndSourceAdr and NextBandValidBytesLastFetch need to be updated before finally writing a 1 to NextBandEnable. There are 4 mechanisms for restarting the CDU between bands: a. cdujinishedband causes an interrupt to the CPU. The CDU will have set its DoneBand bit. The CPU reprograms the NextBandCurrSourceAdr, NextBandEndSourceAdr and NextBandValidBytesLastFetch registers, and sets NextBandEnable to restart the CDU. b. The CPU programs the CDU's NextBandCurrSourceAdr, NextBandCurrEndAdr and NextBandValidBytesLastFetch registers and sets the NextBandEnable bit before the end of the current band. At the end of the current band the CDU sets DoneBand. As NextBandEnable is already 1 , the CDU starts processing the next band immediately. c. The PCU is programmed so that cdujinishedband triggers the PCU to execute commands from DRAM to reprogram the NextBandCurrSourceAdr, NextBandEndSourceAdr and NextBandValidBytesLastFetch registers and set the NextBandEnable bit to start the CDU processing the next band. The advantage of this scheme is that the CPU could process band headers in advance and store the band commands in DRAM ready for execution. d. This is a combination of b and c above. The PCU (rather than the CPU in b) programs the CDU's NextBandCurrSourceAdr, NextBandCurrEndAdr and NextBandValidBytesLastFetch registers and sets the NextBandEnable bit before the end of the current band. At the end of the current band the CDU sets DoneBand and pulses cdujinishedband. As NextBandEnable is already 1 , the CDU starts processing the next band immediately. Simultaneously, cdujinishedband triggers the PCU to fetch commands from DRAM. The CDU will have restarted by the time the PCU has fetched commands from DRAM. The PCU commands program the CDU's next band shadow registers and sets the NextBandEnable bit. If an error occurs in the JPEG stream, the JPEG decoder will suspend its operation, an error bit will be set in the JpgDecStatus register and the core will ignore any input data and await a reset before starting decoding again. An interrupt is sent to the CPU by asserting cdujcujpegerror and the CDU should then be reset by means of a write to its Reset register before a new page can be printed. 22.5.4 Read control unit The read control unit is responsible for reading the compressed contone data and passing it to the JPEG decoder via the FIFO. The compressed contone data is read from DRAM in single 256-bit accesses, receiving the data from the DIU over 4 clock cycles (64-bits per cycle). The protocol and timing for read accesses to DRAM is described in section 20.9.1 on page 269. Read accesses to DRAM are implemented by means of the state machine described in Figure 138. All counters and flags should be cleared after reset. When Go transitions from 0 to 1 all counters and flags should take their initial value. While the Go bit is set, the state machine relies on the DoneBand bit to tell it whether to attempt to read a band of compressed contone data. When DoneBand is set, the state machine does nothing. When DoneBand is clear, the state machine continues to load data into the JPEG input FIFO up to 256-bits at a time while there is space available in the FIFO. Note that the state machine has no knowledge about numbers of blocks or numbers of color planes - it merely keeps the JPEG input FIFO full by consecutive reads from DRAM. The DIU is responsible for ensuring that DRAM requests are satisfied at least at the peak DRAM read bandwidth of 0.36 bits/cycle (see section 22.3 on page 359). A modulo 4 counter, rdjoount, is use to count each of the 64-bits received in a 256-bit read access. It is incremented whenever diujodujrvalid is asserted. As each 64-bit value is returned, indicated by diujodujrvalid being asserted, currjsourcejadr is compared to both endjsourcejadr and end_of_bandstore:
• If {currjsourcejadr.rdjoounf} equals endjsourcejadr, the endjofj and control signal sent to the FIFO is 1 (to signify the end of the band), the finishedCDUBand signal is output, and the DoneBand bit is set. The remaining 64-bit values in the burst from the DIU are ignored, i.e. they are not written into the FIFO.
• If rdjoount equals 3 and {curr jsource jadr, rdjoounf) does not equal endjsourcejadr, then currjsourcejadr is updated to be either start_of_bandstore or currjsourcejadr + 1 , depending on whether currjsourcejadr also equals endjofj-andstore. The end_of_band control signal sent to the FIFO is 0. currjsourcejadr is output to the DIU as cdu 'jdiu adr.
A count is kept of the number of 64-bit values in the FIFO. When diujodujrvalid is 1 and ignore jdata is 0, data is written to the FIFO by asserting FifoWr, and fifo_contents[3:0] and fifo_wr_adr[2:0] are both incremented.
When fifo_contents[3:0] is greater than 0, jpgjnjstrb is asserted to indicate that there is data available in the FIFO for the JPEG decoder core. The JPEG decoder core asserts jpgjnjrdy when it is ready to receive data from the FIFO. Note it is also possible to bypass the JPEG decoder core by setting the BypassJpg register to 1. In this case data is sent directly from the FIFO to the half-block double-buffer. While the JPEG decoder is not stalled (jpgjoorejstall equal 0), and jpgjnjrdy for bypass Jpg) and jpgjnjstrb are both 1 , a byte of data is consumed by the JPEG decoder core. fifo d_adr[δ:0] is then incremented to select the next byte. The read address is byte aligned, i.e. the upper 3 bits are input as the read address for the FIFO and the lower 3 bits are used to select a byte from the 64 bits. If fifo_rd_adr[2:0] = 111 then the next 64-bit value is read from the FIFO by asserting fifo_rd, and fifojoontents[3:0] is decremented. 22.5.5 Compressed contone FIFO
The compressed contone FIFO conceptually is a 64-bit input, and 8-bit output FIFO to account for the 64-bit data transfers from the DIU, and the 8-bit requirement of the JPEG decoder. In reality, the FIFO is actually 8 entries deep and 65-bits wide (to accommodate two 256-bit accesses), with bits 63-0 carrying data, and bit 64 containing a 1-bit end_of_band flag. Whenever 64-bit data is written to the FIFO from the DIU, an end_of_band flag is also passed in from the read control unit. The end_of_band bit is 1 if this is the last data transfer for the current band, and 0 if it is not the last transfer. When end_of_band = 1 during an input, the ValidBytesLastFetch register is also copied to an image version of the same. On the JPEG decoder side of the FIFO, the read address is byte aligned, i.e. the upper 3 bits are input as the read address for the FIFO and the lower 3 bits are used to select a byte from the 64 bits (1st byte corresponds to bits 7-0, second byte to bits 15-8 etc.). If bit 64 is set on the read, bits 63-0 contain the end of the bytestream for that band, and only the bytes specified by the image of ValidBytesLastFetch are valid bytes to be read and presented to the JPEG decoder. Note that ValidBytesLastFetch is copied to an image register as it may be possible for the CDU to be reprogrammed for the next band before the previous band's compressed contone data has been read from the FIFO (as an additional effect of this, the CDU has a non-problematic limitation in that each band of contone data must be more than 4 x 64-bits, or 32 bytes, in length). 22.5.6 CS6150 JPEG decoder JPEG decoder functionality is implemented by means of a modified version of the Amphion
CS6150 JPEG decoder core. The decoder is run at a nominal clock speed of 160 MHz. (Amphion have stated that the CS6150 JPEG decoder core can run at 185 MHz in 0.13um technology). The core is clocked by jclk which a gated version of the system clock pclk. Gating the clock provides a mechanism for stalling the JPEG decoder on a single color pixel-by-pixel basis. Control of the flow of output data is also provided by the PixOutEnab input to the JPEG decoder. However, this only allows stalling of the output at a JPEG block boundary and is insufficient for SoPEC. Thus gating of the clock is employed and PixOutEnab is instead tied high.
The CS6150 decoder automatically extracts all relevant parameters from the JPEG bytestream and uses them to control the decoding of the image. The JPEG bytestream contains data for the Huffman tables, quantization tables, restart interval definition and frame and scan headers. The decoder parses and checks the JPEG bytestream automatically detecting and processing all the JPEG marker segments. After identifying the JPEG segments the decoder re-directs the data to the appropriate units to be stored or processed as appropriate. Any errors detected in the bytestream, apart from those in the entropy coded segments, are signalled and, if an error is found, the decoder stops reading the JPEG stream and waits to be reset.
JPEG images must have their data stored in interleaved format with no subsampling. Images longer than 65536 lines are allowed: these must have an initial imageHeight of 0. If the image has a Define Number Lines (DNL) marker at the end (normally necessary for standard JPEG, but not necessary for SoPECs version of the CS6150), it must be equal to the total image height mod 64k or an error will be generated.
See the CS6150 Databook [21] for more details on how the core is used, and for timing diagrams of the interfaces. Note that [21] does not describe the use of the DNL marker in images of more than 64k lines length as this is a modification to the core. The CS6150 decoder can be bypassed by setting the BypassJpg register. If this register is set, then the data read from DRAM must be in the same format as if it was produced by the JPEG decoder: 8x8 blocks of pixels in the correct color order. The data is uncompressed and is therefore lossless.
The following subsections describe the means by which the CS6150 internals can be made visible. 22Λ6.1 JPEG decoder reset
The JPEG decoder has 2 possible types of reset, an asynchronous reset and a synchronous clear. In SoPEC the asynchronous reset is connected to the hardware synchronous reset of the CDU and can be activated by any hardware reset to SoPEC (either from external pin or from any of the wake-up sources, e.g. USB activity, Wake-up register timeout) or by resetting the PEP section (ResetSection register in the CPR block).
The synchronous clear is connected to the software reset of the CDU and can be activated by the low to high transition of the Go register, or a software reset via the Reset register. The 2 types of reset differ, in that the asynchronous reset, resets the JPEG core and causes the core to enter a memory initialization sequence that takes 384 clock cycles to complete after the reset is deasserted. The synchronous clear resets the core, but leaves the memory as is. This has some implications for programming the CDU.
In general the CDU should not be started (i.e. setting Go to 1) until at least 384 cycles after a hardware reset. If the CDU is started before then, the memory initialization sequence will be terminated leaving the JPEG core memory in an unknown state. This is allowed if the memory is to be initialized from the incoming JPEG stream. 22.5.6.2 JPEG decoder parameter bus
The decoding parameter bus JpgDecPValue is a 16-bit port used to output various parameters extracted from the input data stream and currently used by the core. The 4-bit selector input (JpgDecPType) determines which internal parameters are displayed on the parameter bus as per Table 148. The data available on the PValue port does not contain control signals used by the CS6150. Table 148. Parameter bus definitions
Figure imgf000374_0001
Figure imgf000375_0001
22. δ.6.3 JPEG decoder status register
The status register flags indicate the current state of the CS6150 operation. When an error is detected during the decoding process, the decompression process in the JPEG decoder is suspended and an interrupt is sent to the CPU by asserting cdujcujpegerror (generated from
DecError). The CPU can check the source of the error by reading the JpgDecStatus register. The
CS6150 waits until a reset process is invoked by asserting the hard reset prst_n or by a soft reset of the CDU. The individual bits of JpgDecStatus are set to zero at reset and active high to indicate an error condition as defined in Table 149.
Note: A DecHfError will not block the input as the core will try to recover and produce the correct amount of pixel data. The DecHfError is cleared automatically at the start of the next image and so no intervention is required from the user. If any of the other errors occur in the decode mode then, following the error cancellation, the core will discard all input data until the next Start Of
Image (SOI) without triggering any more errors.
The progress of the decoding can be monitored by observing the values of TblDef, IDctlnProg,
DeclnProg and JpglnProg. Table 149. JPEG decoder status register definitions
Figure imgf000375_0002
Figure imgf000376_0001
Since the CDU writes 256 bits (4 x 64 bits) to memory at a time, it requires a double-buffer of 2 x 256 bits at its output. This is implemented in an 8 x 64 bit FIFO. It is required to be able to stall the JPEG decoder core at its output on a half JPEG block boundary, i.e. after 32 pixels (8 bits per pixel). We provide a mechanism for stalling the JPEG decoder core by gating the clock to the core(with jclkjanable) when the FIFO is full. The output FIFO is responsible for providing two buffered half JPEG blocks to decouple JPEG decoding (read control unit) from writing those JPEG blocks to DRAM (write control unit). Data coming in is in 8-bit quantities but data going out is in 64-bit quantities for a single color plane. 22.5.8 Write control unit
A line of JPEG blocks in 4 colors, or 8 lines of decompressed contone data, is stored in DRAM with the memory arrangement as shown Figure 139.The arrangement is in order to optimize access for reads by writing the data so that 4 color components are stored together in each 256- bit DRAM word. The CDU writes 8 lines of data in parallel but stores the first 4 lines and second 4 lines separately in DRAM. The write sequence for a single line of JPEG 8x8 blocks in 4 colors, as shown in Figure 139, is as follows below and corresponds to the order in which pixels are output from the JPEG decoder core: block 0, color 0, line 0 in word p bits 63-0, line 1 in word p+1 bits 63-0, line 2 in word p+2 bits 63-0, line 3 in word p+3 bits 63-0, block 0, color 0, line 4 in word q bits 63-0, line 5 in word q+1 bits 63-0, line 6 in word q+2 bits 63-0, line 7 in word q+3 bits 63-0, block 0, color 1, line 0 in word p bits 127-64, line 1 in word p+1 bits 127-64, line 2 in word p+2 bits 127-64, line 3 in word p+3 bits 127-64, block 0, color 1, line 4 in word q bits 127-64, line 5 in word q+1 bits 127-64, line 6 in word q+2 bits 127-64, line 7 in word q+3 bits 127-64, repeat for block 0 color 2 , block 0 color 3 , block 1, color 0, line 0 in word p+4 bits 63-0, line 1 in word p+5 bits 63-0, etc. block N, color 3 , line 4 in word q+4n bits 255-192 , line 5 in word q+4n+l bits 255-192 , line 6 in word q+4n+2 bits 255- 192 , line 7 in word q+4n+3 bit 255-192 In SoPEC data is written to DRAM 256 bits at a time. The DIU receives a 64-bit aligned address from the CDU, i.e. the lower 2 bits indicate which 64-bits within a 256-bit location are being written to. With that address the DIU also receives half a JPEG block (4 lines) in a single color, 4 x 64 bits over 4 cycles. All accesses to DRAM must be padded to 256 bits or the bits which should not be written are masked using the individual bit write inputs of the DRAM. When writing decompressed contone data from the CDU, only 64 bits out of the 256-bit access to DRAM are valid, and the remaining bits of the write are masked by the DIU. This means that the decompressed contone data is written to DRAM in 4 back-to-back 64-bit write masked accesses to 4 consecutive 256-bit DRAM locations/words. Writing of decompressed contone data to DRAM is implemented by the state machine in Figure 140. The CDU writes the decompressed contone data to DRAM half a JPEG block at a time, 4 x 64 bits over 4 cycles. All counters and flags should be cleared after reset. When Go transitions from 0 to 1 all counters and flags should take their initial value. While the Go bit is set, the state machine relies on the halfjolockjokjojread and linejstorejokjojwrite flags to tell it whether to attempt to write a half JPEG block to DRAM. Once the half-block buffer interface contains a half JPEG block, the state machine requests a write access to DRAM by asserting cdujdiujwreq and providing the write address, corresponding to the first 64-bit value to be written, on cdujdiujwadr (only the address the first 64-bit value in each access of 4x64 bits is issued by the CDU. The DIU can generate the addresses for the second, third and fourth 64-bit values). The state machine then waits to receive an acknowledge from the DIU before initiating a read of 4 64-bit values from the half-block buffer interface by asserting rdjadv for 4 cycles. The output cdujdiujwvalid is asserted in the cycle after rdjadv to indicate to the DIU that valid data is present on the cdujdiujdata bus and should be written to the specified address in DRAM. A rd_adv_half_block pulse is then sent to the half-block buffer interface to indicate that the current read buffer has been read and should now be available to be written to again. The state machine then returns to the request state.
The pseudocode below shows how the write address is calculated on a per clock cycle basis. Note counters and flags should be cleared after reset. When Go transitions from 0 to 1 all counters and flags should be cleared and Iwrjialfblockjadr gets loaded with buffjstartjadr and uprjialfblockjadr gets loaded with buffjstartjadr + maxjolock + 1.
// assign write address output to DRAM cdu_diu_wadr [6 : 5] = 00 // corresponds to linenumber, only first address is // issued for each DRAM access . Thus line is always 0 . // The DIU generates these bits of the address . cdu_diu_wadr [4 : 3] = color if (half == 1) then cdujiiu adr [21: 7] = u _halfblockjadr // for lines 4-7 of JPEG block else cdu_diujΛradr [21: 7] = Iwrjalfblockjadr // for lines 0-3 of JPEG block
// update half, color, block and addresses after each DRAM write access if (rd_adv_half_block == 1) then if (half == 1) then half = 0 if (color == max plane) then color = 0 if (block == maxjblock) then // end of writing a line of JPEG blocks pulse wradvSline block = 0
// update half block address for start of next line of JPEG blocks taking // account of address wrapping in circular buffer and 4 line offset if (uprjialfblockjadr == buff_end_adr) then uprjialfblock_adr = buffjstartjadr + maxjblock + 1 elsif (upr_halfblock_adr + maxjblock + 1 == buffjandjadr) then uprjialfblockjadr = buffjstartjadr else uprjaalfblockjadr = uprjialfblockjadr + maxjblock + 2 else block ++ upr ialfblockjadr ++ // move to address for lines 4-7 for next block else color ++ else half = 1 if (color == maxjlane) then if (block == maxjblock) then // end of writing a line of JPEG blocks
// update half block address for start of next line of JPEG blocks taking // account of address wrapping in circular buffer and 4 line offset if (Iwr halfblock adr == buff end adr) then lwr jialfblockjadr = buffjstartjadr + maxjblock + 1 elsif ( lwrjaalfblockjadr + maxjblock + 1 == buf f jsndjadr) then lwrjialf block _adr = buffjstartjadr else Iwr jialfblockjadr = lwrjaalfblockjadr + maxjblock + 2 else lwr jialf block _adr ++ // move to address for lines 0 -3 for next block 22.5.9 Contone line store interface The contone line store interface is responsible for providing the control over the shared resource in DRAM. The CDU writes 8 lines of data in up to 4 color planes, and the CFU reads them line-at- a-time. The contone line store interface provides the mechanism for keeping track of the number of lines stored in DRAM, and provides signals so that a given line cannot be read from until the complete line has been written. The CDU writes 8 lines of data in parallel but writes the first 4 lines and second 4 lines to separate areas in DRAM. Thus, when the CFU has read 4 lines from DRAM that area now becomes free for the CDU to write to. Thus the size of the line store in DRAM should be a multiple of 4 lines. The minimum size of the line store interface is 8 lines, providing a single buffer scheme. Typical sizes are 12 lines for a 1.5 buffer scheme while 16 lines provides a double-buffer scheme. The size of the contone line store is defined by numj uffjines. A count is kept of the number of lines stored in DRAM that are available to be written to. When Go transitions from 0 to 1 ,
NumLinesAvail is set to the value of numjouffjines. The CDU may only begin to write to DRAM as long as there is space available for 8 lines, indicated when the linejstorejokjojwrite bit is set. When the CDU has finished writing 8 lines, the write control unit sends an wradvδline pulse to the contone line store interface, and NumLinesAvail is decremented by 8. The write control unit then waits for linejstorejokjojwrite to be set again.
If the contone line store is not empty (has one or more lines available in it), the CDU will indicate to the CFU via the cdujofujlnestorejrdy signal. The cdu_cfuJinestore_rdy signal is generated by comparing the NumLinesAvail with the programmed numjouffjines. As the CFU reads a line from the contone line store it will pulse the rdadvline to indicate that it has read a full line from the line store. NumLinesAvail is incremented by 1 on receiving a rdadvline pulse.
To enable running the CDU while the CFU is not running the NumLinesAvail register can also be updated via the configuration register interface. In this scenario the CPU polls the value of the NumLinesAvail register and overwrites it to prevent stalling of the CDU (NumLinesAvail < 8). The CPU will always have priority in any updating of the NumLinesAvail register. 23 Contone FIFO Unit (CFU) 23.1 OVERVIEW
The Contone FIFO Unit (CFU) is responsible for reading the decompressed contone data layer from the circular buffer in DRAM, performing optional color conversion from YCrCb to RGB followed by optional color inversion in up to 4 color planes, and then feeding the data on to the HCU. Scaling of data is performed in the horizontal and vertical directions by the CFU so that the output to the HCU matches the printer resolution. Non-integer scaling is supported in both the horizontal and vertical directions. Typically, the scale factor will be the same in both directions but may be programmed to be different.
23.2 BANDWIDTH REQUIREMENTS The CFU must read the contone data from DRAM fast enough to match the rate at which the contone data is consumed by the HCU.
Pixels of contone data are replicated a X scale factor (SF) number of times in the X direction and Y scale factor (SF) number of times in the Y direction to convert the final output to 1600 dpi. Replication in the X direction is performed at the output of the CFU on a pixel-by-pixel basis while replication in the Y direction is performed by the CFU reading each line a number of times, according to the Y-scale factor, from DRAM. The HCU generates 1 dot (bi-level in 6 colors) per system clock cycle to achieve a print speed of 1 side per 2 seconds for full bleed A4/Letter printing. The CFU output buffer needs to be supplied with a 4 color contone pixel (32 bits) every SF cycles. With support for 4 colors at 267 ppi the CFU must read data from DRAM at 5.33 bits/cycle14.
23.3 COLOR SPACE CONVERSION
The CFU allows the contone data to be passed directly on, which will be the case if the color represented by each color plane in the JPEG image is an available ink. For example, the four colors may be C, M, Y, and K, directly represented by CMYK inks. The four colors may represent gold, metallic green etc. for multi-SoPEC printing with exact colors.
JPEG produces better compression ratios for a given visible quality when luminance and chrominance channels are separated. With CMYK, K can be considered to be luminance, but C, M and Y each contain luminance information and so would need to be compressed with appropriate luminance tables. We therefore provide the means by which CMY can be passed to SoPEC as YCrCb. K does not need color conversion.
When being JPEG compressed, CMY is typically converted to RGB, then to YCrCb and then finally JPEG compressed. At decompression, the YCrCb data is obtained, then color converted to RGB, and finally back to CMY. The external RIP provides conversion from RGB to YCrCb, specifically to match the actual hardware implementation of the inverse transform within SoPEC, as per CCIR 601 -2 [24] except that Y, Cr and Cb are normalized to occupy all 256 levels of an 8-bit binary encoding.
14. 32 bits / 6 cycles = 5.33 bits/cycle The CFU provides the translation to either RGB or CMY. RGB is included since it is a necessary step to produce CMY, and some printers increase their color gamut by including RGB inks as well as CMYK.
Consequently the JPEG stream in the color space convertor is one of: • 1 color plane, no color space conversion
• 2 color planes, no color space conversion
• 3 color planes, no color space conversion
• 3 color planes YCrCb, conversion to RGB
• 4 color planes, no color space conversion • 4 color planes YCrCbX, conversion of YCrCb to RGB, no color conversion of X
The YCrCb to RGB conversion is described in [14]. Note that if the data is non-compressed, there is no specific advantage in performing color conversion (although the CDU and CFU do permit it). 23.4 COLOR SPACE INVERSION
In addition to performing optional color conversion the CFU also provides for optional bit-wise inversion in up to 4 color planes. This provides the means by which the conversion to CMY may be finalised, or to may be used to provide planar correlation of the dither matrices. The RGB to CMY conversion is given by the relationship: C = 255 - R M = 255 - G • Y = 255 - B
These relationships require the page RTP to calculate the RGB from CMY as follows: R = 255 - C
• G = 255 - M B = 255 - Y 23.5 SCALING
Scaling of pixel data is performed in the horizontal and vertical directions by the CFU so that the output to the HCU matches the printer resolution. The CFU supports non-integer scaling with the scale factor represented by a numerator and a denominator. Only scaling up of the pixel data is allowed, i.e. the numerator should be greater than or equal to the denominator. For example, to scale up by a factor of two and a half, the numerator is programmed as 5 and the denominator programmed as 2.
Scaling is implemented using a counter as described in the pseudocode below. An advance pulse is generated to move to the next dot (x-scaling) or line (y-scaling). if (count + denominator - numerator >= 0 ) then count = count + denominator - numerator advance = 1 else count = count + denominator advance = 0 23.6 LEAD-IN AND LEAD-OUT CLIPPING
The JPEG algorithm encodes data on a block by block basis, each block consists of 64 8-bit pixels (representing 8 rows each of 8 pixels). If the image is not a multiple of 8 pixels in X and Y then padding must be present. This padding (extra pixels) will be present after decoding of the JPEG bytestream.
Extra padded lines in the Y direction (which may get scaled up in the CFU) will be ignored in the HCU through the setting of the BottomMargin register.
Extra padded pixels in the X direction must also be removed so that the contone layer is clipped to the target page as necessary. In the case of a multi-SoPEC system, 2 SoPECs may be responsible for printing the same side of a page, e.g. SoPEC #1 controls printing of the left side of the page and SoPEC #2 controls printing of the right side of the page and shown in Figure 141. The division of the contone layer between the 2 SoPECs may not fall on a 8 pixel (JPEG block) boundary. The JPEG block on the boundary of the 2 SoPECs (JPEG block n below) will be the last JPEG block in the line printed by SoPEC #1 and the first JPEG block in the line printed by SoPEC #2. Pixels in this JPEG block not destined for SoPEC #1 are ignored by appropriately setting the LeadOutClipNum. Pixels in this JPEG block not destined for SoPEC #2 must be ignored at the beginning of each line. The number of pixels to be ignored at the start of each line is specified by the LeadlnClipNum register. It may also be the case that the CDU writes out more JPEG blocks than is required to be read by the CFU, as shown for SoPEC #2 below. In this case the value of the MaxBlock register in the CDU is set to correspond to JPEG block m but the value for the MaxBlock register in the CFU is set to correspond to JPEG block m-1. Thus JPEG block m is not read in by the CFU. Additional clipping on contone pixels is required when they are scaled up to the printer's resolution. The scaling of the first valid pixel in the line is controlled by setting the XstartCount register. The HcuLineLength register defines the size of the target page for the contone layer at the printer's resolution and controls the scaling of the last valid pixel in a line sent to the HCU.
23.7 IMPLEMENTATION
Figure 142 shows a block diagram of the CFU. 23.7.1 Definitions of I/O Table 150. CFU port list and description
Figure imgf000383_0001
Figure imgf000384_0001
23.7.2 Configuration registers The configuration registers in the CFU are programmed via the PCU interface. Refer to section 21.8.2 on page 351 for the description of the protocol and timing diagrams for reading and writing registers in the CFU. Note that since addresses in SoPEC are byte aligned and the PCU only supports 32-bit register reads and writes, the lower 2 bits of the PCU address bus are not required to decode the address space for the CFU. When reading a register that is less than 32 bits wide zeros should be returned on the upper unused bit(s) of cfu pcujdatain. The configuration registers of the CFU are listed in Table 151 : Table 151. CFU registers
Figure imgf000385_0001
Figure imgf000386_0001
Figure imgf000387_0001
23.7.3 Storage of decompressed contone data in DRAM
The CFU reads decompressed contone data from DRAM in single 256-bit accesses. JPEG blocks of decompressed contone data are stored in DRAM with the memory arrangement as shown The arrangement is in order to optimize access for reads by writing the data so that 4 color components are stored together in each 256-bit DRAM word. The means that the CFU reads 64- bits in 4 colors from a single line in each 256-bit DRAM access.
The CFU reads data line at a time in 4 colors from DRAM. The read sequence, as shown in Figure 143, is as follows: line 0, block 0 in word p of DRAM line 0, block 1 in word p+4 of DRAM line 0, block n in word p+4n of DRAM (repeat to read line a number of times according to scale factor) line 1, block 0 in word p+1 of DRAM line 1, block 1 in word p+5 of DRAM etc The CFU reads a complete line in up to 4 colors a Y scale factor number of times from DRAM before it moves on to read the next. When the CFU has finished reading 4 lines of contone data that 4 line store becomes available for the CDU to write to. 23.7.4 Decompressed contone buffer Since the CFU reads 256 bits (4 colors x 64 bits) from memory at a time, it requires storage of at least 2 x 256 bits at its input. To allow for all possible DIU stall conditions the input buffer is increased to 3 x 256 bits to meet the CFU target bandwidth requirements. The CFU receives the data from the DIU over 4 clock cycles (64-bits of a single color per cycle). It is implemented as 4 buffers. Each buffer conceptually is a 64-bit input and 8-bit output buffer to account for the 64-bit data transfers from the DIU, and the 8-bit output per color plane to the color space converter. On the DRAM side, wrj uff indicates the current buffer within each triple-buffer that writes are to occur to. wrjsel selects which triple-buffer to write the 64 bits of data to when wrjan is asserted. On the color space converter side, rdjouff indicates the current buffer within each triple-buffer that reads are to occur from. When rdjan is asserted a byte is read from each of the triple-buffers in parallel, rdjsel is used to select a byte from the 64 bits (1st byte corresponds to bits 7-0, second byte to bits 15-8 etc.). Due to the limitations of available register arrays in IBM technology, the decompressed contone buffer is implemented as a quadruple buffer. While this offers some benefits for the CFU it is not necessitated by the bandwidth requirements of the CFU. 23.7.5 Y-scaling control unit The Y-scaling control unit is responsible for reading the decompressed contone data and passing it to the color space converter via the decompressed contone buffer. The decompressed contone data is read from DRAM in single 256-bit accesses, receiving the data from the DIU over 4 clock cycles (64-bits per cycle). The protocol and timing for read accesses to DRAM is described in l section 20.9.1 on page 269. Read accesses to DRAM are implemented by means of the state machine described in Figure 144. All counters and flags should be cleared after reset. When Go transitions from 0 to 1 all counters and flags should take their initial value. While the Go bit is set, the state machine relies on the Hne8_okJo_read and buffj kjo write flags to tell it whether to attempt to read a line of compressed contone data from DRAM. When Hne8_okJo_read is 0 the state machine does nothing. When Hne8_okJo_read is 1 the state machine continues to load data into the decompressed contone buffer up to 256-bits at a time while there is space available in the buffer. A bit is kept for the status of each 64-bit buffer: buffjavail[0] and buff_avail[1]. It also keeps a single bit (rdj uff) for the current buffer that reads are to occur from, and a single bit (wrjouff) for the current buffer that writes are to occur to. buffjokjojwrite equals -buffjavail [wrjouff]. When a wrjadvjouff pulse is received, buffjavail [wrjouff] is set, and wrjouff is inverted. Whenever diujofujvalid is asserted, wrjan is asserted to write the 64-bits . data from DRAM to the buffer selected by wrjsel and wrjouff. buffjokjojread equals buffjavail[rd_buffj. If there is data available
Figure imgf000389_0001
buffer and the output double-buffer has space available (oufbuff o/cjo_wr/f6!lequals 1) then data is read from the buffer by asserting rdjan and rdjsel gets incremented to point to the next value, wrjadv is asserted in the following cycle to w e the data to the output double-buffer of the CFU. When finished reading the buffer, rdjsel equals b111 and rdjan is asserted, buffjavail[rd_buff] is set, and Ηjouff is inverted. Each line is read a number of times from DRAM, according to the Y-scale factor, before tr^ CFU moves on to start reading the next line of decompressed contone data. Scaling to the printfead resolution in the Y direction is thus performed. The pseudocode below shows how the read address from DRAM is calculated on a per clojk cycle basis. Note all counters and flags should be cleared after reset or when Go is cleared, When a 1 is written to Go, both curr_halfblock and linejstartjrialfblock get loaded with buffjstartjadr, and yjscalejoount gets loaded with yjscalejdenom. Scaling in the Y directions implemented by line replication by re-reading lines from DRAM. The algorithm for non-integer\ scaling is described in the pseudocode below.
// assign read address output to DRAM cdu_diujrfadr [21 : 7] = currjial block cdu ϊiu jwadr [6 : 5] = line [l : 0]
// update block, line, yjscalejoount and addresses aftel each DRAM read access if (wrjadvjbuff == 1) then if (block == maxjblock) then // end of reading a line of contone in up to 4 colors block = 0 // check whether to advance to next line of contone data in DRAM if (y_scale_count + yjscalejdenom - y_scale_num >= 0) then y_scale_count = yj3cale_count + y_scalejienom - yjscale um pulse RdAdvline if (line == 3) then // end of reading 4 line store of contone data line = 0 // update half block address for start of next line taking account of // address wrapping in circular buffer and 4 line offset if (currjialfblock == buffjsndjadr) then curr ialfblock = buffjstartjadr linejstartjadr = buffjstartjadr elsif ( (linejstartjadr + 4line_offset) == buffjsndjadr) ) then currjialfblock = buffjstartjadr linejstartjadr = buffjstartjadr else curr halfblock = line start adr + 41inejDffset line start adr = line start adr 4line_offset else line ++ currjialfblock = linejstartjadr else // re-read current line from DRAM yjscale_count = yjscale_count + yjscalejienom currjialfblock = linejstartjadr else block ++ curr jialfblock ++ 23.7.6 Contone line store interface
The contone line store interface is responsible for providing the control over the shared resource in DRAM. The CDU writes 8 lines of data in up to 4 color planes, and the CFU reads them line-at- a-time. The contone line store interface provides the mechanism for keeping track of the number of lines stored in DRAM, and provides signals so that a given line cannot be read from until the complete line has been written.
A count is kept of the number of lines that have been written to DRAM by the CDU and are available to be read by the CFU. At start-up, buffjinesjavail is set to the 0. The CFU may only begin to read from DRAM when the CDU has written 8 complete lines of contone data. When the CDU has finished writing 8 lines, it sends an cdu_cfu_wradv8line pulse to the CFU, and buffjinesjavail is incremented by 8. The CFU may continue reading from DRAM as long as buffjinesjavail is greater than 0. lineδj kjojread is set while buffjinesjavail is greater than 0. When it has completely finished reading a line of contone data from DRAM, the Y-scaling control unit sends a RdAdvLine signal to contone line store interface and to the CDU to free up the line in the buffer in DRAM, buffjinesjavail is decremented by 1 on receiving a RdAdvline pulse.
23.7.7 Color Space Converter (CSC)
The color space converter consists of 2 stages: optional color conversion from YCrCb to RGB followed by optional bit-wise inversion in up to 4 color planes. The convert YCrCb to RGB block takes 3 8-bit inputs defined as Y, Cr, and Cb and outputs either the same data YCrCb or RGB. The YCrCb2RGB parameter is set to enable the conversion step from YCrCb to RGB. If YCrCb2RGB equals 0, the conversion does not take place, and the input pixels are passed to the second stage. The 4th color plane, if present, bypasses the convert YCrCb to RGB block. Note that the latency of the convert YCrCb to RGB block is 1 cycle. This latency should be equalized for the 4th color plane as it bypasses the block.
The second stage involves optional bit-wise inversion on a per color plane basis under the control of invert joolorj lane. For example if the input is YCrCbK, then YCrCb2RGB can be set to 1 to convert YCrCb to RGB, and invertjoolorjplane can be set to 0111 to then convert the RGB to CMY, leaving K unchanged. If YCrCb2RGB equals 0 and invertjoolorjplane equals 0000, no color conversion or color inversion will take place, so the output pixels will be the same as the input pixels. Figure 145 shows a block diagram of the color space converter. The convert YCrCb to RGB block is an implementation of [14]. Although only 10 bits of coefficients are used (1 sign bit, 1 integer bit, 8 fractional bits), full internal accuracy is maintained with 18 bits. The conversion is implemented as follows: R* = Y + (359/256)(Cr-128) G* = Y - (183/256)(Cr-128) - (88/256)(Cb-128) B* = Y + (454/256)(Cb-128) R*, G* and B* are rounded to the nearest integer and saturated to the range 0-255 to give R, G and B. Note that, while a Reset results in all-zero output, a zero input gives output RGB = [015, 13616, 017].
23.7.8 X-scaling control unit
The CFU has a 2 x 32-bit double-buffer at its output between the color space converter and the HCU. The X-scaling control unit performs the scaling of the contone data to the printers output
15-179 is saturated to 0
16 135.5, with rounding becomes 136.
17-227 is saturated to 0 resolution, provides the mechanism for keeping track of the current read and write buffers, and ensures that a buffer cannot be read from until it has been written to. A bit is kept for the status of each 32-bit buffer: buff_avail[0] and buff_avail[1]. It also keeps a single bit (rdj uff) for the current buffer that reads are to occur from, and a single bit (wrjouff) for the current buffer that writes are to occur to.
The output value outbuffjokjojjvrite equals ~buffjavail[wrJouffj. Contone pixels are counted as they are received from the Y-scaling control unit, i.e. when wrjadv is 1. Pixels in the lead-in and lead-out areas are ignored, i.e. they are not written to the output buffer. Lead-in and lead-out clipping of pixels is implemented by the following pseudocode that generates the wrjen pulse for the output buffer. if (wradv == 1) then if (pixel_count == {maxjblock, bill}) then pixeljΞount = 0 else pixeljΞount ++ if ( (pixeljΞOunt < leadinjrlipjαum) OR (pixeljΞount > ( {maxjblock,bill} leadoutjΞlipjaum) ) ) then wrj≥n = 0 else wr_en = 1 When a wrjan pulse is sent to the output double-buffer, buffjavailjwrjouff] is set, and wrjouff is inverted. The output cfu_hcujavail equals buffjavail [rd_buffj. When cfujricujavail equals 1 , this indicates to the HCU that data is available to be read from the CFU. The HCU responds by asserting hcujofujadvdot to indicate that the HCU has captured the pixel data on cfu_hcu_c[0-3]data lines and the CFU can now place the next pixel on the data lines.
The input pixels from the CSC may be scaled a non-integer number of times in the X direction to produce the output pixels for the HCU at the printhead resolution. Scaling is implemented by pixel replication. The algorithm for non-integer scaling is described in the pseudocode below. Note, xjscalejoount should be loaded with xjstartjoount after reset and at the end of each line. This controls the amount by which the first pixel is scaled by. hcujinejength and hcujofujdotadv control the amount by which the last pixel in a line that is sent to the HCU is scaled by. if (hcujcfujdotadv == 1) then if (xjscale_count + xjscalejienom - xjscalejaum >= 0) then xjscale_count = xjscale_count + xjscalejdeno xjscalejaum rdjsn = l else xjscale_count = x_scale_count + xjscalejienom rdjen = 0 else xjscale_count = xjscalej ount rd_en = 0 When a rdjan pulse is received, buff_avail[rd_buff] is cleared, and rdj uff is inverted.
A 16-bit counter, dotjadvjoount, is used to keep a count of the number of hcujofujdotadv pulses received from the HCU. If the value of dotjadvjoount equals hcujinejength and a hcujofujdotadv pulse is received, then a rdjan pulse is genrated to present the next dot at the output of the CFU, dotjadvjoount is reset to 0 and xjscalejoount is loaded with xjstartjoount. 24 Lossless Bi-level Decoder (LBD)
24.1 OVERVIEW
The Lossless Bi-level Decoder (LBD) is responsible for decompressing a single plane of bi-level data. In SoPEC bi-level data is limited to a single spot color (typically black for text and line graphics). The input to the LBD is a single plane of bi-level data, read as a bitstream from DRAM. The LBD is programmed with the start address of the compressed data, the length of the output (decompressed) line, and the number of lines to decompress. Although the requirement for SoPEC is to be able to print text at 10:1 compression, the LBD can cope with any compression ratio if the requested DRAM access is available. A pass-through mode is provided for 1 :1 compression. Ten-point plain text compresses with a ratio of about 50:1. Lossless bi-level compression across an average page is about 20:1 with 10:1 possible for pages which compress poorly.
The output of the LBD is a single plane of decompressed bi-level data. The decompressed bi- level data is output to the SFU (Spot FIFO Unit), and in turn becomes an input to the HCU (Halftoner/Compositor unit) for the next stage in the printing pipeline. The LBD also outputs a
Ibdjinishedband control flag that is used by the PCU and is available as an interrupt to the CPU.
24.2 MAIN FEATURES OF LBD
Figure 147 shows a schematic outline of the LBD and SFU.
The LBD is required to support compressed images of up to 800 dpi. If possible we would like to support bi-level images of up to 1600 dpi. The line buffers must therefore be long enough to store a complete line at 1600 dpi.
The PEC1 LBD is required to output 2 dots/cycle to the HCU. This throughput capability is retained for SoPEC to minimise changes to the block, although in SoPEC the HCU will only read 1 dot/cycle. The PEC1 LDB outputs 16 bits in parallel to the PEC1 spot buffer. This is also retained for SoPEC. Therefore the LBD in SoPEC can run much faster than is required. This is useful for allowing stalls, e.g. due to band processing latency, to be absorbed. The LBD has a pass through mode to cope with local negative compression. Pass through mode is activated by a special run-length code. Pass through mode continues to either end of line or for a pre-programmed number of bits, whichever is shorter. The special run-length code is always executed as a run-length code, followed by pass through.
The LBD outputs decompressed bi-level data to the NextLineFIFO in the Spot FIFO Unit (SFU). This stores the decompressed lines in DRAM, with a typical minimum of 2 lines stored in DRAM, nominally 3 lines up to a programmable number of lines. The SFU's NextLineFIFO can fill while the SFU waits for write access to DRAM. Therefore the LBD must be able to support stalling at its output during a line.
The LBD uses the previous line in the decoding process. This is provided by the SFU via it's PrevLineFIFO. Decoding can stall in the LBD while this FIFO waits to be filled from DRAM. A signal sfujdbjrdy indicates that both the SFU's NextLineFIFO and PrevLineFIFO are available for writing and reading, respectively.
A configuration register in the LBD controls whether the first line being decoded at the start of a band uses the previous line read from the SFU or uses an all 0's line instead. The line length is stored in DRAM must be programmable to a value greater than 128. An A4 line of 13824 dots requires 1 JKbytes of storage. An A3 line of 19488 dots requires 2.4 Kbytes of storage.
The compressed spot data can be read at a rate of 1 bit/cycle for pass through mode 1 :1 compression.
The LBD finished band signal is exported to the PCU and is additionally available to the CPU as an interrupt.
24.2.1 Bi-level Decoding in the LBD
The black bi-level layer is losslessly compressed using Silverbrook Modified Group 4 (SMG4) compression which is a version of Group 4 Facsimile compression [22] without Huffman and with simplified run length encodings. The encoding are listed in Table 152 and Table 153. Table 152. Bi-Level group 4 facsimile style compression encodings
Figure imgf000394_0001
Figure imgf000395_0001
SMG4 has a pass through mo e to cope with local negative compression. Pass through is activated by a special run-length code. Pass through mode continues to either end of line or for a pre-programmed number of bits, whichever is shorter. The special run-length code is always executed as a run-length code, followed by pass through. The pass through escape code is a medium length run-length with a run of less than or equal to 31. Table 153. Run length (RL) encodings
Figure imgf000395_0002
Since the compression is a bitstream, the encodings are read right (least significant (most significant bit). The run lengths given as RRRRR in Table 153 are read in the same way (least significant bit at the right to most significant bit at the left).
There is an additional enhancement to the G4 fax algorithm, it relates to pass through mode. It is possible for data to compress negatively using the G4 fax algorithm. On occasions like this it would be easier to pass the data to the LBD as un-compressed data. Pass through mode is a new feature that was not implemented in the PEC1 version of the LBD. When the LBD is in pass through mode the least significant bit of the data stream is an un-compressed bit. This bit is used to construct the current line.
To enter pass through mode the LBD takes advantage of the way run lengths can be written. Usually if one of the runlength pair is less than or equal to 31 it should be encoded as a short runlength. However under the coding scheme of Table it is still legal to write it as a medium or long runlength. The LBD has been designed so that if a short runlength value is detected in a medium runlength then once the horizontal command containing this runlength is decoded completely this will tell the LBD to enter pass through mode and the bits following the runlength is un-compressed data. The number of bits to pass through is either a programmed number of bits or the end of the line which ever comes first. Once the pass through mode is completed the current color is the same as the color of the last bit of the passed through data. 24.2.2 DRAM Access Requirements
The compressed page store for contone, bi-level and raw tag data is 2 Mbytes. The LBD will access the compressed page store in single 256-bit DRAM reads. The LBD will need a 256-bit double buffer in its interface to the DIU. The LBD's DIU bandwidth requirements are summarized in Table 154 Table 154. DRAM bandwidth requirements
Figure imgf000396_0001
1 : At 1 :1 compression the LBD requires 1 bit/cycle or 256 bits every 256 cycles. 24.3 IMPLEMENTATION 24.3.1 Definitions of IO Table 155. LBD Port List
Figure imgf000396_0002
Figure imgf000397_0001
Figure imgf000398_0001
24.3.2 Configuration Registers Table 156. LBD Configuration Registers
Figure imgf000398_0002
Figure imgf000399_0001
Figure imgf000400_0001
The LBD should be started after the SFU. The LBD is programed with a start address for the compressed bi-level data, a decode line length, the source of the previous line and a count of how many lines to decode. The LBD's NextBandEnable bit should then be set (this will set LBD Go). The LBD decodes a single band and then stops, clearing it's Go bit and issuing a pulse on Ibdjinishedband. The LBD can then be restarted for the next band, while the HCU continues to process previously decoded bi-level data from the SFU. There are 4 mechanisms for restarting the LBD between bands: a. Ibdjinishedband causes an interrupt to the CPU. The LBD will have stopped and cleared its Go bit. The CPU reprograms the LBD, typically the NextBandCurrReadAdr, NextBandLinesRemaining and NextBandPrevLineSource shadow registers, and sets NextBandEnable to restart the LBD. b. The CPU programs the LBD's NextBandCurrReadAdr, NextBandLinesRemaining, and NextBandPrevLineSource shadow registers and sets the NextBandEnable flag before the end of the current band. At the end of the band the LBD clears Go, NextBandEnable is already set so the LBD restarts immediately. c. The PCU is programmed so that Ibdjinishedband triggers the PCU to execute commands from DRAM to reprogram the LBD's NextBandCurrReadAdr, NextBandLinesRemaining, and NextBandPrevLineSource shadow registers and set NextBandEnable to restart the LBD. The advantage of this scheme is that the CPU could process band headers in advance and store the band commands in DRAM ready for execution. d. This is a combination of b and c above. The PCU (rather than the CPU in 6) programs the
LBD's NextBandCurrReadAdr, NextBandLinesRemaining, and NextBandPrevLineSource shadow registers and sets the NextBandEnable flag before the end of the current band. At the end of the band the LBD clears Go and pulses Ibdjinishedband. NextBandEnable is already set so the LBD restarts immediately. Simultaneously, Ibdjinishedband triggers the PCU to fetch commands from DRAM. The LBD will have restarted by the time the PCU has fetched commands from DRAM. The PCU commands program the LBD's shadow registers and sets NextBandEnable for the next band.
24.3.4 Top-level Description A block diagram of the LBD is shown in Figure 148. The LBD contains the following sub-blocks: Table 157. Functional sub-blocks in the LBD
Figure imgf000401_0001
In the following description the LBD decodes data for its current decode line but writes this data into the SFU's next line buffer.
Naming of signals and logical blocks are taken from [22].
The LBD is able to stall mid-line should the SFU be unable to supply a previous line or receive a current line frame due to band processing latency.
All output control signals from the LBD must always be valid after reset. For example, if the LBD is not currently decoding, Ibdjsfujadvline (to the SFU) and Ibdjinishedband will always be 0.
24.3.5 Registers and Resets sub-block description
Since the CDU, LBD and TE all access the page band store, they share two registers that enable sequential memory accesses to the page band stores to be circular in nature. The CDU chapter lists these two registers. The register descriptions for the LBD are listed in Table . During initialisation of the LBD, the LineLength and the LinesRemaining configuration values are written to the LBD. The 'Registers and Resets' sub-block supplies these signals to the other sub- blocks in the LBD. In the case of LinesRemaining, this number is decremented for every line that is completed by the LBD.
If pass through is used during a band the PassThroughEnable register needs to be programmed and PassThroughDotLength programmed with the length of the compressed bits in pass through mode.
PrevLineSource is programmed during the initialisation of a band, if the previous line supplied for the first line is a valid previous line, a 1 is written to PrevLineSource so that the data is used. If a 0 is written the LBD ignores the previous line information supplied and acts as if it is receiving all zeros for the previous line regardless of what the out of the SFU is.
The 'Registers and Resets' sub-block also generates the resets used by the rest of the LBD and the Go bit which tells the LBD that it can start requesting data from the DIU and commence decoding of the compressed data stream.
24.3.6 Stream Decoder Sub-block Description
The Stream Decoder reads the compressed bi-level image from the DRAM via the DIU (single accesses of 256-bits) into a double 256-bit FIFO. The barrel shift register uses the 64-bit word from the FIFO to fill up the empty space created by the barrel shift register as it is shifting it's contents. The bit stream is decoded into a command/arguments pair, which in turn is passed to the command controller.
A dataflow block diagram of the stream decoder is shown in Figure 149. 24.3.6.1 Decode C - Decode Command The DecodeC logic encodes the command from bits 6..0 of the bit stream to output one of three commands: SKIP, VERTICAL and RUNLENGTH. It also provides an output to indicate how many bits were consumed, which feeds back to the barrel shift register.
There is a fourth command, PASSJTHROUGH, which is not encoded in bits 6..0, instead it is inferred in a special runlength. If the stream decoder detects a short runlength value, i.e. a number less than 31 , encoded as a medium runlength this tell the Stream Decoder that once the horizontal command containing this runlength is decoded completely the LBD enters PASS_THROUGH mode. Following the runlength there will be a number of bits that represent uncompressed data. The LBD will stay in PASS_THROUGH mode until all these bits have been decoded successfully, this will occur once a programmed number of bits is reached or the line ends, which ever comes first. 24.3.6.2 DecodeD - Decode Delta
The DecodeD logic decodes the run length from bits 20..3 of the bit stream. If DecodeC is decoding a vertical command, it will cause DecodeD to put constants of -3 through 3 on its output. The output delta is a 15 bit number, which is generally considered to be positive, but since it needs to only address to 13824 dots for an A4 page and 19488 dots for an A3 page (of 32,768), a 2's complement representation of -3,-2,-1 will work correctly for the data pipeline that follows. This unit also outputs how many bits were consumed.
In the case of PASS_THROUGH mode, DecodeD parses the bits that represent the uncompressed data and this is used by the Line Fill Unit to construct the current line frame. DecodeD parses the bits at one bit per clock cycle and passes the bit in the less significant bit location of delta to the line fill unit.
DecodeD currently requires to know the color of the run length to decode it correctly as black and white runs are encoded differently. The stream decoder keeps track of the next color based on the current color and the current command. 24.3.6.3 State-machine This state machine continuously fetches consecutive DRAM data whenever there is enough free space in the FIFO, thereby keeping the barrel shift register full so it can continually decode commands for the command controller. Note in Figure 149 that each read cycle curr eadjaddr is compared to endjofjoandjstore. If the two are equal, currjeadjaddr is loaded with startjofjoandjstore (circular memory addressing). Otherwise currjeadjaddr is simply incremented, startjofjoandjstore and endjofjoandjstore need to be programed so that the distance between them is a multiple of the 256-bit DRAM word size. When the state machine decodes a SKIP command, the state machine provides two SKIP instructions to the command controller. The RUNLENGTH command has two different run lengths. The two run lengths are passed to the command controller as separate RUNLENGTH instructions. In the first instruction fetch, the first run length is passed, and the state machine selects the DecodeD shift value for the barrel shift. In the second instruction fetch from the command controller another RUNLENGTH instruction is generated and the respective shift value is decoded. This is achieved by forcing DecodeC to output a second RUNLENGTH instruction and the respective shift value is decoded. For PASSJTHROUGH mode, the PASS_THROUGH command is issued every time the command controller requests a new command. It does this until all the un-compressed bits have been processed.
24.3.7 Command Controller Sub-block Description
The Command Controller interprets the command from the Stream Decoder and provides the line fill unit with a limit address and color to fill the SFU Next Line Buffer. It provides the next edge unit with a starting address to look for the next edge and is responsible for detecting the end of line and generating the eobjoc signal that is passed to the line fill unit.
A dataflow block diagram of the command controller is shown in Figure 150. Note that data names such as aO and b1p are taken from [22], and they denote the reference or starting changing element on the coding line and the first changing element on the reference line to the right of aO and of the opposite color to aO respectively.
24.3.7.1 State machine
The following is an explanation of all the states that the state machine utilizes. i START This is the state that the Command Controller enters when a hard or soft reset occurs or when Go has been de-asserted. This state cannot be left until the reset has been removed, Go has been asserted and the NEU (Next Edge Unit), the SD (Stream Decoder) and the SFU are ready. ii AWAITJBUFFER
The NEU contains a buffer memory for the data it receives from the SFU. When the command controller enters this state the NEU detects this and starts buffering data, the command controller is able to leave this state when the state machine in the NEU has entered the NEUJ UNNING state. Once this occurs the command controller can proceed to the PARSE state. iii PAUSEjCC
During the decode of a line it is possible for the FIFO in the stream decoder to get starved of data if the DRAM is not able to supply replacement data fast enough. Additionally the SFU can also stall mid-line due to band processing latency. If either of these cases occurs the LBD needs to pause until the stream decoder gets more of the compressed data stream from the DRAM or the
SFU can receive or deliver new frames. All of the remaining states check if sdvalid goes to zero
(this denotes a starving of the stream decoder) or if sfujbd_rdy goes to zero and that the LBD needs to pause. PAUSEjCC is the state that the command controller enters to achieve this and it does not leave this state until sdvalid and sfujbd_rdy are both asserted and the LBD can recommence decompressing. iv PARSE
Once the command controller enters the PARSE state it uses the information that is supplied by the stream decoder. The first clock cycle of the state sees the sdack signal getting asserted informing the stream decoder that the current register information is being used so that it can fetch the next command.
When in this state the command controller can receive one of four valid commands: a) Runlength or Horizontal For this command the value given as delta is an integer that denotes the number of bits of the current color that must be added to the current line.
Should the current line position, aO, be added to the delta and the result be greater than the final position of the current frame being processed by the Line Fill Unit (only 16 bits at a time), it is necessary for the command controller to wait for the Line Fill Unit (LFU) to process up to that point. The command controller changes into the WAITjFORj UNLENGTH state while this occurs.
When the current line position, aO, and the delta together equal or exceed the LINE .ENGTH, which is programmed during initialisation, then this denotes that it is the end of the current line. The command controller signals this to the rest of the LBD and then returns to the START state. b) Vertical
When this command is received, it tells the command controller that, in the previous line, it needs to find a change from the current color to opposite of the current color, i.e. if the current color is white it looks from the current position in the previous line for the next time where there is a change in color from white to black. It is important to note that if a black to white change occurs first it is ignored.
Once this edge has been detected, the delta will denote which of the vertical commands to use, refer to Table . The delta will denote where the changing element in the current line is relative to the changing element on the previous line, for a Vertical(2) the new changing element position in the current line will correspond to the two bits extra from changing element position in the previous line.
Should the next edge not be detected in the current frame under review in the NEU, then the command controller enters the WAIT_FOR_NE state and waits there until the next edge is found. c) Skip A skip follow the same functionality as to Vertical(0) commands but the color in the current line is not changed as it is been filled out. The stream decoder supplies what looks like two separate skip commands that the command controller treats the same a two Vertical(0) commands and has been coded not to change the current color in this case. d) Pass Through When in pass through mode the stream decoder supplies one bit per clock cycle that is uses to construct the current frame. Once pass through mode is completed, which is controlled in the stream decoder, the LBD can recommence normal decompression again. The current color after pass through mode is the same color as the last bit in un-compressed data stream. Pass through mode does not need an extra state in the command controller as each pass through command received from the stream decoder can always be processed in one clock cycle. v WAIT_FOR_RUNLENGTH
As some RUNLENGTH's can carry over more than one 16-bit frame, this means that the Line Fill Unit needs longer than one clock cycle to write out all the bits represented by the RUNLENGTH. After the first clock cycle the command controller enters into the WAIT_FOR_RUNLENGTH state until all the RUNLENGTH data has been consumed. Once finished and provided it is not the end of the line the command controller will return to the PARSE state. vi WAITJFORJSIE
Similar to the RUNLENGTH commands the vertical commands can sometimes not find an edge in the current 16-bit frame. After the first clock cycle the command controller enters the WAITJFORJNE state and remains here until the edge is detected. Provided it is not the end of the line the command controller will return to the PARSE state. vii FINISH JINE
At the end of a line the command controller needs to hold its data for the SFU before going back to the START state. Command controller remains in the FINISHJ.INE state for one clock cycle to achieve this.
24.3.8 Next Edge Unit Sub-block Description
The Next Edge Unit (NEU) is responsible for detecting color changes, or edges, in the previous line based on the current address and color supplied by the Command Controller. The NEU is the interface to the SFU and it buffers the previous line for detecting an edge. For an edge detect operation the Command Controller supplies the current address, this typically was the location of the last edge, but it could also be the end of a run length. With the current address a color is also supplied and using these two values the NEU will search the previous line for the next edge. If an edge is found the NEU returns this location to the Command Controller as the next address in the current line and it sets a valid bit to tell the Command Controller that the edge has been detected. The Line Fill Unit uses this result to construct the current line. The NEU operates on 16-bit words and it is possible that there is no edge in the current 16 bits in the NEU. In this case the NEU will request more words from the SFU and will keep searching for an edge. It will continue doing this until it finds an edge or reaches the end of the previous line, which is based on the LINEJ.ENGTH. A dataflow block diagram of the Next Edge unit is shown in Figure 152.
24.3.8.1 NEU Buffer
The algorithm being employed for decompression is based on the whole previous line and is not delineated during the line. However the Next Edge Unit, NEU, can only receive 16 bits at a time from the SFU. This presents a problem for vertical commands if the edge occurs in the successive frame, but refers to a changing element in the current frame.
To accommodate this the NEU works on two frames at the same time, the current frame and the first 3 bits from the successive frame. This allows for the information that is needed from the previous line to construct the current frame of the current line. In addition to this buffering there is also buffering right after the data is received from the SFU as the SFU output is not registered. The current implementation of the SFU takes two clock cycles from when a request for a current line is received until it is returned and registered. However when NEU requests a new frame it needs it on the next clock cycle to maintain a decoded rate of 2 bits per clock cycle. A more detailed diagram of the buffer in the NEU is shown in Figure 153. The output of the buffer are two 16-bit vectors, use orevjineja and use revjinejo, that are used to detect an edge that is relevant to the current line being put together in the Line Fill Unit.
24.3.8.2 NEU Edge Detect
The NEU Edge Detect block takes the two 16 bit vectors supplied by the buffer and based on the current line position in the current line, aO, and the current color, sdjoolor, it will detect if there is an edge relevant to the current frame. If the edge is found it supplies the current line position, b1p, to the command controller and the line fill unit. The configuration of the edge detect is shown in Figure 154.
The two vectors from the buffer, use orevjineja and usejprevjinejo, pass into two sub-blocks, transitionjwtob and transitionjotow. transitionjwtob detects if any white to black transitions occur in the 19 bit vector supplied and outputs a 19-bit vector displaying the transitions, transitionjwtob is functionally the same as transitionjotow, but it detects white to black transitions. The two 19-bit vectors produced enter into a multiplexer and the output of the multiplexer is controlled by color jneu. color jneu is the current edge transition color that the edge detect is searching for.
The output of the multiplexer is masked against a 19-bit vector, the mask is comprised of three parts concatenated together: decodejojaxt, decodejo and FlRSTJFLUjWRITE. The output of transitionjwtob (and it complement transitionjotow) are all the transitions in the 16 bit word that is under review. The decodejo is a mask generated from aO. In bit-wise terms all the bits above and including aO are 1 's and all bits below aO are O's. When they are gated together it means that all the transitions below aO are ignored and the first transition after aO is picked out as the next edge.
The decodej block decodes the 4 Isb of the current address (aO) into 16-bit mask bits that control which of the data bits are examined. Table 158 shows the truth table for this block. Table 158. Decode b truth table
Figure imgf000407_0001
For cases when there is a negative vertical command from the stream decoder it is possible that the edge is in the three lower significant bits of the next frame. The decodejojaxt block supplies the mask so that the necessary bits can be used by the NEU to detect an edge if present, Table 159 shows the truth table for this block. Table 159. Decode b ext truth table
Figure imgf000408_0001
FIRST jrLUjWRITE is only used in the first frame of the current line. 2.2.5 a) in [22] refers to
"Processing the first picture element", in which it states that "The first starting picture element, aO, on each coding line is imaginarily set at a position just before the first picture element, and is regarded as a white picture element", transitionjwtob and transitionjotow are set up produce this case for every single frame. However it is only used by the NEU if it is not masked out. This occurs when FIRST _FLUjWRITE is '1' which is only asserted at the beginning of a line.
2.2.5 b) in [22] covers the case of "Processing the last picture element", this case states that "The coding of the coding line continues until the position of the imaginary changing element situated after the last actual element is coded". This means that no matter what the current color is the
NEU needs to always find an edge at the end of a line. This feature is used with negative vertical commands.
The vector, endjrame, is a "one-hot" vector that is asserted during the last frame. It asserts a bit in the end of line position, as determined by LineLength, and this simulates an edge in this location which is ORed with the transition's vector. The output of this, masked jdata, is sent into the encodeB_one_hot block
24.3.8.3 Encode_b_one_hot
The encode_b_one_hot block is the first stage of a two stage process that encodes the data to determine the address of the 0 to 1 transition. Table 160 lists the truth table outlining the functionally required by this block. Table 160. Encode b one hot Truth Table
Figure imgf000408_0002
Figure imgf000409_0001
The output of encodejojonejiot is a "one-hot" vector that will denote where that edge transition is located. In cases of multiple edges, only the first one will be picked.
24.3.8.4 Encode jo j4bit
Encode_b_4bit is the second stage of the two stage process that encodes the data to determine the address of the 0 to 1 transition.
Encode_b_4bit receives the "one-hot" vector from encode _b jonejnot and determines the bit location that is asserted. If there is none present this means that there was no edge present in this frame. If there is a bit asserted the bit location in the vector is converted to a number, for example if bit 0 is asserted then the number is one, if bit one is asserted then the number is one, etc. The delta supplied to the NEU determines what vertical command is being processed. The formula that is implemented to return b1p to the command controller is: for V(n) blp = x + n moduluslδ where x is the number that was extracted from the "one-hot" vector and n is the vertical command.
24.3.8.δ State machine
The following is an explanation of all the states that the NEU state machine utilizes. i NEU START
This is the state that NEU enters when a hard or soft reset occurs or when Go has been de- asserted. This state can not left until the reset has been removed, Go has been asserted and it detects that the command controller has entered it's AWAITJBUFF state. When this occurs the NEU enters the NEU FILL BUFF state. ii NEUJ7ILLJBUFF
Before any compressed data can be decoded the NEU needs to fill up its buffer with new data from the SFU. The rest of the LBD waits while the NEU retrieves the first four frames from the previous line. Once completed it enters the NEUJHOLD state. iii NEUJIOLD
The NEU waits in this state for one clock cycle while data requested from the SFU on the last access returns. iv NEUJOJNNING
NEUjRUNNING controls the requesting of data from the SFU for the remainder of the line by pulsing Ibdjsfu oladvword when the LBD needs a new frame from the SFU. When the NEU has received all the word it needs for the current line, as denoted by the LineLength, the NEU enters the NEUjΞMPTY state. v NEUjΕMPTY
NEU waits in this state while the rest of the LBD finishes outputting the completed line to the SFU. The NEU leaves this state when Go gets deasserted. This occurs when the endjofjine signal is detected from the LBD.
24.3.9 Line Fill Unit sub-block description
The Line Fill Unit, LFU, is responsible for filling the next line buffer in the SFU. The SFU receives the data in blocks of sixteen bits. The LFU uses the color and aO provided by the Command Controller and when it has put together a complete 16-bit frame, it is written out to the SFU. The
LBD signals to the SFU that the data is valid by strobing the Ibdjsfujwdatavalid signal.
When the LFU is at the end of the line for the current line data it strobes Ibdjsfujadvline to indicate to the SFU that the end of the line has occurred.
A dataflow block diagram of the line fill unit is shown in Figure 154. The dataflow above has the following blocks:
24.3.9.1 State Machine
The following is an explanation of all the states that the LFU state machine utilizes. i LFU START
This is the state that the LFU enters when a hard or soft reset occurs or when Go has been de- asserted. This state can not left until the reset has been removed, Go has been asserted and it detects that aO is no longer zero, this only occurs once the command controller start processing data from the Next Edge Unit, NEU. ii LFUjNEWJREG
LFUjNEWJREG is only entered at the beginning of a new frame. It can remain in this state on subsequent cycles if a whole frame is completed in one clock cycle. If the frame is completed the
LFU will output the data to the SFU with the write enable signal. However if a frame is not completed in one clock cycle the state machine will change to the LFUjCOMPLETEJREG state to complete the remainder of the frame. LFUjNEWJREG handles all the Ibdjsfujwdata writes and asserts Ibdjsfujwdatavalid as necessary. iii LFU COMPLETE REG LFU COMPLETEJREG fills out all the remaining parts of the frame that were not completed in the first clock cycle. The command controller supplies the aO value and the color and the state machine uses these to derive the limit and color jsel_16bitjf which the linejilljdata block needs to construct a frame. Limit is the four lower significant bits of aO and color jsel lθbitjf is a 16-bit wide mask of sdjoolor. The state machine also maintains a check on the upper eleven bits of aO. If these increment from one clock cycle to the next that means that a frame is completed and the data can be written to the SFU. In the case of the LineLength being reached the Line Fill Unit fills out the remaining part of the frame with the color of the last bit in the line that was decoded. 24.3.9.2 linejilljdata line illjdata takes the limit value and the color jseljl βbitjf values and constructs the current frame that the command controller and the next edge unit are decoding. The following pseudo code illustrate the logic followed by the line illjdata. workjsfu jwdata is exported by the LBD to the SFU as Ibdjsfu jwdata. if (lfujstate == LFUJ3TA T) OR (lfujstate Fϋ_NE _REG) then
Figure imgf000411_0001
= color_sel_16bit_lf else work jsfu jwdata [ ( 15 - limit) downto limit] = color _sel_16bit_lf [ (15 - limit) downto limit]
25 Spot FIFO Unit (SFU)
25.1 OVERVIEW
The Spot FIFO Unit (SFU) provides the means by which data is transferred between the LBD and the HCU. By abstracting the buffering mechanism and controls from both units, the interface is clean between the data user and the data generator. The amount of buffering can also be increased or decreased without affecting either the LBD or HCU. Scaling of data is performed in the horizontal and vertical directions by the SFU so that the output to the HCU matches the printer resolution. Non-integer scaling is supported in both the horizontal and vertical directions. Typically, the scale factor will be the same in both directions but may be programmed to be different.
25.2 MAIN FEATURES OF THE SFU
The SFU replaces the Spot Line Buffer Interface (SLBI) in PEC1. The spot line store is now located in DRAM. The SFU outputs the previous line to the LBD, stores the next line produced by the LBD and outputs the HCU read line. Each interface to DRAM is via a feeder FIFO. The LBD interfaces to the SFU with a data width of 16 bits. The SFU interfaces to the HCU with a data width of 1 bit. Since the DRAM word width is 256-bits but the LBD line length is a multiple of 16 bits, a capability to flush the last multiples of 16-bits at the end of a line into a 256-bit DRAM word size is required. Therefore, SFU reads of DRAM words at the end of a line, which do not fill the DRAM word, will already be padded.
A signal sfujbd_rdy to the LBD indicates that the SFU is available for writing and reading. For the first LBD line after SFU Go has been asserted, previous line data is not supplied until after the first Ibdjsfujadvline strobe from the LBD (zero data is supplied instead), and sfu Jbdjrdy to the LBD indicates that the SFU is available for writing. Ibdjsfujadvline tells the SFU to advance to the next line. Ibα jsfu oladvword tells the SFU to supply the next 16-bits of previous line data. Until the number of Ibdjsfu ladvword strobes received is equivalent to the LBD line length, sfu Jbdjrdy indicates that the SFU is available for both reading and writing. Thereafter it indicates the SFU is available for writing. The LBD should not generate Ibdjsfujoladvword or Ibdjsfujadvline strobes until sfujbd_rdy is asserted.
A signal sfujncujavail indicates that the SFU has data to supply to the HCU. Another signal hcujsfujadvdot, from the HCU, tells the SFU to supply the next dot. The HCU should not generate the hcujsfujadvdot signal until sfujicujavail is true. The HCU can therefore stall waiting for the sfujicujavail signal.
X and Y non-integer scaling of the bi-level dot data is performed in the SFU. At 1600 dpi the SFU requires 1 dot per cycle for all DRAM channels, 3 dots per cycle in total (read + read + write). Therefore the SFU requires two 256 bit read DRAM access per 256 cycles, 1 write access every 256 cycles. A single DIU read interface will be shared for reading the current and previous lines from DRAM.
25.3 Bl-LEVEL DRAM MEMORY BUFFER BETWEEN LBD, SFU AND HCU
Figure 158 shows a bi-level buffer store in DRAM. Figure 158 (a) shows the LBD previous line address reading after the HCU read line address in DRAM. Figure 158 (b) shows the LBD previous line address reading before the HCU read line address in DRAM. Although the LBD and HCU read and write complete lines of data, the bi-level DRAM buffer is not line based. The buffering between the LBD, SFU and HCU is a FIFO of programmable size. The only line based concept is that the line the HCU is currently reading cannot be over-written because it may need to be re-read for scaling purposes. The SFU interfaces to DRAM via three FIFOs: a. The HCUReadLineFIFO which supplies dot data to the HCU. b. The LBDNextLineFIFO which writes decompressed bi-level data from the LBD. c. The LBDPrevLineFIFO which reads previous decompressed bi-level data for the LBD. There are four address pointers used to manage the bi-level DRAM buffer: a. hcu_readline_rdjadr[21:δ] is the read address in DRAM for the HCUReadLineFIFO. b. hcujstartreadlinejadr[21:δ] is the start address in DRAM for the current line being read by the HCUReadLineFIFO. c. Ibd_nextline_wr_adr[21 :δ] is the write address in DRAM for the LBDNextLineFIFO. d.
Figure imgf000412_0001
is the read address in DRAM for the LBDPrevLineFIFO. The address pointers must obey certain rules which indicate whether they are valid: a. hcujreadlinejrdjadr is only valid if it is reading earlier in the line than Ibdjiextlinejwrjadr is writing i.e. the fifo is not empty b. The SFU (Ibdjnextlinejwrjadr) cannot overwrite the current line that the HCU is reading from (hcujstartreadlinejadr) i.e. the fifo is not full, when compared with the HCU read line pointer c. The LBDNextLineFIFO (Ibdjnextlinejwrjadr) must be writing earlier in the line than LBDPrevLineFIFO (Ibd orevlinejrdjadr) is reading and must not overwrite the current line that the HCU is reading from i.e. the fifo is not full when compared to the PrevLineFifo read pointer d. The LBDPrevLineFIFO (Ibdjorevlinejrdjadr) can read right up to the address that LBDNextLineFIFO (Ibdjnextlinejwrjadr) is writing i.e the fifo is not empty. e. At startup i.e. when sfujgo is asserted, the pointers are reset to start_sfujadr[21:δj. f. The address pointers can wrap around the SFU bi-level store area in DRAM. As a guideline, the typical FIFO size should be a minimum of 2 lines stored in DRAM, nominally 3 lines, up to a programmable number of lines. A larger buffer allows lines to be decompressed in advance. This can be useful for absorbing local complexities in compressed bi-level images. 25.4 DRAM ACCESS REQUIREMENTS
The SFU has 1 read interface to the DIU and 1 write interface. The read interface is shared between the previous and current line read FIFOs.
The spot line store requires 5.1 Kbytes of DRAM to store 3 A4 lines. The SFU will read and write the spot line store in single 256-bit DRAM accesses. The SFU will need 256-bit double buffers for each of its previous, current and next line interfaces.
The SFU's DIU bandwidth requirements are summarized in Table 161. Table 161. DRAM bandwidth requirements
Figure imgf000413_0001
1 : Two separate reads of 1 bit/cycle. 2: Write at 1 bit/cycle.
25.5 SCALING
Scaling of bi-level data is performed in both the horizontal and vertical directions by the SFU so that the output to the HCU matches the printer resolution. The SFU supports non-integer scaling with the scale factor represented by a numerator and a denominator. Only scaling up of the bi- level data is allowed, i.e. the numerator should be greater than or equal to the denominator.
Scaling is implemented using a counter as described in the pseudocode below. An advance pulse is generated to move to the next dot (x-scaling) or line (y-scaling). if (count + denominator >= numerator) then count = (count + denominator) - numerator advance = 1 else count = count + denominator advance = 0
X scaling controls whether the SFU supplies the next dot or a copy of the current dot when the HCU asserts hcujsfujadvdot. The SFU counts the number of hcujsfujadvdot signals from the HCU. When the SFU has supplied an entire HCU line of data, the SFU will either re-read the current line from DRAM or advance to the next line of HCU read data depending on the programmed Y scale factor.
An example of scaling for numerator = 7 and denominator = 3 is given in Table 162. The signal advance if asserted causes the next input dot to be output on the next cycle, otherwise the same input dot is output Table 162. Non-integer scaling example for scaleNum = 7, scaleDenom = 3
Figure imgf000414_0001
25.6 LEAD-IN AND LEAD-OUT CLIPPING
To account for the case where there may be two SoPEC devices, each generating its own portion of a dot-line, the first dot in a line may not be replicated the total scale-factor number of times by an individual SoPEC. The dot will ultimately be scaled-up correctly with both devices doing part of the scaling, one on its lead-out and the other on its lead in. Scaled up dots on the lead-out, i.e. which go beyond the HCU linelength, will be ignored. Scaling on the lead-in, i.e. of the first valid dot in the line, is controlled by setting the XstartCount register.
At the start of each line count in the pseudo-code above is set to XstartCount. If there is no lead- in, XstartCount is set to 0 i.e. the first value of count in Table . If there is lead-in then XstartCount needs to be set to the appropriate value of count in the sequence above.
25.7 INTERFACES BETWEEN LDB, SFU AND HCU 25.7.1 LDB-SFU Interfaces The LBD has two interfaces to the SFU. The LBD writes the next line to the SFU and reads the previous line from the SFU. 2δ.7.1.1 LBDNextLineFIFO Interface
The LBDNextLineFIFO interface from the LBD to the SFU comprises the following signals: • Ibdjsfujwdata, 16-bit write data.
• Ibdjsfujwdatavalid, write data valid.
• Ibdjsfujadvline, signal indicating LDB has advanced to the next line.
The LBD should not write to the SFU until sfu Jbdjrdy is true. The LBD can therefore stall waiting for the sfu Jbdjrdy signal. 2δ.7.1.2 LBDPrevLineFIFO Interface
The LBDPrevLineFIFO interface from the SFU to the LBD comprises the following signals:
• sf Jbdjpldata, 16-bit data.
The previous line read buffer interface from the LBD to the SDU comprises the following signals:
• Ibdjsfujoladvword, signal indicating to the SFU to supply the next 16-bit word. • Ibdjsfujadvline, signal indicating LDB has advanced to the next line.
Previous line data is not supplied until after the first Ibdjsfujadvline strobe from the LBD (zero data is supplied instead). The LBD should not assert Ibdjsfujoladvword unless sfujbd_rdy is asserted.
2δ.7.1.3 Common Control Signals sfu Jbdjrdy indicates to the LBD that the SFU is available for writing. After the first
Ibdjsfujadvline and before the number of Ibdjsfujoladvword strobes received is equivalent to the
LBD line length, sfujbd_rdy indicates that the SFU is available for both reading and writing.
Thereafter it indicates the SFU is available for writing.
The LBD should not generate Ibdjsfujoladvword or Ibdjsfujadvline strobes until sfujbd_rdy is asserted.
25.7.2 SFU-HCU Current Line FIFO Interface
The interface from the SFU to the HCU comprises the following signals:
• sfujhcujsdata, 1 -bit data.
• sfuj cujavail, data valid signal indicating that there is data available in the SFU HCUReadLineFIFO.
The interface from HCU to SFU comprises the following signals:
• hcujsfujadvdot, indicating to the SFU to supply the next dot.
The HCU should not generate the hcujsfujadvdot signal until sfujhcujavail is true. The HCU can therefore stall waiting for the sfuj cujavail signal. 25.8 IMPLEMENTATION 25.8.1 Definitions of IO Table 163. SFU Port List
Figure imgf000415_0001
Figure imgf000416_0001
Figure imgf000417_0002
25.8.2 Configuration Registers Table 164. SFU Configuration Registers
Figure imgf000417_0001
Figure imgf000418_0001
Figure imgf000419_0001
25.8.3 SFU sub-block partition The SFU contains a number of sub-blocks:
Figure imgf000420_0001
The various FIFO sub-blocks have no knowledge of where in DRAM their read or write data is stored. In this sense the FIFO sub-blocks are completely de-coupled from the bi-level DRAM buffer. All DRAM address management is centralised in the DIU Interface and Address Generation sub-block. DRAM access is pre-emptive i.e. after a FIFO unit has made an access then as soon as the FIFO has space to read or data to write a DIU access will be requested immediately. This ensures there are no unnecessary stalls introduced e.g. at the end of an LBD or HCU line.
There now follows a description of the SFU sub-blocks.
25.8.4 PCU Interface Sub-block
The PCU interface sub-block provides for the CPU to access SFU specific registers by reading or writing to the SFU address space. 25.8.5 LBDPrevLineFIFO sub-block Table 165. LBDPrevLineFIFO Additional IO Definitions
Figure imgf000420_0002
Figure imgf000421_0001
2δ.8.5.1 General Description
The LBDPrevLineFIFO sub-block comprises a double 256-bit buffer between the LBD and the DIU Interface and Address Generator sub-block. The FIFO is implemented as 8 times 64-bit words. The FIFO is written by the DIU Interface and Address Generator sub-block and read by the LBD.
Whenever 4 locations in the FIFO are free the FIFO will request 256-bits of data from the DIU Interface and Address Generation sub-block by asserting plfjdiurreq. A signal plfjdiurack indicates that the request has been accepted and plfjdiurreq should be de-asserted. The data is written to the FIFO as 64-bits on plfjdiurdata[63:0] over 4 clock cycles. The signal plfjdiurvalid indicates that the data returned on plf_diurdata[63:0] is valid, plfjdiurvalid is used to generate the FIFO write enable, writejan, and to increment the FIFO write address, write jadr[2:0j. If the LBDPrevLineFIFO still has 256-bits free then plfjdiurreq should be asserted again. The DIU Interface and Address Generation sub-block handles all address pointer management and DIU interfacing and decides whether to acknowledge a request for data from the FIFO. The state diagram of the LBDPrevLineFIFO DIU Interface is shown in Figure 163. If sfujgo is deasserted then the state-machine returns to its idle state. The LBD reads 16-bit wide data from the LBDPrevLineFIFO on sfujbdjpldata[1δ:0]. Ibdjsfujoladvword from the LBD tells the LBDPrevLineFIFO to supply the next 16-bit word. The FIFO control logic generates a signal wordjselect which selects the next 16-bits of the 64-bit FIFO word to output on sfujbdjpldata[1δ:0]. When the entire current 64-bit FIFO word has been read by the LBD Ibdjsfujoladvword will cause the next word to be popped from the FIFO. Previous line data is not supplied until after the first Ibdjsfujadvline strobe from the LBD after sfujgo is asserted (zero data is supplied instead). Until the first Ibdjsfujadvline strobe after sfujgo Ibdjsfujoladvword strobes are ignored.
The LBDPrevLineFIFO control logic uses a counter, pl_count[7:0], to counts the number of DRAM read accesses for the line. When the pljoount counter is equal to the LBDDRAMWords, a complete line of data has been read by the LBD the plfjrdy is set high, and the counter is reset. It remains high until the next Ibdjsfujadvline strobe from the LBD. On receipt of the Ibdjsfujadvline strobe the remaining data in the 256-bit word in the FIFO is ignored, and the FIFO read jadr is rounded up if required.
The LBDPrevLineFIFO generates a signal plfjrdy to indicate that it has data available. Until the first Ibdjsfujadvline for a band has been received and after the number of DRAM reads for a line is equal to LBDDRAMWords, plfjrdy is always asserted. During the second and subsequent lines plfjrdy is deasserted whenever the LBDPrevLineFIFO has one word left. The last 256-bit word for a line read from DRAM can contain extra padding which should not be output to the LBD. This is because the number of 16-bit words per line may not fit exactly into a 256-bit DRAM word. When the count of the number of DRAM reads for a line is equal to Ibdjdramjwords the LBDPrevLineFIFO must adjust the FIFO write address to point to the next 256-bit word boundary in the FIFO for the next line of data. At the end of a line the read address must round up the nearest 256-bit word boundary and ignore the remaining 16-bit words. This can be achieved by considering the FIFO read address, readjadr[2:0], will require 3 bits to address 8 locations of 64-bits. The next 256-bit aligned address is calculated by inverting the MSB of the read jadr and setting all other bits to 0. if (read jadr [1 : 0] /= bOO AND Ibdjsfujadvline == l) then readjad [1 : 0] = bOO read_adr [2] = -readjadr [2] 25.8.6 LBDNextLineFIFO sub-block Table 166. LBDNextLineFIFO Additional IO Definition
Figure imgf000422_0001
2δ.8.6.1 General Description The LBDNextLineFIFO sub-block comprises a double 256-bit buffer between the LBD and the DIU Interface and Address Generator sub-block. The FIFO is implemented as 8 times 64-bit words. The FIFO is written by the LBD and read by the DIU Interface and Address Generator. Whenever 4 locations in the FIFO are full the FIFO will request 256-bits of data to be written to the DIU Interface and Address Generator by asserting nlfjdiuwreq. A signal nlfjdiuwack indicates that the request has been accepted and nlfjdiuwreq should be de-asserted. On receipt of nlfjdiuwack, the data is sent to the DIU Interface as 64-bits on nlf_diuwdata[63:0] over 4 clock cycles. The signal nlfjdiuwvalid indicates that the data on nlf_diuwdata[63:0] is valid. nlfjdiuwvalid should be asserted with the smallest latency after nlfjdiuwack. If the LBDNextLineFIFO still has 256-bits more to transfer then nlfjdiuwreq should be asserted again. The state diagram of the LBDNextLineFIFO DIU Interface is shown in Figure 166. If sfujgo is deasserted then the state-machine returns to its Idle state.
The signal nlfjrdy indicates that the LBDNextLineFIFO has space for writing by the LBD. The LBD writes 16-bit wide data supplied on lbdjsfujwdata[1δ:0]. Ibdjsfujwvalid indicates that the data is valid.
The LBDNextLineFIFO control logic counts the number of Ibdjsfujwvalid signals and is used to correctly address into the next line FIFO. The Ibdjsfujwvalid counter is rounded up to the nearest 256-bit word when a Ibdjsfujadvline strobe is received from the LBD. Any data remaining in the FIFO is flushed to DRAM with padding being added to fill a complete 256-bit word. 25.8.7 sfu jbd jdy Generation
The signal sfujbd_rdy is generated by ANDing plfjrdy from the LBDPrevLineFIFO and nlfjrdy from the LBDNextLineFIFO. sfujbdjrdy indicates to the LBD that the SFU is available for writing i.e. there is space available in the LBDNextLineFIFO. After the first Ibdjsfujadvline and before the number of Ibdjsfujoladvword strobes received is equivalent to the line length, sfujbd_rdy indicates that the SFU is available for both reading, i.e. there is data in the LBDPrevLineFIFO, and writing. Thereafter it indicates the SFU is available for writing. 25.8.8 LBD-SFU Interfaces Timing Waveform Description In Figure 167 and Figure 168, shows the timing of the data valid and ready signals between the SFU and LBD. A diagram and pseudocode is given for both read and write interfaces between the SFU and LBD.
2δ.8.8.1 LBD-SFU write interface timing The main points to note from Figure 167 are:
• In clock cycle 1 sfujbdjrdy detects that it has only space to receive 2 more 16 bit words from the LBD after the current clock cycle.
• The data on Ibdjsfujwdata is valid and this is indicated by Ibdjsfujwdatavalid being asserted.
• In clock cycle 2 sfujbdjrdy is deasserted however the LBD can not react to this signal until clock cycle 3. So in clock cycle 3 there is also valid data from the LBD which consumes the last available location available in the FIFO in the SFU (FIFO free level is zero). • In clock cycle 4 and 5 the FIFO is read and 2 words become free in the FIFO.
• In cycle 4 the SFU determines that the FIFO has more room and asserts the ready signal on the next cycle.
• The LBD has entered a pause mode and waits for sfujbd_rdy to be asserted again, in cycle 5 the LBD sees the asserted ready signal and responds by writing one unit into the FIFO, in cycle 6.
• The SFU detects it has 2 spaces left in the FIFO and the current cycle is an active write (same as in cycle 1 ), and deasserts the ready on the next cycle.
• In cycle 7 the LBD did not have data to write into the FIFO, and so the FIFO remains with one space left
• The SFU toggles the ready signal every second cycle, this allows the LBD to write one unit at a time to the FIFO.
• In cycle 9 the LBD responds to the single ready pulse by writing into the FIFO and consuming the last remaining unit free. The write interface pseudocode for generating the ready is. // ready generation pseudocode if ( fifojfree_level > 2 ) then nlfjrdy = 1 elsif ( fifo_free_level == 2 ) then if (Ibdjsfujwdatavalid == D then nlfjrdy = 0 else nlfjrdy = 1 elsif (f ifo_free_level == 1) then if (Ibdjsfujwdatavalid == D then nlfjrdy = 0 else nlfjrdy = NOT (sfu_lbd_rdy) else nlfjrdy = 0 sfu_lbd_rdy = (nlfjrdy AND plfjrdy) 2δ.8.8.2 SFU-LBD read interface
The read interface is similar to the write interface except that read data (sfujbdjoldata) takes an extra cycle to respond to the data advance signal (Ibdjsfujoladvword signal). It is not possible to read the FIFO totally empty during the processing of a line, one word must always remain in the FIFO. At the end of a line the fifo can be read to totally empty. This functionality is controlled by the SFU with the generation of the plfjrdy signal. There is an apparent corner case on the read side which should be highlighted. On examination this turns out to not be an issue. Scenario 1: sfu Jbdjrdy will go low when there is still is still 2 pieces of data in the FIFO. If there is a Ibdjsfujoladvword pulse in the next cycle the data will appear on sfujbdjpldata[1δ:0]. Scenario 2: sfujbdj-dy will go low when there is still 2 pieces of data in the FIFO. If there is no Ibdjsfujoladvword pulse in the next cycle and it is not the end of the page then the SFU will read the data for the next line from DRAM and the read FIFO will fill more, sfujbd Jdy will assert again, and so the data will appear on sfujbdjpldata[1δ:0]. If it happens that the next line of data is not available yet the sfujbdjoldata bus will go invalid until the next lines data is available. The LBD does not sample the sfujbdjoldata bus at this time (i.e. after the end of a line) and it is safe to have invalid data on the bus. Scenario 3: sfu Jbd_rdy will go low when there is still 2 pieces of data in the FIFO. If there is no Ibdjsfujoladvword pulse in the next cycle and it is the end of the page then the SFU will do no more reads from DRAM, sfujbdjrdy will remain de-asserted, and the data will not be read out from the FIFO. However last line of data on the page is not needed for decoding in the LBD and will not be read by the LBD. So scenario 3 will never apply. The pseudocode for the read FIFO ready generation // ready generation pseudocode if (pljΞount == lbd_dram_words ) then plfjrdy = 1 elsif (fifojEill_level > 3 ) then plfjrdy = 1 elsif (f ifojEill_level == 3 ) then if (Ibdjsfujoladvword == D then plfjrdy = 0 else plfjrdy = 1 elsif (fifo_fill_level == 2 ) then if (Ibdjsfujoladvword == D then plfjrdy = 0 else plfjrdy = NOT (sfu_lbd_rdy) else plfjrdy = 0 sfu_lbdjrdy = (plfjrdy AND nlfjrdy)
25.8.9 HCUReadLineFIFO sub-block Table 167. HCUReadLineFIFO Additional IO Definition
Figure imgf000426_0001
2δ.8.9.1 General Description
The HCUReadLineFIFO sub-block comprises a double 256-bit buffer between the HCU and the DIU Interface and Address Generator sub-block. The FIFO is implemented as 8 times 64-bit words. The FIFO is written by the DIU Interface and Address Generator sub-block and read by the HCU.
The DIU Interface and Address Generation (DAG) sub-block interface of the HCUReadLineFIFO is identical to the LBDPrevLineFIFO DIU interface.
Whenever 4 locations in the FIFO are free the FIFO will request 256-bits of data from the DAG sub-block by asserting hrfjdiurreq. A signal hrfjdiurack indicates that the request has been accepted and hrfjdiurreq should be de-asserted.
The data is written to the FIFO as 64-bits on hrfjdiurdata[63:0] over 4 clock cycles. The signal hrfjdiurvalid indicates that the data returned on hrf_diurdata[63:0] is valid, hrfjdiurvalid is used to generate the FIFO write enable, write jan, and to increment the FIFO write address, write jadr[2:0J. If the HCUReadLineFIFO still has 256-bits free then hrfjdiurreq should be asserted again.
The HCUReadLineFIFO generates a signal sfujhcujavail to indicate that it has data available for the HCU. The HCU reads single-bit data supplied on sfujhcujsdata. The FIFO control logic generates a signal bitjselect which selects the next bit of the 64-bit FIFO word to output on sfujhcujsdata. The signal hcujsfujadvdot tells the HCUReadLineFIFO to supply the next dot (hrf_xadvance = 1 ) or the current dot (hrf_xadvance = 0) on sfujncujsdata according to the hrf_xadvance signal from the scaling control unit in the DAG sub-block. The HCU should not generate the hcujsfujadvdot signal until sfujhcujavail is true. The HCU can therefore stall waiting for the sfujncujavail signal.
When the entire current 64-bit FIFO word has been read by the HCU hcujsfujadvdot will cause the next word to be popped from the FIFO.
The last 256-bit word for a line read from DRAM and written into the HCUReadLineFIFO can contain dots or extra padding which should not be output to the HCU. A counter in the HCUReadLineFIFO, hcuadvdotjoount[1δ:0], counts the number of bcu_sfu_aαVcfof strobes received from the HCU. When the count equals hcujnum jdots[1 δ:0] the HCUReadLineFIFO must adjust the FIFO read address to point to the next 256-bit word boundary in the FIFO. This can be achieved by considering the FIFO read address, read_adr[2:0], will require 3 bits to address 8 locations of 64-bits. The next 256-bit aligned address is calculated by inverting the MSB of the readjadr and setting all other bits to 0.
If (hcuadvdotj^ount == hcu ium lots) then readjadr [1 : 0] = bOO read adr [2] = -read adr [2]
The DIU Interface and Address Generator sub-block scaling unit also needs to know when hcuadvdotjoount equals hcujnumjdots. This condition is exported from the HCUReadLineFIFO as the signal hrfjhcujandofline. When the hrfjhcujandofline is asserted the scaling unit will decide based on vertical scaling whether to go back to the start of the current line or go onto the next line.
2δ.8.9.2 DRAM Access Limitation
The SFU must output 1 bit/cycle to the HCU. Since HCUNumDots may not be a multiple of 256 bits the last 256-bit DRAM word on the line can contain extra zeros. In this case, the SFU may not be able to provide 1 bit/cycle to the HCU. This could lead to a stall by the SFU. This stall could then propagate if the margins being used by the HCU are not sufficient to hide it. The maximum stall can be estimated by the calculation: DRAM service period - X scale factor * dots used from last DRAM read for HCU line. 25.8.10 DIU Interface and Address Generator Sub-block Table 168. DIU Interface and Address Generator Additional IO Description
Figure imgf000427_0001
Figure imgf000428_0001
δ.8.10.1 General Description The DIU Interface and Address Generator (DAG) sub-block manages the bi-level buffer in DRAM.
It has a DIU Write Interface for the LBDNextLineFIFO and a DIU Read Interface shared between the HCUReadLineFIFO and LBDPrevLineFIFO.
All DRAM address management is centralised in the DAG. DRAM access is pre-emptive i.e. after a FIFO unit has made an access then as soon as the FIFO has space to read or data to write a
DIU access will be requested immediately. This ensures there are no unnecessary stalls introduced e.g. at the end of an LBD or HCU line.
The control logic for horizontal and vertical non-integer scaling logic is completely contained in the
DAG sub-block. The scaling control unit exports the hlfjxadvance signal to the HCUReadLineFIFO which indicates whether to replicate the current dot or supply the next dot for horizontal scaling.
25.8.10.2 DIU Write Interface
The LBDNextLineFIFO generates all the DIU write interface signals directly except for sfu jdiu jwadr[21 :δ] which is generated by the Address Generation logic The DIU request from the LBDNextLineFIFO will be negated if its respective address pointer in
DRAM is invalid i.e. nlfjadrvalid = 0. The implementation must ensure that no erroneous requests occur on sfu jdiu j req. 2δ.8.10.3 DIU Read Interface Both HCUReadLineFIFO and LBDPrevLineFIFO share the read interface. If both sources request simultaneously, then the arbitration logic implements a round-robin sharing of read accesses between the HCUReadLineFIFO and LBDPrevLineFIFO.
The DIU read request arbitration logic generates a signal, selectj rfplf, which indicates whether the DIU access is from the HCUReadLineFIFO or LBDPrevLineFIFO (0=HCUReadLineFIFO, 1 =
LBDPrevLineFIFO). Figure 171 shows select irfplf multiplexing the returned DIU acknowledge and read data to either the HCUReadLineFIFO or LBDPrevLineFIFO.
The DIU read request arbitration logic is shown in Figure 172. The arbitration logic will select a
DIU read request on hrfjdiurreq or plfjdiurreq and assert sfujdiujrreq which goes to the DIU.
The accompanying DIU read address is generated by the Address Generation Logic. The select signal selectjhrfplf will be set according to the arbitration winner (Q=HCUReadϋneFIFO, 1 =LBDPrevLineFIFO). sfujdiujrreq is cleared when the DIU acknowledges the request on diujsfujrack. Arbitration cannot take place again until the DIU state-machine of the arbitration winner is in the idle state, indicated by diujdle. This is necessary to ensure that the DIU read data is multiplexed back to the FIFO that requested it.
The DIU read requests from the HCUReadLineFIFO and LBDPrevLineFIFO will be negated if their respective addresses in DRAM are invalid, hrfjadrvalid = 0 or plfjadrvalid = 0. The implementation must ensure that no erroneous requests occur on sfujdiujrreq.
If the HCUReadLineFIFO and LBDPrevLineFIFO request simultaneously, then if the request is not following immediately another DIU read port access, the arbitration logic will choose the
HCUReadLineFIFO by default. If there are back to back requests to the DIU read port then the arbitration logic implements a round-robin sharing of read accesses between the
HCUReadLineFIFO and LBDPrevLineFIFO.
A pseudo-code description of the DIU read arbitration is given below.
// history is of type {none, hrf, plf}, hrf is HCUReadLineFIFO, plf is LBDPrevLineFIFO // initialisation on reset selectjhrfplf = 0 // default choose hrf history = none // no DIU read access immediately preceding
// state-machine is busy between asserting sfujdiujrreq and diu_idle = 1 // if DIU read requester state-machine is in idle state then de-assert busy if (diujdle == 1) then busy = 0
//if acknowledge received from DIU then de-assert DIU request if (diujsfujrack == 1) then //de-assert request in response to acknowledge sfujdiujrreq = 0
// if not busy then arbitrate between incoming requests // if request detected then assert busy if (busy == 0) then //if there is no request if (hrf_diurreq == 0) AND (plfjdiurreq == 0) then sfujdiujrreq = 0 history = none // else there is a request else { // assert busy and request DIU read access busy = 1 sfujdiujrreq = 1 // arbitrate in round-robin fashion between the requestors // if only HCUReadLineFIFO requesting choose HCUReadLineFIFO if (hrfjdiurreq == 1) AND (plfjdiurreq == 0) then history = hrf selectjhrfplf = 0 // if only LBDPrevLineFIFO requesting choose LBDPrevLineFIFO if (hrfjdiurreq == 0) AND (plfjdiurreq == 1) then history = plf selectjhrfplf = 1 //if both HCUReadLineFIFO and LBDPrevLineFIFO requesting if (hrfjdiurreq == 1) AND (plfjdiurreq == 1) then // no immediately preceding request choose HCUReadLineFIFO if (history == none) then history = hrf selectjhrfplf = 0 // if previous winner was HCUReadLineFIFO choose LBDPrevLineFIFO elsif (history == hrf) then history = plf selectjhrf lf = 1 // if previous winner was LBDPrevLineFIFO choose HCUReadLineFIFO elsif (history == plf) then history = hrf selectjhrfplf = 0 // end there is a request }
2δ.8.10.4 Address Generation Logic
The DIU interface generates the DRAM addresses of data read and written by the SFU's FIFOs.
A write request from the LBDNextLineFIFO on nlfjdiuwreq causes a write request from the DIU Write Interface. The Address Generator supplies the DRAM write address on sfujdiu_wadr[21:δ].
A winning read request from the DIU read request arbitration logic causes a read request from the
DIU Read Interface. The Address Generator supplies the DRAM read address on sfujdiujradr[21 :5].
The address generator is configured with the number of DRAM words to read in a HCU line, hcujdramjwords, the first DRAM address of the SFU area, startjsfu_adr[21 :δ], and the last
DRAM address of the SFU area, endjsfu_adr[21:δ].
Note hcujdramjwords configuration register specifies the the number of DRAM words consumed per line in the HCU, while Ibdjdramjwords specifies the number of DRAM words generated per line by the LBD. These values are not required to be the same. For example the LBD may store 10 DRAM words per line (Ibdjdramjwords = 10), but the HCU may consume 5 DRAM words per line. In such case the hcujdramjwords would be set to 5 and the HCU Read Line FIFO would trigger a new line after it had consumed 5 DRAM words (via hrfjhcujandofline) . Address Generation
There are four address pointers used to manage the bi-level DRAM buffer: a. hcu eadlinejrd jadr is the read address in DRAM for the HCUReadLineFIFO. b. hcujstartreadlinejadr is the start address in DRAM for the current line being read by the HCUReadLineFIFO. c. Ibdjnextlinejwrjadr is the write address in DRAM for the LBDNextLineFIFO. d. Ibdjprevlinejrdjadr is the read address in DRAM for the LBDPrevLineFIFO.
The current value of these address pointers are readable by the CPU.
Four corresponding address valid flags are required to indicate whether the address pointers are valid, based on whether the FIFOs are full or empty. a. hlfjadrvalid, derived from hrfjnlfjifojamp b. hlfjstartjadrvalid, derived from startjhrfjnlfjifojamp c. nlfjadrvalid. derived from nlfjolfjifojull and nlfjhrf ifo ull d. plfjadrvalid. derived from plfjnlf ifojamp
DRAM requests from the FIFOs will not be issued to the DIU until the appropriate address flag is valid.
Once a request has been acknowledged, the address generation logic can calculate the address of the next 256-bit word in DRAM, ready for the next request.
Rules for address pointers
The address pointers must obey certain rules which indicate whether they are valid: a. hcujreadiinejrdjadr is only valid if it is reading earlier in the line than Ibdjnextlinejwrjadr is writing i.e. the fifo is not empty b. The SFU (Ibdjnextlinejwrjadr) cannot overwrite the current line that the HCU is reading from (hcujstartreadlinejadr) i.e. the fifo is not full, when compared with the HCU read line pointer c. The LBDNextLineFIFO (Ibdjnextlinejwrjadr) must be writing earlier in the line than LBD- PrevLineFIFO (Ibdjprevlinejrdjadr) is reading and must not overwrite the current line that the HCU is reading from i.e. the fifo is not full when compared to the PrevLineFifo read pointer d. The LBDPrevLineFIFO (Ibdjprevline djadή can read right up to the address that LBDNextLineFIFO (Ibdjnextlinejwrjadr) is writing i.e the fifo is not empty. e. At startup i.e. when sfujgo is asserted, the pointers are reset to start jsf u jadr[21 :δ]. f. The address pointers can wrap around the SFU bi-level store area in DRAM.
Address generator pseudo-code: Initialization: if (sfujgo rising edge) then //initialise address pointers to start of SFU address space lbdjprevl ine jrdjadr = start sfujad [21 : 5] Ibd jαext 1 ine_wr jadr = start jsfujadr [21 : 5] hcu jreadl inejrdjadr = start jsfujad [21 : 5] hcujstartreadlinejadr = start jsfujadr [21 : 5] lbdj ext 1 ine jwr jwrap = 0 lbdj revl ine_rd_wrap = 0 hcujstartreadlinejwrap = 0 hcu jreadl ine jrd_wrap = 0 }
Determine FIFO fill and empty status:
// calculate which FIFOs are full and empty plfjαlf_£ifo_emp = (Ibdjrevlinejrdjadr == lbdjaextline_wrjadr) AND (lbdjprevline_rd_wrap == lbd αextline wrjwrap) nlfjplfjEifojEull = (lbd_nextlinejwrjadr ==
Ibdjprevlinejrdjadr) AND (lbdjprevline_rd_wrap != lbdjnext1ine_wr_wrap) nlf rfjEifojEull = (Ibdjnextlinejwrjadr == hcujstartreadlinejadr ) AND (hcu_startreadlinejwrap != lbdjaextline_wr_wrap )
// hcu start address can jump addresses and so needs comparitor if (hcu_startreadlinejwrap == lbd_nextline wr_wrap) then startjirf ilfjEifojemp = (hcujstartreadlinejadr
>=Ibdjαext1ine_wrjadr) else startjιrf_nl£_fifo_emp = NOT (hcujstartreadlinejadr
Figure imgf000433_0001
// hcu read address can jump addresses and so needs comparitor if (hcujreadlinejrdjwrap == lbd_nextline_wr wrap) then hrfjnlf_fifo_emp = (hcujreadlinejrdjadr
>=lbdjaext1ine wrjadr) else hrfjαlfjEifojsmp = NOT (hcujreadlinejrdjadr >=lbd nextline wr adr)
Address pointer updating: // LBD Next line FIFO
// if DIU write acknowledge and LBDNextLineFIFO is not full with reference to PLF and HRF if (diujsfu wack == l AND nlfjplf_fifo_full != 1 AND nlfjhrf_fifo_full !=1 ) then if (Ibdjnextlinejwrjadr == endjsfujadr) then // if end of SFU address range Ibdjnextlinejwrjadr = startjsfujadr // go to start of SFU address range lbd_nextline wr wrap= NOT (lbdjaextlinejwrjwrap) // invert the wrap bit else lbd_nextlinejwrjadr+4- // increment address pointer
// LBD PrevLine FIFO
//if DIU read acknowledge and LBDPrevLineFIFO is not empty if (diujsfujrack == 1 AND selectjhrfplf == 1 AND plfjnlfjEifojemp !=1) then if (Ibdjprevlinejrdjadr == endjsfujadr) then Ibdjprevlinejrdjadr = startjsfujadr // go to start of SFU address range lbdjprevlinejrdjwrap= NOT (lbdjprevlinejrdjwrap) // invert the wrap bit else lbdjprevlinejrdjadr++ // increment address pointer
// HCU ReadLine FIFO // if DIU read acknowledge and HCUReadLineFIFO fifo is not empty if (diujsfujrack == 1 AND selectjhrfplf == 0 AND hrfjalfjifo_emp != 1) then // going to update hcu read line address if (hrfjhcujandofline == 1) AND (hrfjyadvance == 1) then {
// read the next line from DRAM // advance to start of next HCU line in DRAM hcujstartreadlinejadr = hcujstartreadlinejadr + lbdjdramjwords offset = hcujstartreadlinejadr - endjsfujadr - 1
// allow for address wraparound if (offset >= 0) then hcujstartreadlinejadr = startjsfujadr + offset hcu_startreadline wrap= NO (hcujstartreadlinejwrap) hcujreadlinejrdjadr = hcujstartreadlinejadr hcujreadlinejrdjwrap= hcujstartreadlinejwrap } elsif (hrfjicujsndofline == 1) AND (hrfjyadvance == 0) then hcujreadlinejrdjadr = hcujstartreadlinejadr // restart and re-use the same line hcujreadlinejrdjwrap= hcujstartreadlinejwrap elsif (hcujreadlinejrdjadr == endjsfujadr) then // check if the FIFO needs to wrap space hcujreadlinejrdjadr = startjsfujadr // go to start of SFU address space hcujreadlinejrd wrap= NOT (hcujreadlinejrd wrap) else hcujreadlinejrdjadr ++ // increment address pointer
25.8.10.4.1 X scaling of data for HCUReadLineFIFO
The signal hcujsfujadvdot tells the HCUReadLineFIFO to supply the next dot or the current dot on sfujhcujsdata according to the hrf_xadvance signal from the scaling control unit. When hrfjxadvance is 1 the HCUReadLineFIFO should supply the next dot. When hrfjxadvance is 0 the HCUReadLineFIFO should supply the current dot.
The algorithm for non-integer scaling is described in the pseudocode below. Note, xjscalejoount should be loaded with xjstartjoount after reset and at the end of each line. The end of the line is indicated by hrfjhcujandofline from the HCUReadLineFIFO. if (hcujsfujadvdot == 1) then if (xjscalejoount + xjscalejdenom - xjscalejnum >= 0 ) then xjscalejoount = xjscalejoount + xjscalejdenom xjscalejnum hrfjxadvance = 1 else xjscalejoount = xjscalejoount + xjscalejdenom hrfjxadvance = 0 else x scale count = x scale count hrfjxadvance = 0 25.8.10.4.2 Y scaling of data for HCUReadLineFIFO
The HCUReadLineFIFO counts the number of hcujsfujadvdot strobes received from the HCU. When the count equals hcujnumjdots the HCUReadLineFIFO will assert hrfjhcujandofline for a cycle.
The algorithm for non-integer scaling is described in the pseudocode below. Note, yjscalejoount should be loaded with zero after reset. if (hrf jαcujsndofline == 1) then if (yjscalejoount + yjscalejdenom - yjscalejnum >= 0 ) then yjscalejoount = yjscalejoount + yjscalejdenom yjscalejnum hrf jyadvance = 1 else yjscalejoount = yjscalejoount 4- yjscalejdenom hrf jyadvance = 0 else yjscalejoount = yjscalejoount hrf jyadvance = 0
When the hrfjhcujandofline is asserted the Y scaling unit will decide whether to go back to the start of the current line, by setting hrfjyadvance = 0, or go onto the next line, by setting hrfjyadvance = 1. Figure 176 shows an overview of X and Y scaling for HCU data.
26 Tag Encoder (TE)
26.1 OVERVIEW
The Tag Encoder (TE) provides functionality for Netpage-enabled applications, and typically requires the presence of IR ink (although K ink can be used for tags in limited circumstances). The TE encodes fixed data for the page being printed, together with specific tag data values into an error-correctable encoded tag which is subsequently printed in infrared or black ink on the page. The TE places tags on a triangular grid, and can be programmed for both landscape and portrait orientations.
Basic tag structures are normally rendered at 1600 dpi, while tag data is encoded into an arbitrary number of printed dots. The TE supports integer scaling in the Y-direction while the TFU supports integer scaling in the X-direction. Thus, the TE can render tags at resolutions less than 1600 dpi which can be subsequently scaled up to 1600 dpi.
The output from the TE is buffered in the Tag FIFO Unit (TFU) which is in turn used as input by the HCU. In addition, a tejinishedband signal is output to the end of band unit once the input tag data has been loaded from DRAM. The high level data path is shown by the block diagram in Figure 177.
After passing through the HCU, the tag plane is subsequently printed with an infrared-absorptive ink that can be read by a Netpage sensing device. Since black ink can be IR absorptive, limited functionality can be provided on offset-printed pages using black ink on otherwise blank areas of the page - for example to encode buttons. Alternatively an invisible infrared ink can be used to print the position tags over the top of a regular page. However, if invisible IR ink is used, care must be taken to ensure that any other printed information on the page is printed in infrared- transparent CMY ink, as black ink will obscure the infrared tags. The monochromatic scheme was chosen to maximize dynamic range in blurry reading environments.
When multiple SoPEC chips are used for printing the same side of a page, it is possible that a single tag will be produced by two SoPEC chips. This implies that the TE must be able to print partial tags. The throughput requirement for the SoPEC TE is to produce tags at half the rate of the PEC1 TE. Since the TE is reused from PEC1 , the SoPEC TE over-produces by a factor of 2.
In PEC1 , in order to keep up with the HCU which processes 2 dots per cycle, the tag data interface has been designed to be capable of encoding a tag in 63 cycles. This is actually accomplished in approximately 52 cycles within PEC1. If the SoPEC TE were to be modified from two dots production per cycle to a nominal one dot per cycle it should not lose the 63/52 cycle performance edge attained in the PEC1 TE. 26.2 WHAT ARE TAGS?
The first barcode was described in the late 1940's by Woodland and Silver, and finally patented in 1952 (US Patent 2,612,994) when electronic parts were scarce and very expensive. Now however, with the advent of cheap and readily available computer technology, nearly every item purchased from a shop contains a barcode of some description on the packaging. From books to CDs, to grocery items, the barcode provides a convenient way of identifying an object by a product number. The exact interpretation of the product number depends on the type of barcode. Warehouse inventory tracking systems let users define their own product number ranges, while inventory in shops must be more universally encoded so that products from one company don't overlap with products from another company. Universal Product Codes (UPC) were introduced in the mid 1970's at the request of the National Association of Food Chains for this very reason. Barcodes themselves have been specified in a large number of formats. The older barcode formats contain characters that are displayed in the form of lines. The combination of black and white lines describe the information the barcodes contains. Often there are two types of lines to form the complete barcode: the characters (the information itself) and lines to separate blocks for better optical recognition. While the information may change from barcode to barcode, the lines to separate blocks stays constant. The lines to separate blocks can therefore be thought of as part of the constant structural components of the barcode. Barcodes are read with specialized reading devices that then pass the extracted data onto the computer for further processing. For example, a point-of-sale scanning device allows the sales assistant to add the scanned item to the current sale, places the name of the item and the price on a display device for verification etc. Light-pens, gun readers, scanners, slot readers, and cameras are among the many devices used to read the barcodes.
To help ensure that the data extracted was read correctly, checksums were introduced as a crude form of error detection. More recent barcode formats, such as the Aztec 2D barcode developed by Andy Longacre in 1995 (US patent number US5591956), but now released to the public domain, use redundancy encoding schemes such as Reed-Solomon. Reed Solomon encoding is adequately discussed in [28], [30] and [34]. The reader is advised to refer to these sources for background information. Very often the degree of redundancy encoding is user selectable. More recently there has also been a move from the simple one dimensional barcodes (line based) to two dimensional barcodes. Instead of storing the information as a series of lines, where the data can be extracted from a single dimension, the information is encoded in two dimensions. Just as with the original barcodes, the 2D barcode contains both information and structural components for better optical recognition. Figure 178 shows an example of a QR Code (Quick Response Code), developed by Denso of Japan (US patent number US5726435). Note the barcode cell is comprised of two areas: a data area (depends on the data being stored in the barcode), and a constant position detection pattern. The constant position detection pattern is used by the reader to help locate the cell itself, then to locate the cell boundaries, to allow the reader to determine the original orientation of the cell (orientation can be determined by the fact that there is no 4th corner pattern).
The number of barcode encoding schemes grows daily. Yet very often the hardware for producing these barcodes is specific to the particular barcode format. As printers become more and more embedded, there is an increasing desire for real-time printing of these barcodes. In particular, Netpage enabled applications require the printing of 2D barcodes (or tags) over the page, preferably in infra-red ink. The tag encoder in SoPEC uses a generic barcode format encoding scheme which is particularly suited to real-time printing. Since the barcode encoding format is generic, the same rendering hardware engine can be used to produce a wide variety of barcode formats. Unfortunately the term "barcode" is interpreted in different ways by different people. Sometimes it refers only to the data area component, and does not include the constant position detection pattern. In other cases it refers to both data and constant position detection pattern. We therefore use the term tag to refer to the combination of data and any other components (such as position detection pattern, blank space etc. surround) that must be rendered to help hold or locate/read the data. A tag therefore contains the following components: • data area(s). The data area is the whole reason that the tag exists. The tag data area(s) contains the encoded data (optionally redundancy-encoded, perhaps simply checksummed) where the bits of the data are placed within the data area at locations specified by the tag encoding scheme. • constant background patterns, which typically includes a constant position detection pattern. These help the tag reader to locate the tag. They include components that are easy to locate and may contain orientation and perspective information in the case of 2D tags. Constant background patterns may also include such patterns as a blank area surrounding the data area or position detection pattern. These blank patterns can aid in the decoding of the data by ensuring that there is no interference between tags or data areas. In most tag encoding schemes there is at least some constant background pattern, but it is not necessarily required by all. For example, if the tag data area is enclosed by a physical space and the reading means uses a non-optical location mechanism (e.g. physical alignment of surface to data reader) then a position detection pattern is not required.
Different tag encoding schemes have different sized tags, and have different allocation of physical tag area to constant position detection pattern and data area. For example, the QR code has 3 fixed blocks at the edges of the tag for position detection pattern (see Figure 178) and a data area in the remainder. By contrast, the Netpage tag structure (see Figures 179 and 180) contains a circular locator component, an orientation feature, and several data areas. Figure 179(a) shows the Netpage tag constant background pattern in a resolution independent form. Figure 179(b) is the same as Figure 179(a), but with the addition of the data areas to the Netpage tag. Figure 180 is an example of dot placement and rendering to 1600 dpi for a Netpage tag. Note that in Figure 180 a single bit of data is represented by many physical output dots to form a block within the data area.
26.2.1 Contents of the data area The data area contains the data for the tag.
Depending on the tag's encoding format, a single bit of data may be represented by a number of physical printed dots. The exact number of dots will depend on the output resolution and the target reading/scanning resolution. For example, in the QR code (see Figure 178), a single bit is represented by a dark module or a light module, where the exact number of dots in the dark module or light module depends on the rendering resolution and target reading/scanning resolution. For example, a dark module may be represented by a square block of printed dots (all on for binary 1 , or all off for binary 0), as shown in Figure 181.
The point to note here is that a single bit of data may be represented in the printed tag by an arbitrary printed shape. The smallest shape is a single printed dot, while the largest shape is theoretically the whole tag itself, for example a giant macrodot comprised of many printed dots in both dimensions.
An ideal generic tag definition structure allows the generation of an arbitrary printed shape from each bit of data.
26.2.2 What do the bits represent? Given an original number of bits of data, and the desire to place those bits into a printed tag for subsequent retrieval via a reading/scanning mechanism, the original number of bits can either be placed directly into the tag, or they can be redundancy-encoded in some way. The exact form of redundancy encoding will depend on the tag format. The placement of data bits within the data area of the tag is directly related to the redundancy mechanism employed in the encoding scheme. The idea is generally to place data bits together in 2D so that burst errors are averaged out over the tag data, thus typically being correctable. For example, all the bits of Reed-Solomon codeword would be spread out over the entire tag data area so to minimize being affected by a burst error. Since the data encoding scheme and shape and size of the tag data area are closely linked, it is desirable to have a generic tag format structure. This allows the same data structure and rendering embodiment to be used to render a variety of tag formats. 26.2.2.1 Fixed and variable data components In many cases, the tag data can be reasonably divided into fixed and variable components. For example, if a tag holds N bits of data, some of these bits may be fixed for all tags while some may vary from tag to tag.
For example, the Universal product code allows a country code and a company code. Since these bits don't change from tag to tag, these bits can be defined as fixed, and don't need to be provided to the tag encoder each time, thereby reducing the bandwidth when producing many tags. Another example is Netpage tags. A single printed page contains a number of Netpage tags. The page-id will be constant across all the tags, even though the remainder of the data within each tag may be different for each tag. By reducing the amount of variable data being passed to SoPECs tag encoder for each tag, the overall bandwidth can be reduced.
Depending on the embodiment of the tag encoder, these parameters will be either implicit or explicit, and may limit the size of tags renderable by the system. For example, a software tag encoder may be completely variable, while a hardware tag encoder such as SoPECs tag encoder may have a maximum number of tag data bits.
26.2.2.2 Redundancy-encode the tag data within the tag encoder
Instead of accepting the complete number of TagData bits encoded by an external encoder, the tag encoder accepts the basic non-redundancy-encoded data bits and encodes them as required for each tag. This leads to significant savings of bandwidth and on-chip storage.
In SoPECs case for Netpage tags, only 120 bits of original data are provided per tag, and the tag encoder encodes these 120 bits into 360 bits. By having the redundancy encoder on board the tag encoder the effective bandwidth and internal storage required is reduced to only 33% of what would be required if the encoded data was read directly.
26.3 PLACEMENT OF TAGS ON A PAGE
The TE places tags on the page in a triangular grid arrangement as shown in Figure 182.
The triangular mesh of tags combined with the restriction of no overlap of columns or rows of tags means that the process of tag placement is greatly simplified. For a given line of dots, all the tags on that line correspond to the same part of the general tag structure. The triangular placement can be considered as alternative lines of tags, where one line of tags is inset by one amount in the dot dimension, and the other line of dots is inset by a different amount. The dot inter-tag gap is the same in both lines of tag, and is different from the line inter-tag gap. Note also that as long as the tags themselves can be rotated, portrait and landscape printing are essentially the same - the placement parameters of line and dot are swapped, but the placement mechanism is the same.
The general case for placement of tags therefore relies on a number of parameters, as shown in
Figure 183.
The parameters are more formally described in Table 169. Note that these are placement parameters and not registers. Table 169. Tag placement parameters
Figure imgf000441_0001
26.4 BASIC TAG ENCODING PARAMETERS
SoPECs tag encoder imposes range restrictions on tag encoding parameters as a direct result of on-chip buffer sizes. Table 170 lists the basic encoding parameters as well as range restrictions where appropriate. Although the restrictions were chosen to take the most likely encoding scenarios into account, it is a simple matter to adjust the buffer sizes and corresponding addressing to allow arbitrary encoding parameters in future implementations. Table 170. Encoding parameters
Figure imgf000441_0002
Figure imgf000442_0001
The fixed data for the tags on a page need only be supplied to the TE once. It can be supplied 40 or 56 bits of unencoded data and encoded within the TE as described in Section 26.4.1. Alternatively it can be supplied as 120 bits of pre-encoded data (encoded arbitrarily). The variable data for the tags on a page are those 112 or 120 data bits that are variable for each tag. Variable tag data is supplied as part of the band data, and is always encoded by the TE as described in Section 26.4.1 , but may itself be arbitrarily pre-encoded. 26.4.1 Redundancy encoding
The mapping of data bits (both fixed and variable) to redundancy encoded bits relies heavily on the method of redundancy encoding employed. Reed-Solomon encoding was chosen for its ability to deal with burst errors and effectively detect and correct errors using a minimum of redundancy. Reed Solomon encoding is adequately discussed in [28], [30] and [34]. The reader is advised to refer to these sources for background information.
In this implementation of the TE we use Reed-Solomon encoding over the Galois Field GF(24). Symbol size is 4 bits. Each codeword contains 15 4-bit symbols for a codeword length of 60 bits. The primitive polynomial is p(x) = x4 + x + 1 , and the generator polynomial is g(x) = (x+α)(x+α2)...(x+α f), where t = the number of symbols that can be corrected. Of the 15 symbols, there are two possibilities for encoding:
• RS(15, 5): 5 symbols original data (20 bits), and 10 redundancy symbols (40 bits). The 10 redundancy symbols mean that we can correct up to 5 symbols in error. The generator polynomial is therefore g(x) = (x+α)(x+α2)...(x+α10).
• RS(15, 7): 7 symbols original data (28 bits), and 8 redundancy symbols (32 bits). The 8 redundancy symbols mean that we can correct up to 4 symbols in error. The generator polynomial is g(x) = (x+α)(x+α2)...(x+α8).
In the first case, with 5 symbols of original data, the total amount of original data per tag is 160 bits (40 fixed, 120 variable). This is redundancy encoded to give a total amount of 480 bits (120 fixed, 360 variable) as follows:
• Each tag contains up to 40 bits of fixed original data. Therefore 2 codewords are required for the fixed data, giving a total encoded data size of 120 bits. Note that this fixed data only needs to be encoded once per page. • Each tag contains up to 120 bits of variable original data. Therefore 6 codewords are required for the variable data, giving a total encoded data size of 360 bits.
In the second case, with 7 symbols of original data, the total amount of original data per tag is 168 bits (56 fixed, 112 variable). This is redundancy encoded to give a total amount of 360 bits (120 fixed, 240 variable) as follows:
• Each tag contains up to 56 bits of fixed original data. Therefore 2 codewords are required for the fixed data, giving a total encoded data size of 120 bits. Note that this fixed data only needs to be encoded once per page.
• Each tag contains up to 112 bits of variable original data. Therefore 4 codewords are required for the variable data, giving a total encoded data size of 240 bits.
The choice of data to redundancy ratio depends on the application.
26.5 DATA STRUCTURES USED BY TAG ENCODER
26.5.1 Tag Format Structure
The Tag Format Structure (TFS) is the template used to render tags, optimized so that the tag can be rendered in real time. The TFS contains an entry for each dot position within the tag's bounding box. Each entry specifies whether the dot is part of the constant background pattern or part of the tag's data component (both fixed and variable).
The TFS is very similar to a bitmap in that it contains one entry for each dot position of the tag's bounding box. The TFS therefore has TagHeight x TagWidth entries, where TagHeight matches the height of the bounding box for the tag in the line dimension, and TagWidth matches the width of the bounding box for the tag in the dot dimension. A single line of TFS entries for a tag is known as a tag line structure.
The TFS consists of TagHeight number of tag line structures, one for each 1600 dpi line in the tag's bounding box. Each tag line structure contains three contiguous tables, known as tables A, B, and C. Table A contains 384 2-bit entries, one entry for each of the maximum number of dots in a single line of a tag (see Table ). The actual number of entries used should match the size of the bounding box for the tag in the dot dimension, but all 384 entries must be present. Table B contains 32 9-bit data addresses that refer to (in order of appearance) the data dots present in the particular line. All 32 entries must be present, even if fewer are used. Table C contains two 5-bit pointers into table B, and therefore comprises 10 bits. Padding of 214 bits is added. The total length of each tag line structure is therefore 5 x 256-bit DRAM words. Thus a TFS containing
TagHeight tag line structures requires a TagHeight * 160 bytes. The structure of a TFS is shown in Figure 184.
A full description of the interpretation and usage of Tables A, B and C is given in section 26.8.3 on page 476.
26.δ.1.1 Scaling a tag
If the size of the printed dots is too small, then the tag can be scaled in one of several ways.
Either the tag itself can be scaled by N dots in each dimension, which increases the number of entries in the TFS. As an alternative, the output from the TE can be scaled up by pixel replication via a scale factor greater than 1 in the both the TE and TFU. For example, if the original TFS was 21 x 21 entries, and the scaling were a simple 2 2 dots for each of the original dots, we could increase the TFS to be 42 x 42. To generate the new TFS from the old, we would repeat each entry across each line of the TFS, and then we would repeat each line of the TFS. The net number of entries in the TFS would be increased fourfold (2 x 2). The TFS allows the creation of macrodots instead of simple scaling. Looking at Figure 185 for a simple example of a 3 x 3 dot tag, we may want to produce a physically large printed form of the tag, where each of the original dots was represented by 7 x 7 printed dots. If we simply performed replication by 7 in each dimension of the original TFS, either by increasing the size of the TFS by 7 in each dimension or putting a scale-up on the output of the tag generator output, then we would have 9 sets of 7 x 7 square blocks. Instead, we can replace each of the original dots in the TFS by a 7 x 7 dot definition of a rounded dot. Figure 186 shows the results.
Consequently, the higher the resolution of the TFS the more printed dots can be printed for each macrodot, where a macrodot represents a single data bit of the tag. The more dots that are available to produce a macrodot, the more complex the pattern of the macrodot can be. As an example, Figure n page461 on page Error! Bookmark not defined, shows the Netpage tag structure rendered such that the data bits are represented by an average of 8 dots x 8 dots (at 1600 dpi), but the actual shape structure of a dot is not square. This allows the printed Netpage tag to be subsequently read at any orientation. 26.5.2 Raw tag data The TE requires a band of unencoded variable tag data if variable data is to be included in the tag bit-plane. A band of unencoded variable tag data is a set of contiguous unencoded tag data records, in order of encounter top left of printed band from top left to lower right. An unencoded tag data record is 128 bits arranged as follows: bits 0-111 or 0-119 are the bits of raw tag data, bit 120 is a flag used by the TE (TaglsPrinted), and the remaining 7 bits are reserved (and should be 0). Having a record size of 128 bits simplifies the tag data access since the data of two tags fits into a 256-bit DRAM word. It also means that the flags can be stored apart from the tag data, thus keeping the raw tag data completely unrestricted. If there is an odd number of tags in line then the last DRAM read will contain a tag in the first 128 bits and padding in the final 128 bits. The TaglsPrinted flag allows the effective specification of a tag resolution mask over the page. For each tag position the TaglsPrinted flag determines whether any of the tag is printed or not. This allows arbitrary placement of tags on the page. For example, tags may only be printed over particular active areas of a page. The TaglsPrinted flag allows only those tags to be printed. TaglsPrinted is a 1 bit flag with values as shown in Table 171. Table 171. TaglsPrinted values
Figure imgf000444_0001
1 Print the tag as specified by the various tag structures.
26.5.3 DRAM storage requirements
The total DRAM storage required by a single band of raw tag data depends on the number of tags present in that band. Each tag requires 128 bits. Consequently if there are N tags in the band, the size in DRAM is 16N bytes.
The maximum size of a line of tags is 163 x 128 bits. When maximally packed, a row of tags contains 163 tags (see Table ) and extends over a minimum of 126 print lines. This equates to
282 KBytes over a Letter page.
The total DRAM storage required by a single TFS is TagHeightl7 KBytes (including padding).
Since the likely maximum value for TagHeight is 384 (given that SoPEC restricts TagWidth to
384), the maximum size in DRAM for a TFS is 55 KBytes.
26.5.4 DRAM access requirements
The TE has two separate read interfaces to DRAM for raw tag data, TD, and tag format structure,
TFS.
The memory usage requirements are shown in Table 172. Raw tag data is stored in the compressed page store Table 172. Memory usage requirements
Figure imgf000445_0001
The TD interface will read 256-bits from DRAM at a time. Each 256-bit read returns 2 times 128- bit tags. The TD interface to the DIU will be a 256-bit double buffer. If there is an odd number of tags in line then the last DRAM read will contain a tag in the first 128 bits and padding in the final
128 bits.
The TFS interface will also read 256-bits from DRAM at a time. The TFS required for a line is 136 bytes. A total of 5 times 256-bit DRAM reads is required to read the TFS for a line with 192 unused bits in the fifth 256-bit word. A 136-byte double-line buffer will be implemented to store the
TFS data.
The TE's DIU bandwidth requirements are summarized in Table 173. Table 173. DRAM bandwidth requirements
Figure imgf000446_0001
1 : Each 2mm tag lasts 126 dot cycles and requires 128 bits. This is a rate of 256 bits every 252 cycles.
2: 17 x 64 bit reads per line in PEC1 is 5 x 256 bit reads per line in SoPEC with unused bits in the last 256-bit read.
26.5.5 TD and TFS Bandstore wrapping Table 174. Bandstore Inputs from CDU
Figure imgf000446_0002
Both TD and TFS storage in DRAM can wrap around the bandstore area. The bounds of the band store are described by inputs from the CDU shown in Table 174. The TD and TFS DRAM interfaces therefore support bandstore wrapping. If the TD or TFS DRAM interface increments an address it is checked to see if it matches the end of bandstore address. If so, then the address is mapped to the start of the bandstore. 26.5.6 Tag sizes
SoPEC allows for tags to be between 0 to 384 dots. A typical 2 mm tag requires 126 dots. Short tags do not change the internal bandwidth or throughput behaviours at all. Tag height is specified so as to allow the DRAM storage for raw tag data to be specified. Minimum tag width is a condition imposed by throughput limitations, so if the width is too small TE cannot consistently produce 2 dots per cycle across several tags (also there are raw tag data bandwidth implications). Thinner tags still work, they just take longer and/or need scaling. 26.6 IMPLEMENTATION 26.6.1 Tag Encoder Architecture A block diagram of the TE can be seen below. The TE writes lines of bi-level tag plane data to the TFU for later reading by the HCU. The TE is responsible for merging the encoded tag data with the tag structure (interpreted from the TFS). Y- integer scaling of tags is performed in the TE with X-integer scaling of the tags performed in the TFU. The encoded tag layer is generated 2 bits at a time and output to the TFU at this rate. The HCU however only consumes 1 bit per cycle from the TFU. The TE must provide support for 126dot Tags (2mm densely packed) with 108 Tags per line with 128bits per tag. The tag encoder consists of a TFS interface that loads and decodes TFS entries, a tag data interface that loads tag raw data, encodes it, and provides bit values on request, and a state machine to generate appropriate addressing and control signals. The TE has two separate read interfaces to DRAM for raw tag data, TD, and tag format structure, TFS. It is possible that the raw tag data interface, the TD, to the DIU could be replaced by a hardware state machine at a later stage. This would allow flexibility in the generation of tags. Support for Y scaling needs to be added to the PEC1 TE. The PEC1 TE already allows stalling at its output during a line when tfu ejoktowrite is deasserted. 26.6.2 Y-Scaling output lines
In order to support scaling in the Y direction the following modifications to the PEC1 TE are suggested to the Tag Data Interface, Tag Format Structure Interface and TE Top Level:
• for Tag Data Interface: program the configuration registers of Table , firstTagLineHeight and tagMaxLine with true value i.e. not multiplied up by the scale factor YScale. Within the Tag Data interface there are two counters, countx and county that have a direct bearing on the rawTagDataAddr generation, countx decrements as tags are read from DRAM. It is reset to NumTagsjRtdTagSense] at start of each line of tags, county is decremented as each line of tags is completely read from DRAM i.e. countx = 0. Scaling may be performed by counting the number of times countx reaches zero and only decrementing county when this number reaches YScale. This will cause the TagData Interface to read each line of tag data NumTags[RtdTagSense] * YScale times. • for Tag Format Structure Interface: The implication of Y-scaling for the TFS is that each Tag Line Structure is used YScale times. This may be accomplished in either of two ways:
• For each Tag Line Structure read it once from DRAM and reuse YScale times. This involves gating the control of TFS buffer flipping with YScale. Because of the way in which this advTfsLine and advTagLine related functionality is coded in the PEC1 TFS this solution is judged to be error-prone.
• Fetch each TagLineStructure YScale times. This solution involves controlling the activity of currTfsAddr with YScale. In SoPEC the TFS must supply five addresses to the DIU to read each individual Tag Line Structure. The DIU returns 4*64-bit words for each of the 5 accesses. This is different from the behaviour in PEC1 , where one address is given and 17 data-words were returned by the DIU. Since the behaviour of the currTfsAddr must be changed to meet the requirements of the SoPEC DIU it makes sense to include the Y-Scaling into this change i.e. a count of the number of completed sets of 5 accesses to the DIU is compared to YScale. Only when this count equals YScale can currTfsAddr be loaded with the base address of the next lines Tag Line Structure in DRAM, otherwise it is re-loaded with the base address of the current lines Tag Line Structure in DRAM. • For Top Level: The Top Level of the TE has a counter, LinePos, which is used to count the number of completed output lines when in a tag gap or in a line of tags. At the start (i.e. top-left hand dot-pair) of a gap or tag LinePos is loaded with either TagGapLine or TagMaxLine. The value of LinePos is decremented at last dot-pair in line. Y-Scaling may be accomplished by gating the decrement of LinePos based on YScale value
26.6.3 TE Physical Hierarchy
Figure 188 above illustrates the structural hierarchy of the TE. The top level contains the Tag Data Interface (TDI), Tag Format Structure (TFS), and an FSM to control the generation of dot pairs along with a clocked process to carry out the PCU read/write decoding. There is also some additional logic for muxing the output data and generating other control signals. At the highest level, the TE state machine processes the output lines of a page one line at a time, with the starting position either in an inter-tag gap or in a tag (a SoPEC may be only printing part of a tag due to multiple SoPECs printing a single line).
If the current position is within an inter-tag gap, an output of 0 is generated. If the current position is within a tag, the tag format structure is used to determine the value of the output dot, using the appropriate encoded data bit from the fixed or variable data buffers as necessary. The TE then advances along the line of dots, moving through tags and inter-tag gaps according to the tag placement parameters.
26.6.4 IO Definitions Table 175. TE Port List
Figure imgf000448_0001
Figure imgf000449_0001
Figure imgf000450_0001
26.6.5 Configuration Registers
The configuration registers in the TE are programmed via the PCU interface. Refer to section 21.8.2 on page 351 for the description of the protocol and timing diagrams for reading and writing registers in the TE.Note that since addresses in SoPEC are byte aligned and the PCU only supports 32-bit register reads and writes the lower 2 bits of the PCU address bus are not required to decode the address space for the TE.Table 176 lists the configuration registers in the TE. Registers which address DRAM are 64-bit DRAM word aligned as this is the case for the PEC1 TE. SoPEC assumes a 256-bit DRAM word size. If the TE can be easily modified then the DRAM word addressing should be modified to 256-bit word aligned addressing. Otherwise, software should program these the 64-bit word aligned addresses on a 256-bit DRAM word boundary.. Table 176. TE Configuration Registers
Figure imgf000450_0002
Figure imgf000451_0001
Figure imgf000452_0001
Figure imgf000453_0001
Figure imgf000454_0001
Figure imgf000455_0001
Figure imgf000456_0001
Figure imgf000457_0001
Figure imgf000458_0001
The PCU accessible registers are divided amongst the TE top level and the TE sub-blocks. This is achieved by including write decoders in the sub-blocks as well as the top level, see Figure 189. In order to perform reads the sub-block registers are fed to the top level where the read decode is carried out on all the PCU accessible TE registers. 26.6. δ.1 Starting the TE and restarting the TE between bands The TE must be started after the TFU.
For the first band of data, users set up NextBandStartTagDataAdr, NextBandEndTagData and NextBandFirstTagLineHeight as well as other TE configuration registers. Users then set the TE's Go bit to start processing of the band. When the tag data for the band has finished being decoded, the tejinishedband interrupt will be sent to the PCU and ICU indicating that the memory associated with the first band is now free. Processing can now start on the next band of tag data. In order to process the next band NextBandStartTagDataAdr, NextBandEndTagData and NextBandFirstTagLineHeight need to be updated before writing a 1 to NextBandEnable. There are 4 mechanisms for restarting the TE between bands: a. tejinishedband causes an interrupt to the CPU. The TE will have set its DoneBand bit. The CPU reprograms the NextBandStartTagDataAdr, NextBandEndTagData and NextBandFirstTagLineHeight registers, and sets NextBandEnable to restart the TE. b. The CPU programs the TE's NextBandStartTagDataAdr, NextBandEndTagData and NextBandFirstTagLineHeight registers and sets the NextBandEnable flag before the end of the current band. At the end of the current band the TE sets DoneBand. As NextBandEnable is already 1 , the TE starts processing the next band immediately. c. The PCU is programmed so that tejinishedband triggers the PCU to execute commands from DRAM to reprogram the NextBandStartTagDataAdr, NextBandEndTagData and NextBandFirstTagLineHeight registers and set the NextBandEnable bit to start the TE processing the next band. The advantage of this scheme is that the CPU could process band headers in advance and store the band commands in DRAM ready for execution. d. This is a combination of b and c above. The PCU (rather than the CPU in b) programs the TE's NextBandStartTagDataAdr, NextBandEndTagData and NextBandFirstTagLineHeight registers and sets the NextBandEnable bit before the end of the current band. At the end of the current band the TE sets DoneBand and pulses tejinishedband. As NextBandEnable is already 1 , the TE starts processing the next band immediately. Simultaneously, tejinishedband triggers the PCU to fetch commands from DRAM. The TE will have restarted by the time the PCU has fetched commands from DRAM. The PCU commands program the TE next band shadow registers and sets the NextBandEnable bit.
After the first tag on the page, all bands have their first tag start at the top i.e. NextBandFirstTagLineHeight = TagMaxLine. Therefore the same value of
NextBandFirstTagLineHeight will normally be used for all bands. Certainly,
NextBandFirstTagLineHeight should not need to change after the second time it is programmed.
26.6.6 TE Top Level FSM
The following diagram illustrates the states in the FSM. At the highest level, the TE state machine steps through the output lines of a page one line at a time, with the starting position either in an inter-tag gap (signal dotsintag = 0) or in a tag (signals tfsvalid and tdvalid and lineintag = 1 ) (a SoPEC may be only printing part of a tag due to multiple
SoPECs printing a single line).
If the current position is within an inter-tag gap, an output of 0 is generated. If the current position is within a tag, the tag format structure is used to determine the value of the output dot, using the appropriate encoded data bit from the fixed or variable data buffers as necessary. The TE then advances along the line of dots, moving through tags and inter-tag gaps according to the tag placement parameters. Table 177 highlights the signals used within the FSM. Table 177. Signals used within TE top level FSM
Figure imgf000460_0001
Due to the 2 system clock delay in the TFS (both Table A and Table B outputs are registered) the TE FSM is working 2 system clock cycles AHEAD of the logic generating the write data for the TFU. As a result the following control signals had to be single/double registered on the system clock.
The tagjdotjine state can be broken down into 3 different stages. Stagel:- The state tagjdotjine is entered due to the go signal becoming active. This state controls the writing of dotbytes to the TFU. As long as the tag line buffer address is not equal to the dotpalrsperllne register value and tfujejoktowrite is active, and there is valid TFS and TD available or taggaps, dotpairs are buffered into bytes and written to the TFU. The tag line buffer address is used internally but not supplied to the TFU since the TFU is a FIFO rather than the line store used in PEC1. While generating the dotline of a tag/gap line (lineintag flag = 1) the dot position counter dotpos is decremented/reloaded (with tagmaxdotpairs or taggapdot) as the TE moves between tags/gaps. The dotsintag flag is toggled between tags/gaps (0 for a gap, 1 for a tag). This pattern continues until the end of a dotline approaches (currdotlineadr == dotpairsperline). 2 system clock cycles before the end of the dotline the lineintag and tagaltsense signals must be prepared for the next dotline be it in a tag/gap dotline or a purely gap dotline. Stage2:- At this point the end of a dot line is reached so it is time to decrement the linepos counter if still in a tag/gap row or reload the linepos register, dotpos counter and reprogram the dotsintag flag if going onto another tag/gap or pure gap row. Any signal with the jemp extension means this register is updated a cycle early in order for the real register to get its correct value while switching between dot lines and tag rows when dotpos and linepos counters reach zero i.e when dotpos = 0 the end of a tag/gap has been reached, when linepos = 0 the end of a tag row is reached. This stage uses the signals lineintagjemp and tagaltsense which were generated one system clock cycle earlier in Stage 1. Stage3:- This stage implements the writing of dotpairs to the correct part of the 6-bit shift register based on the LSBs of currtagplaneadr and also implements the counter for the currtagplaneadr. The currtagplaneadr is reset on reaching currtagplaneadr = (dotpairsperline - 1 ). All the qualifier signals e.g dotsintag for this stage are delayed by 2 system clock cycles i.e. the currtagplaneadr (which is the internal write address not needed by the TFU) cannot be incremented until the dotpairs are available which is always 2 system clock cycles later than when currdotlineadr is incremented.
The wradvline and advtagline pulses are generated using the same logic (currently separated in the PEC1 Tag Encoder VHDL for clarity). Both of these pulses used to update further registers hence the reason they do not use the delayed by 2 system clock cycle qualifiers. 26.6.7 Combinational Logic
The TDI is responsible for providing the information data for a tag while the TFSI is responsible for deciding whether a particular dot on the tag should be printed as background pattern or tag information. Every dot within a tag's boundary is either an information dot or part of the background pattern. The resulting lines of dots are stored in the TFU.
The TFSI reads one Tag Line Structure (TLS) from the DIU for every dot line of tags. Depending on the current printing position within the tag (indicated by the signal tagdotnum), the TFS interface outputs dot information for two dots and if necessary the corresponding read addresses for encoded tag data. The read address are supplied to the TDI which outputs the corresponding data values.
These data values (tdijatdO and tdijatdl) are then combined with the dot information (tfsiJajdotO and tfsiJa_dot1) to produce the dot values that will actually be printed on the page (dots), see Figure 192. The signal lastdotintag is generated by checking that the dots are in a tag (dotsintag = 1 ) and that the dotposition counter dotpos is equal to zero. It is also used by the TFS to load the index address register with zeros at the end of a tag as this is always the starting index when going from one tag to the next, lastdotintag is gated with advtagline in the TFSi (Table C) where advjfsjine pulse is used to update the Table C address reg for the new tag line - this is because lastdotintag occurs a cycle earlier than advjfsjine which would result in the wrong Table C value for the last dotpair. lastdotintag is also used in the TDi FSM (etdjswitch state) to pulse the etdjadvtag signal hence switching buffers in the ETDi for the next tag.
The signal lastdotintagl is identical to lastdotintag except it is combinatorially generated (1 cycle earlier than lastdotintag, except at the end of a tagline). lastdotintagl signal is only used in the TDi to reset the tdvalid signal on the cycle when dotpos = 0. Note the UNSIGNED(cι//rα'oc7/neaQ'/-) = UNSIGNED(dotpa/rsper//he) - 1 not UNSIGNED(ct/rroOt//neadr) = UNSIGNED(dotpa/rsper//ne) - 2 as in the lastdotintagjgen process as this is an combinatorial process.
The dotposvalid signal is created based on being in a tag line (lineintagl = 1 ), dots being in a tag
(dotsintagl = 1 ), having a valid tag format structure available (tfsvalidl = 1) and having encoded tag data available (tdvalidl = 1 ). Note that each of the qualifier signals are delayed by 1 pclk cycle due to the registering of Table A output data into Table C where dotposvalid is used. The dotposvalid signal is used as an enable to load the Table C address register with the next index into Table B which in turn provides the 2 addresses to make 2 dots available.
The signal te fujwdatavalid can only be active if in a taggap or if valid tag data is available
(tdvalid2 and tfsvalid2) and the currtagpplaneadr(1 :0) equal 11 i.e. a byte of data has been generated by combining four dotpairs.
The signal tagdotnum tells the TFS how many dotpairs remain in a tag/gap. It is calculated by subtracting the value in the dotpos counter from the value programmed in the tagmaxdotpairs register.
26.7 TAG DATA INTERFACE (TDI)
26.7.1 I/O Specification Table 178. TDI Port List
Figure imgf000462_0001
Figure imgf000463_0001
26.7.2 Introduction
The tag data interface is responsible for obtaining the raw tag data and encoding it as required by the tag encoder. The smallest typical tag placement is 2mm x 2mm, which means a tag is at least
126 1600 dpi dots wide.
In PEC1 , in order to keep up with the HCU which processes 2 dots per cycle, the tag data interface has been designed to be capable of encoding a tag in 63 cycles. This is actually accomplished in approximately 52 cycles within PEC1. For SoPEC the TE need only produce one dot per cycle; it should be able to produce tags in no more than twice the time taken by the PEC1
TE. Moreover, any change in implementation from two dots to one dot per cycle should not lose the 63/52 cycle performance edge attained in the PEC1 TE.
As shown in Figure 198, the tag data interface contains a raw tag data interface FSM that fetches tag data from DRAM, two symbol-at-a-time GF(24) Reed-Solomon encoders, an encoded data interface and a state machine for controlling the encoding process. It also contains a tagData register that needs to be set up to hold the fixed tag data for the page.
The type of encoding used depends on the registers TEjancodefixed, TEjdataredun and
TEjdecode2den the options being, • (15,5) RS coding, where every 5 input symbols are used to produce 15 output symbols, so the output is 3 times the size of the input. This can be performed on fixed and variable tag data.
• (15,7) RS coding, where every 7 input symbols are used to produce 15 output symbols, so for the same number of input symbols, the output is not as large as the (15,5) code (for more details see section 26.7.6 on page 467). This can be performed on fixed and variable tag data.
• 2D decoding, where each 2 input bits are used to produce 4 output bits. This can be performed on fixed and variable tag data. • no coding, where the data is simply passed into the Encoded Data Interface. This can be performed on fixed data only. Each tag is made up of fixed tag data (i.e. this data is the same for each tag on the page) and variable tag data (i.e. different for each tag on the page). Fixed tag data is either stored in DRAM as 120-bits when it is already coded (or no coding is required), 40-bits when (15,5) coding is required or 56-bits when (15,7) coding is required. Once the fixed tag data is coded it is 120-bits long. It is then stored in the Encoded Tag Data Interface. The variable tag data is stored in the DRAM in uncoded form. When (15,5) coding is required, the 120-bits stored in DRAM are encoded into 360-bits. When (15,7) coding is required, the 112-bits stored in DRAM are encoded into 240-bits. When 2D decoding is required the 120-bits stored in DRAM are converted into 240-bits. In each case the encoded bits are stored in the Encoded Tag Data Interface.
The encoded fixed and variable tag data are eventually used to print the tag. The fixed tag data is loaded in once from the DRAM at the start of a page. It is encoded as necessary and is then stored in one of the 8x15-bits registers/RAMs in the Encoded Tag Data Interface. This data remains unchanged in the registers/RAMs until the next page is ready to be processed.
The 120-bits of unencoded variable tag data for each tag is stored in four 32-bit words. The TE rereads the variable tag data, for a particular tag from DRAM, every time it produces that tag. The variable tag data FIFO which reads from DRAM has enough space to store 4 tags. 26.7.2.1 Bandstore wrapping
Both TD and TFS storage in DRAM can wrap around the bandstore area. The bounds of the band store are described by inputs from the CDU shown in Table . The TD and TFS DRAM interfaces therefore support bandstore wrapping. If the TD or TFS DRAM interface increments an address it is checked to see if it matches the end of bandstore address. If so, then the address is mapped to the start of the bandstore. 26.7.3 Data Flow
An overview of the dataflow through the TDI can be seen in Figure 198 below. The TD interface consists of the following main sections:
• the Raw Tag Data Interface - fetches tag data from DRAM; • the tag data register; • 2 Reed Solomon encoders - each encodes one 4-bit symbol at a time;
• the Encoded Tag Data Interface - supplies encoded tag data for output;
• Two 2D decoders.
The main performance specification for PEC1 is that the TE must be able to output data at a continuous rate of 2 dots per cycle. 26.7.4 Raw tag data interface
The raw tag data interface (RTDI) provides a simple means of accessing raw tag data in DRAM. The RTDI passes tag data into a FIFO where it can be subsequently read as required. The 64-bit output from the FIFO can be read directly, with the value of the wr_rd_counter being used to set/reset as the enable signal (rtdAvaif). The FIFO is clocked out with receipt of an rtdRd signal from the TS FSM.
Figure 199 shows a block diagram of the raw tag data interface. 26.7.4.1 RTDI FSM The RTDI state machine is responsible for keeping the raw tag FIFO full. The state machine reads the line of tag data once for each Printline that uses the tag. This means a given line of tag data will be read TagHeight times. Typically this will be 126 times or more, based on an approximately 2mm tag. Note that the first line of tag data may be read fewer times since the start of the page may be within a tag. In addition odd and even rows of tags may contain different numbers of tags. Section 26.6.5.1 outlines how to start the TE and restart it between bands. Users must set the NextBandStartTagDataAdr, NextBandEndOfTagData, NextBandFirstTagLineHeight and numTags[0], numTags[1] registers before starting the TE by asserting Go. To restart the tag encoder for second and subsequent bands of a page, the NextBandStartTagDataAdr, NextBandEndOfTagData and NextBandFirstTagLineHeight registers need to be updated (typically numTags[0] and numTags[1] will be the same if the previous band contains an even number of tag rows) and NextBandEnable set. See Section 26.6.5.1 for a full description of the four ways of reprogramming the TE between bands. The tag data is read once for every Printline containing tags. When maximally packed, a row of tags contains 163 tags (see Table n page465 on page 440). The RTDI State Flow diagram is shown in Figure 200. An explanation of the states follows: idle state:- Stay in the idle state if there is no variable data present. If there is variable data present and there are at least 4 spaces left in the FIFO then request a burst of 2 tags from the DRAM (1 * 256bits). Counter countx is assigned the number of tags in a even/odd line which depends on the value of register rtdtagsense. Down-counter county is assigned the number of dot lines high a tag will be (min 126). Initially it must be set the firsttaglineheight value as the TE may be between pages (i.e. a partial tag). For normal tag generation county will take the value of tagmaxline register. diujaccess:- The diujaccess state will generate a request to the DRAM if there are at least 4 spaces in the FIFO. This is indicated by the counter wr djoounter which is incremented/decremented on writes/reads of the FIFO. As long as wrjdjoounter is less than 4 (FIFO is 8 high) there must be 4 locations free. A control signal called tdjdiujradrvalid is generated for the duration of the DRAM burst access. Addresses are sent in bursts of 1. The counter burstjoount controls this signal, (will involve modification to existing TE code.) If there is an odd number of tags in line then the last DRAM read will contain a tag in the first 128 bits and padding in the final 128 bits. fifojoad:- This state controls the addressing to the DRAM. Counters countx and county are used to monitor whether the TE is processing a line of dots within a row of tags. When countx is zero it means all tag dots for this row are complete. When county is zero it means the TE is on the last line of dots (prior to Y scaling) for this row of tags. When a row of tags is complete the sense of rtdtagsense is inverted (odd/ even). The rawtagdataadr is compared to the tejandoftagdata address. If rawtagdataadr = endoftagdata the doneband signal is set, the finishedband signal is pulsed, and the FSM enters the rtdjstall state until the doneband signal is reset to zero by the PCU by which time the rawtagdata, endoftagedata and firsttaglineheight registers are setup with new values to restart the TE. This state is used to count the 64-bit reads from the DIU. Each time diujd_rvalid is high rtdjdatajoount is incremented by 1. The compare of rtdjdatajoount = rtdjnum is necessary to find out when either all 4*64-bit data has been received or n*64-bit data (depending on a match of rawtagdataadr = endoftagdata in the middle of a set of 4*64-bit values being returned by the DIU. rtdjstall:- This state waits for the the doneband signal to be reset (see page 458 for a description of how this occurs). Once reset the FSM returns to the idle state. This states also performs the same count on the diujdata read as above in the case where diujd_rvalid has not gone high by the time the addressing is complete and the end of band data has been reached i.e. rawtagdataadr = endoftagdata 26.7.5 TDI state machine The tag data state machine has two processing phases. The first processing phase is to encode the fixed tag data stored in the 128-bit (2 x 64-bit) tag data register. The second is to encode tag data as it is required by the tag encoder.
When the Tag Encoder is started up, the fixed tag data is already preloaded in the 128 bit tag data record. If encodeFixed is set, then the 2 codewords stored in the lower bits of the tag data record need to be encoded: 40 bits if dataRedun = 0, and 56 bits if dataRedun = 1. If encodeFixed is clear, then the lower 120 bits of the tag data record must be passed to the encoded tag data interface without being encoded.
When encodeFixed is set, the symbols derived from codeword 0 are written to codeword 6 and the symbols derived from codeword 1 are written to codeword 7. The data symbols are stored first and then the remaining redundancy symbols are stored afterwards, for a total of 15 symbols. Thus, when dataRedun = 0, the 5 symbols derived from bits 0-19 are written to symbols 0-4, and the redundancy symbols are written to symbols 5-14. When dataRedun = 1 , the 7 symbols derived from bits 0-27 are written to symbols 0-6, and the redundancy symbols are written to symbols 7-14. When encodeFixed is clear, the 120 bits of fixed data is copied directly to codewords 6 and 7. The TDI State Flow diagram is shown in Figure 202. An explanation of the states follows. idle:- In the idle state wait for the tag encoder go signal - topjgo = 1. The first task is to either store or encode the Fixed data. Once the Fixed data is stored or encoded/stored the donefixed flag is set. If there is no variable data the FSM returns to the idle state hence the reason to check the donefixed flag before advancing i.e. only store/encode the fixed data once. fixed jdata:- In the fixed jdata state the FSM must decode whether to directly store the fixed data in the ETDi or if the fixed data needs to be either (15:5) (40-bits) or (15:7) (56-bits) RS encoded or 2D decoded. The values stored in registers encodefixed and dataredun and decode∑den determine what the next state should be. bypass Jo jatdi:- The bypass jojatdi takes 120-bits of fixed data(pre-encoded) from the tag jdata(127:0) register and stores it in the 15*8 (by 2 for simultaneous reads) buffers. The data is passed from the tagjdata register through 3 levels of muxing (levell , Ievel2, Ievel3) where it enters the RS0/RS1 encoders (which are now in a straight through mode (i.e. controljo and control JJ are zero hence the data passes straight from the input to the output). The MSBs of the etdjwrjadr must be high to store this data as codewords 6,7. etdjoufjswitch:- This state is used to set the tdvalid signal and pulse the etdjadvjag signal which in turn is used to switch the read write sense of the ETDi buffers (wrsbO). The firsttime signal is used to identify the first time a tag is encoded. If zero it means read the tag data from the RTDi FIFO and encode. Once encoded and stored the FSM returns to this state where it evaluates the sense of tdvalid. First time around it will be zero so this sets tdvalid and returns to the readtagdata state to fill the 2nd ETDi buffer. After this the FSM returns to this state and waits for the lastdotintag signal to arrive. In between tags when the lastdotingtag signal is received the etdjadvjag is pulsed and the FSM goes to the readtagdata state. However if the lastdotintag signal arrives at the end of a line there is an extra 1 cycle delay introduced in generating the etdjadvjag pulse (via etdjadvjagjandofline) due to the pipelining in the TFS. This allows all the previous tag to be read from the correct buffer and seamless transfer to the other buffer for the next line. readtagdata:- The readtagdata state waits to receive a rtdavail signal from the raw tag data interface which indicates there is raw tag data available. The tagjdata register is 128-bits so it takes 2 pulses of the rtdrd signal to get the 2*64-bits into the tagjdata register. If the rtdavail signal is set rtdrd is pulsed for 1 cycle and the FSM steps onto the loadtagdata state. Initially the flag first64bits will be zero. The 64-bits of rtd are assigned to the tag_data[63:0] and the flag first64bits is set to indicate the first raw tag data read is complete. The FSM then steps back to the read jagdata state where it generates the second rtdrd pulse. The FSM then steps onto the loadtagdata state for where the second 64-bits of rawtag data are assigned to tag jdata[128:64]. loadtagdata:- The loadtagdata state writes the raw tag data into the tagjdata register from the
RTDi FIFO. The first64bits flag is reset to zero as the tagjdata register now contains 120/112 bits of variable data. A decode of whether to (15:5) or (15:7) RS encode or 2D decode this data decides the next state. rs_1δ_δ:- The rs_15j5 (Reed Solomon (15:5) mode) state either encodes 40-bit Fixed data or 120-bit Variable data and provides the encoded tag data write address and write enable (etdjwrjadr and etdwe respectively). Once the fixed tag data is encoded the donefixed flag is set as this only needs to be done once per page. The variabledatapresent register is then polled to see if there is variable data in the tags. If there is variable data present then this data must be read from the RTDi and loaded into the tagjdata register. Else the tdvalid flag must be set and FSM returns to the idle state, control j5 is a control bit for the RS Encoder and controls feedforward and feedback muxes that enable (15:5) encoding.
The rs j 5j5 state also generates the control signals for passing 120-bits of variable tag data to the RS encoder in 4-bit symbols per clock cycle, rsjoounter is used both to control the levell _mux and act as the 15-cycle counter of the RS Encoder. This logic cycles for a total of 3*15 cycles to encode the 120-bits. rs lδ :- The rs _15_7 state is similar to the rs 5j5 state except the leveh jnux has to select 7 4-bit symbols instead of 5. decode J2d lδ_δ, decode_2d tδJ7:- The decode_2d states provides the control signals for passing the 120-bit variable data to the 2D decoder. The 2 Isbs are decoded to create 4 bits. The 4 bits from each decoder are combined and stored in the ETDi. Next the 2 MSBs are decoded to create 4 bits. Again the 4 bits from each decoder are combined and stored in the ETDi. As can be seen from Figure n page488 on page Error! Bookmark not defined, there are 3 stages of muxing between the Tag Data register and the RS encoders or 2D decoders. Levels 1-2 are controlled by levell jmux and Ievel2jmux which are generated within the TDi FSM as is the write address to the ETDi buffers (etdjwrjadr)
Figures 203 through 208 illustrate the mappings used to store the encoded fixed and variable tag data in the ETDI buffers.
26.7.6 Reed Solomon (RS) Encoder
26.7.7 Introduction A Reed Solomon code is a non binary, block code. If a symbol consists of m bits then there are q
= 2m possible symbols defining the code alphabet. In the TE, m = 4 so the number of possible symbols is q = 16.
An (n,k) RS code is a block code with k information symbols and n code-word symbols. RS codes have the property that the code word n is limited to at most q+1 symbols in length. In the case of the TE, both (15,5) and (15,7) RS codes can be used. This means that up to 5 and
4 symbols respectively can be corrected.
Only one type of RS coder is used at any particular time. The RS coder to be used is determined by the registers TEjdataredun and TE_decode2den:
• TEjdataredun = 0 and TE_decode2den = 0, then use the (15,5) RS coder • TEjdataredun = 1 and TEjdecode2den = 0, then use the (15,7) RS coder
For a (15,k) RS code with m = 4, k 4-bit information symbols applied to the coder produce 15 4-bit codeword symbols at the output. In the TE, the code is systematic so the first k codeword symbols are the same the as the k input information symbols.
A simple block diagram can be seen in. 26.7.8 I/O Specification A I/O diagram of the RS encoder can be seen in. 26.7.9 Proposed implementation
In the case of the TE, (15,5) and (15,7) codes are to be used with 4-bits per symbol. The primitive polynomial is p(x) = x4 + x + 1 In the case of the (15,5) code, this gives a generator polynomial of g(x) = (x+a)(x+a2)(x+a3)(x+a4)(x+a5)(x+a6)(x+a7)(x+a8)(x+a9)(x+a10) g(x) = x10 + a2x9 + a3x8 + aV + aV + a14x5 + a2x4 + ax3 + aV + ax + a10 g(χ) = χ10 + g9 χ9 + g8χ8 + g?χ7 + g6 χ6 + gs 5 + g^4 + gs 3 + g2 χ2 + gιχ + g0
In the case of the (15,7) code, this gives a generator polynomial of h(x) = (x+a)(x+a ;(x+a3)(x+a4)(x+a5)(x+a6)(x+a7)(x+a8) h(x) = x8 + a14x7 + a2x6 + aV + a2x4 + a13x3 + a5x2 + a11x + a6 h(x) = x8 + h7x7 + h6x6 + h5x5 + h4x4 + h3x3 + h2x2 + h-,x + h0 The output code words are produced by dividing the generator polynomial into a polynomial made up from the input symbols.
This division is accomplished using the circuit shown in Figure 211.
The data in the circuit are Galois Field elements so addition and multiplication are performed using special circuitry. These are explained in the next sections. The RS coder can operate either in (15,5) or (15,7) mode. The selection is made by the registers
TEjdataredun and TEjdecode2den.
When operating in (15,5) mode control 7 is always zero and when operating in (15,7) mode control jo is always zero.
Firstly consider (15,5) mode i.e. TEjdataredun is set to zero. For each new set of 5 input symbols, processing is as follows:
The 4-bits of the first symbol d0 are fed to the input port rs_datajn(3:0) and controljo is set to 0. mux2 is set so as to use the output as feedback, controljo is zero so mux4 selects the input
(rsjdatajn) as the output (rsjdatajout). Once the data has settled (« 1 cycle), the shift registers are clocked. The next symbol d1 is then applied to the input, and again after the data has settled the shift registers are clocked again. This is repeated for the next 3 symbols 0* 2, d3 and d4. As a result, the first 5 outputs are the same as the inputs. After 5 cycles, the shift registers now contain the next 10 required outputs, controljo is set to 1 for the next 10 cycles so that zeros are fed back by mux2 and the shift register values are fed to the output by mux3 and mux4 by simply clocking the registers. A timing diagram is shown below.
Secondly consider (15,7) mode i.e. TEjdataredun is set to one.
In this case processing is similar to above except that control_7 stays low while 7 symbols (d0, d-i
... d6) are fed in. As well as being fed back into the circuit, these symbols are fed to the output.
After these 7 cycles, control J is set to 1 and the contents of the shift registers are fed to the output. A timing diagram is shown below.
The enable signal can be used to start/reset the counter and the shift registers. The RS encoders can be designed so that encoding starts on a rising enable edge. After 15 symbols have been output, the encoder stops until a rising enable edge is detected. As a result there will be a delay between each codeword.
Alternatively, once the enable goes high the shift registers are reset and encoding will proceed until it is told to stop, rsjdatajn must be supplied at the correct time. Using this method, data can be continuously output at a rate of 1 symbol per cycle, even over a few codewords. Alternatively, the RS encoder can request data as it requires. The performance criterion that must be met is that the following must be carried out within 63 cycles
• load one tag's raw data into TEJagdata
• encode the raw tag data
• store the encoded tag data in the Encoded Tag Data Interface In the case of the raw fixed tag data at the start of a page, there is no definite performance criterion except that it should be encoded and stored as fast as possible.
26.7.10 Galois Field elements and their representation
A Galois Field is a set of elements in which we can do addition, subtraction, multiplication and division without leaving the set. The TE uses RS encoding over the Galois Field GF(24). There are 24 elements in GF(24) and they are generated using the primitive polynomial p(x) = x4 + x + 1.
The 16 elements of GF(24) can be represented in a number of different ways. Table 179 shows three possible representations - the power, polynomial and 4-tuple representation. Table 179. GF(2 ) representations
Figure imgf000470_0001
Figure imgf000471_0002
26.7.11 Multiplica
The multiplication of two field elements a and αb is defined as „.c = α a . „,b _ - o „r,(a+b)modulo 15
Thus
Figure imgf000471_0001
So if we have the elements in exponential form, multiplication is simply a matter of modulo 15 addition. If the elements are in polynomial/tuple form, the polynomials must be multiplied and reduced mod x4 + x + 1.
Suppose we wish to multiply the two field elements in GF(24): αa = a x3 + a2x2 + a-|X1 + a0 αb = b3x3 + b2x2 + b-,x1 + b0 where ah bj are in the field (0,1 ) (i.e. modulo 2 arithmetic)
Multiplying these out and using x4 + x + 1 = 0 we get: αa+b = [(aob3 + aιb2 + a2bτ + a3b0) + a3b3]x3 + [(a0b2 + a-|b.| + a2b0) + a3b3 + (a3b2 + a2b3)]x2 + [(a0bι + a-,b0) + (a3b2 + a2b3) + (a^ + a2b2 + a3b!)]x + [(a0b0 + a.,b3 + a2b2 + a3b.,)] αa+b = [a0b3 + a-|b2 + a2bι + a3(b0 + b3)]x3 + [a0b + a^ + a2(b0 + b3) + a3(b2 + b3) ]x2 + [a0b1 + a! (b0 + b3) + a2(b2 + b3) + a3(b! + b2) ]x + [a0b0 + a-|b3 + a2b2 + a3bι]
If we wish to multiply an arbitrary field element by a fixed field element we get a more simple form. Suppose we wish to multiply αb by α3. In this case α3 = x3 so (aO a1 a2 a3) = (0 0 0 1 ). Substituting this into the above equation gives αc = (bo + b3)x3 + (b2 + b3)x2 + (bi + b2)x + b-, This can be implemented using simple XOR gates as shown in Figure 214
26.7.12 Addition of GF(24) elements
If the elements are in their polynomial/tuple form, polynomials are simply added. Suppose we wish to add the two field elements in GF(24): αa = a3x3 + a2x2 + a-|X + ao αb = b3x3 + b2x2 + b-,x + b0 where a„ b, are in the field (0,1) (i.e. modulo 2 arithmetic) α° = αa + αb = (a3 + b3)x3 + (a2 + b2)x2 + (a-i + b-,)x + (a0 + b0) Again this can be implemented using simple XOR gates as shown in Figure 215 26.7.13 Reed Solomon Implementation
The designer can decide to create the relevant addition and multiplication circuits and instantiate them where necessary. Alternatively the feedback multiplications can be combined as follows. Consider the multiplication α a .α b _ = c or in terms of polynomials (a3x3 + a2x2 + a-|X + a0).(b3x3 + b2x2 + b + b0) = (c3x3 + c2x2 + dx + Co)
If we substitute all of the possible field elements in for αa and express αG in terms of α , we get the table of results shown in Table 180. Table 180. αc multiplied by all field elements, expressed in terms of αb
Figure imgf000472_0001
the following signals are required: • b0, b-i, b2, b3, • ( bo+b , (b0+b2), (b0+b3), (b^bz), (b^ba), (b2+b3), • (bo+bi+bz), (bo+^+ba), (b0+b2+b3), (b1+b2+b3), • (b0+b1+b2+b3)
The implementation of the circuit can be seen in Figure . The main components are XOR gates, 4-bit shift registers and multiplexers.
The RS encoder has 4 input lines labelled 0,1 ,2 & 3 and 4 output lines labelled 0,1 ,2 & 3. This labelling corresponds to the subscripts of the polynomial/4-tuple representation. The mapping of 4-bit symbols from the TE jagdata register into the RS is as follows:
- the LSB in the TE jagdata is fed into lineO
- the next most significant LSB is fed into linel
- the next most significant LSB is fed into Iine2
- the MSB is fed into Iine3 The RS output mapping to the Encoded tag data interface is similiar. Two encoded symbols are stored in an 8-bit address. Within these 8 bits:
- lineO is fed into the LSB (bit 0/4)
- linel is fed into the next most significant LSB (bit 1/5)
- Iine2 is fed into the next most significant LSB (bit 2/6) - Iine3 is fed into the MSB (bit 3/7)
267.14 2D Decoder
The 2D decoder is selected when TEjdecode2den = 1. It operates on variable tag data only, its function is to convert 2-bits into 4-bits according to Table 181..
Table 181. Operation of 2D decoder
Figure imgf000473_0001
26.7.15 Encoded tag data interface
The encoded tag data interface contains an encoded fixed tag data store interface and an encoded variable tag data store interface, as shown in Figure 217. The two reord units simply reorder the 9 input bits to map low-order codewords into the bit selection component of the address as shown in Table 182. Reordering of write addresses is not necessary since the addresses are already in the correct format. Table 182. Reord unit
Figure imgf000474_0001
The encoded fixed data interface is a single 15 x 8-bit RAM with 2 read ports and 1 write port. As it is only written to during page setup time (it is fixed for the duration of a page) there is no need for simultaneous read/write access. However the fixed data store must be capable of decoding two simultaneous reads in a single cycle.Figure 218 shows the implementation of the fixed data store.
The encoded variable tag data interface is a double buffered 3 x 15 x 8-bit RAM with 2 read ports and 1 write port. The double buffering allows one tag's data to be read (two reads in a single cycle) while the next tag's variable data is being stored. Write addressing is 6 bits: 2 bits of address for selecting 1 of 3, and 4 bits of address for selecting 1 of 15. Read addressing is the same with the addition of 3 more address bits for selecting 1 of 8.
Figure 219 shows the implementation of the encoded variable tag data store. Double buffering is implemented via two sub-buffers. Each time an AdvTag pulse is received, the sense of which sub- buffer is being read from or written to changes. This is accomplished by a 1-bit flag called wrsbO. Although the initial state of wrsbO is irrelevant, it must invert upon receipt of an AdvTag pulse. The structure of each sub-buffer is shown in Figure 220. 26.8 TAG FORMAT STRUCTURE (TFS) INTERFACE 26.8.1 Introduction The TFS specifies the contents of every dot position within a tags border i.e.: • is the dot part of the background? • is the dot part of the data?
The TFS is broken up into Tag Line Structures (TLS) which specify the contents of every dot position in a particular line of a tag. Each TLS consists of three tables - A, B and C (see Figure 221 ). For a given line of dots, all the tags on that line correspond to the same tag line structure.
Consequently, for a given line of output dots, a single tag line structure is required, and not the entire TFS. Double buffering allows the next tag line structure to be fetched from the TFS in DRAM while the existing tag line structure is used to render the current tag line. The TFS interface is responsible for loading the appropriate line of the tag format structure as the tag encoder advances through the page. It is also responsible for producing table A and table B outputs for two consecutive dot positions in the current tag line.
• There is a TLS for every dot line of a tag.
• All tags that are on the same line have the exact same TLS.
• A tag can be up to 384 dots wide, so each of these 384 dots must be specified in the TLS.
• The TLS information is stored in DRAM and one TLS must be read into the TFS Interface for each line of dots that are outputted to the Tag Plane Line Buffers.
• Each TLS is read from DRAM as 5 times 256-bit words with 214 padded bits in the last 256-bit DRAM read.
26.8.2 I/O Specification Table 183. Tag Format Structure Interface Port List
Figure imgf000475_0001
Figure imgf000476_0001
26.8.2.1 State machine
The state machine is responsible for generating control signals for the various TFS table units, and to load the appropriate line from the TFS. The states are explained below. idle:- Wait for topjgo to become active. Pulse advjfsjine for 1 cycle to reset tawradr and tbwradr registers. Pulsing advjfsjine will switch the read/write sense of Table B so switching Table A here as well to keep things the same i.e. wrtaO = NOT( wrføO). diujaccess:- In the diujaccess state a request is sent to the DIU. Once an ack signal is received Table A write enable is asserted and the FSM moves to the tlsjoad state. tlsjoad:- The DRAM access is a burst of 5 256-bit accesses, ultimately returned by the DIU as 5*(4*64bit) words. There will be 192 padded bits in the last 256-bit DRAM word. The first 12 64- bit words reads are for Table A, words 12 to 15 and some of 16 are for Table B while part of read 16 data is for Table C. The counter readjnum is used to identify which data goes to which table. The table B data is stored temporarily in a 288-bit register until the tlsjjpdate state hence tbwe does not become active until read jium = 16).
• The DIU data goes directly into Table A (12 * 64).
• The DIU data for Table B is loaded into a 288-bit register.
• The DIU data goes directly into Table C.
tlsjjpdate:- The 288-bits in Table B need to written to a 32*9 buffer. The tlsjjpdate state takes care of this using the readjnum counter. tlsjnex - This state checks the logic level of tfsvalid and switches the read/write senses of Table A (wrtaO) and Table B a cycle later (using the advjfsjine pulse). The reason for switching Table A a cycle early is to make sure the top jevel address via tagdotnum is pointing to the correct buffer. Keep in mind the top jevel is working a cycle ahead of Table A and 2 cycles ahead of Table B.
If tfsValid is 1 , the state machine waits until the advTagLine signal is received. When it is received, the state machine pulses advTFSLine (to switch read/write sense in tables A, B, C), and starts reading the next line of the TFS from CurrTFSAdr. If tfsValid is 0, the state machine pulses advTFSLine (to switch read/write sense in tables A, B, C) and then jumps to the tls jfsvalid_set state where the signal tfsValid is set to 1 (allowing the tag encoder to start, or to continue if it had been stalled). The state machine can then start reading the next line of the TFS from CurrTFSAdr. tls jfsvalidjiext:- Simply sets the tfsvalid signal and returns the FSM to the diujaccess state.
If an advTagLine signal is received before the next line of the TFS has been read in, tfsValid is cleared to 0 and processing continues as outlined above.
26.8.2.2 Bandstore wrapping
Both TD and TFS storage in DRAM can wrap around the bandstore area. The bounds of the band store are described by inputs from the CDU shown in Table . The TD and TFS DRAM interfaces therefore support bandstore wrapping. If the TD or TFS DRAM interface increments an address it is checked to see if it matches the end of bandstore address. If so, then the address is mapped to the start of the bandstore.
The TFS state flow diagram is shown in below.
26.8.3 Generating a tag from Tables A, B and C
The TFS contains an entry for each dot position within the tag's bounding box. Each entry specifies whether the dot is part of the constant background pattern or part of the tag's data component (both fixed and variable).
The TFS therefore has TagHeight x TagWidth entries, where TagHeight is the height of the tag in dot-lines and TagWidth is the width of the tag in dots. The TFS entries that specify a single dot- line of a tag are known as a Tag Line Structure. The TFS contains a TLS for each of the 1600 dpi lines in the tag's bounding box. Each TLS contains three contiguous tables, known as tables A, B and C.
Table A contains 384 2-bit entries i.e. one entry for each dot in a single line of a tag up to the maximum width of a tag. The actual number of entries used should match the size of the bounding box for the tag in the dot dimension, but all 384 entries must be present. Table B contains 32 9-bit data address that refer to (in order of appearance) the data dots present in the particular line. Again, all 32 entries must be present, even if fewer are used.
Table C contains two 5-bit pointers into table B and is followed by 22 unused bits. The total length of each TLS is therefore 34 32-bit words.
Each output dot value is generated as follows: Each entry in Table A consists of 2-bits - bitO and bitl . These 2-bits are interpreted according to Table 184, Table 185 and Table 186.
Table 184. Interpretation of bitO from entry in Table A
Figure imgf000477_0001
Table 185. Interpretation of bitl from entry in table A when bitO = 0 bit 1 interpretation
Figure imgf000478_0001
Table 186. Interpretation of bitl from entry in table A when bitO = 1
Figure imgf000478_0002
If bitO = 0 then the output dot for this entry is part of the constant background pattern. The dot value itself comes from bitl i.e. if bitl = 0 then the output is 0 and if bitl = 1 then the output is 1.
If bitO = 1 then the output dot for this entry comes from the variable or fixed tag data. Bitl is used in conjunction with Tables B and C to determine data bits to use.
To understand the interpretation of bitl when bitO = 1 we need to know what is stored in Table B.
Table B contains the addresses of all the data bits that are used in the particular line of a tag in order of appearance. Therefore, up to 32 different data bits can appear in a line of a tag. The address of the first data dot in a tag will be given by the address stored in entry 0 of Table B. As we advance along the various data dots we will advance through the various Table B entries.
Each Table B entry is 9-bits long and each points to a specific variable or fixed data bit for the tag.
Each tag contains a maximum of 120 fixed and 360 variable data bits, for a total of 480 data bits. To aid address decoding, the addresses are based on the RS encoded tag data. Table lists the interpretation of the 9-bit addresses. Table 187. Interpretation of 9-bit tag data address in Table B
Figure imgf000478_0003
If the fixed data is supplied to the TE in an unencoded form, the symbols derived from codeword 0 of fixed data are written to codeword 6 and the symbols derived from fixed data codeword 1 are written to codeword 7. The data symbols are stored first and then the remaining redundancy symbols are stored afterwards, for a total of 15 symbols. Thus, when 5 data symbols are used, the 5 symbols derived from bits 0-19 are written to symbols 0-4, and the redundancy symbols are written to symbols 5-14. When 7 data symbols are used, the 7 symbols derived from bits 0-27 are written to symbols 0-6, and the redundancy symbols are written to symbols 7-14 However, if the fixed data is supplied to the TE in a pre-encoded form, the encoding could theoretically be anything. Consequently the 120 bits of fixed data is copied to codewords 6 and 7 as shown in Table 188. Table 188. Mapping of fixed data to codeword/symbols when no redundancy encoding
Figure imgf000479_0001
It is important to note that the interpretation of bitl from Table A (when bitO = 1 ) is relative. A 5-bit index is used to cycle through the data address in Table B. Since the first tag on a particular line may or may not start at the first dot in the tag, an initial value for the index into Table B is needed.
Subsequent tags on the same line will always start with an index of 0, and any partial tag at the end of a line will simply finish before the entire tag has been rendered. The initial index required due to the rendering of a partial tag at the start of a line is supplied by Table C. The initial index will be different for each TLS and there are two possible initial indexes since there are effectively two types of rows of tags in terms of initial offsets.
Table C provides the appropriate start index into Table B (2 5-bit indices). When rendering even rows of tags, entry 0 is used as the initial index into Table B, and when rendering odd rows of tags, entry 1 is used as the initial index into Table B. The second and subsequent tags start at the left most dots position within the tag, so can use an initial index of 0.
26.8.4 Architecture
A block diagram of the Tag Format Structure Interface can be seen in Figure 223.
26.8.4.1 Table A interface The implementation of table A is two 16 x 64-bit RAMs with a small amount of control logic, as shown in Figure 224. While one RAM is read from for the current line's table A data (4 bits representing 2 contiguous table A entries), the other RAM is being written to with the next line's table A data (64-bits at a time).
Note:- The Table A data to be printed (if each LSB = 0) must be passed to the topjevel 2 cycles after the read of Table A due to the 2-stage pipelining in the TFS from registering Table A and
Table B outputs hence this extra registering stage for the generation of tajjotOjlcyclelater and ta_dot1_1 cyclelater. Each time an AdvTFSLine pulse is received, the sense of which RAM is being read from or written to changes. This is accomplished by a 1 -bit flag called wrtaO. Although the initial state of wrtaO is irrelevant, it must invert upon receipt of an AdvTFSLine pulse. A 4-bit counter called taWrAdr keeps the write address for the 12 writes that occur after the start of each line (specified by the AdvTFSLine control input). The tawe (table A write enable) input is set whenever the data in is to be written to table A. The taWrAdr address counter automatically increments with each write to table A. Address generation for tawe and taWrAdr is shown in Table 189. 26.8.4.2 Table C interface
A block diagram of the table C interface is shown below in Figure 226. The address generator for table C contains a 5 bit address register adr that is set to a new address at the start of processing the tag (either of the two table C initial values based on tagAltSense at the start of the line, and 0 for subsequent tags on the same line). Each cycle two addresses into table B are generated based on the two 2-bit inputs (inO and in1). As shown in Section 189, the output address tbRdAdrO is always adr and tbRdAdrl is one of adr and adr+1, and at the end of the cycle adr takes on one of adr, adr+1, and adr+2. Table 189. AdrGen lookup table
Figure imgf000480_0001
26.8.4.3 Table B interface
X = don't care state. The table B interface implementation generates two encoded tag data addresses (tfsijadrO, tfsijadrl) based on two table B input addresses (tbRdAdrO, tbRdAdrl). A block diagram of table B can be seen in Figure 227.
Table B data is initially loaded into the 288-bit table B temporary register via the TFS FSM. Once all 288-bit entries have been loaded from DRAM, the data is written in 9-bit chunks to the 32*9 register arrays based on tbwradr.
Each time an AdvTFSLine pulse is received, the sense of which sub buffer is being read from or written to changes. This is accomplished by a 1 -bit flag called wrtbO. Although the initial state of wrtbO is irrelevant, it must invert upon receipt of an AdvTFSLine pulse. Note:- The output addresses from Table B are registered. 27 Tag FIFO Unit (TFU) 27.1 OVERVIEW
The Tag FIFO Unit (TFU) provides the means by which data is transferred between the Tag Encoder (TE) and the HCU. By abstracting the buffering mechanism and controls from both units, the interface is clean between the data user and the data generator.
The TFU is a simple FIFO interface to the HCU. The Tag Encoder will provide support for arbitrary Y integer scaling up to 1600 dpi. X integer scaling of the tag dot data is performed at the output of the FIFO in the TFU. There is feedback to the TE from the TFU to allow stalling of the TE during a line. The TE interfaces to the TFU with a data width of 8 bits. The TFU interfaces to the HCU with a data width of 1 bit.
The depth of the TFU FIFO is chosen as 16 bytes so that the FIFO can store a single 126 dot tag. 27.1.1 Interfaces between TE, TFU and HCU
27.1.1.1 TE-TFU Interface
The interface from the TE to the TFU comprises the following signals: • tejfujwdata, 8-bit write data.
• tejfu_wdatavalid, write data valid.
• tejfujwradvline, accompanies the last valid 8-bit write data in a line. The interface from the TFU to TE comprises the following signal:
• tfujejoktowrite, indicating to the TE that there is space available in the TFU FIFO. The TE writes data to the TFU FIFO as long as the TFU's tfujejoktowrite output bit is set. The TE write will not occur unless data is accompanied by a data valid signal.
27.1.1.2 TFU-HCU Interface
The interface from the TFU to the HCU comprises the following signals:
• tfujhcujdata, 1 -bit data. • tfujhcujavail, data valid signal indicating that there is data available in the TFU FIFO. The interface from HCU to TFU comprises the following signal:
• hcujfujready, indicating to the TFU to supply the next dot. 27.1.1.2.1 X scaling
Tag data is replicated a scale factor (SF) number of times in the X direction to convert the final output to 1600 dpi. Unlike both the CFU and SFU, which support non-integer scaling, the scaling is integer only. Replication in the X direction is performed at the output of the TFU FIFO on a dot- by-dot basis.
To account for the case where there may be two SoPEC devices, each generating its own portion of a dot-line, the first dot in a line may not be replicated the total scale-factor number of times by an individual TFU. The dot will ultimately be scaled-up correctly with both devices doing part of the scaling, one on its lead-out and the other on its lead in.
Note two SoPEC TEs may be involved in producing the same byte of output tag data straddling the printhead boundary. The HCU of the left SoPEC will accept from its TE the correct amount of dots, ignoring any dots in the last byte that do not apply to its printhead. The TE of the right SoPEC will be programmed the correct number of dots into the tag and its output will be byte aligned with the left edge of the printhead. 27.2 DEFINITIONS OF I/O Table 190. TFU Port List
Figure imgf000482_0001
Figure imgf000483_0001
27.3 CONFIGURATION REGISTERS Table 191. TFU Configuration Registers
Figure imgf000483_0002
Figure imgf000484_0001
27.4 DETAILED DESCRIPTION
The FIFO is a simple 16-byte store with read and write pointers, and a contents store, Figure 229.
16 bytes is sufficient to store a single 126 dot tag.
Each line a total of TEByteCount bytes is read into the FIFO. All subsequent bytes are ignored until there is a strobe on the tejfujwradvline signal, whereupon bytes for the next line are stored.
On the HCU side, a total of HCUDotCount dots are produced at the output. Once this count is reached any more dots in the FIFO byte currently being processed are ignored. For the first dot in the next line the start of line scale factor, XFracScale, is used.
The behaviour of these signals and the control signals between the TFU and the TE and HCU is detailed below. // Concurrently Executed Code: // TE always allowed to write when there's either (a) room or (b) no room and all // bytes for that line have been received, if ( (FifoCntnts != FifoMax) OR (FifoCntnts == FifoMax and ByteToRx == 0) ) then tfujejoktowrite = 1 else tfu te oktowrite = 0 // Data presented to HCU when there is (a) data in FIFO and (b) the HCU has not // received all dots for a line if (FifoCntnts != 0) AND (BitToTx != 0)then tfu_hcuja.vail = 1 else tfujιcu_avail = 0
// Output mux of FIFO data tfujιcu_tdata = Fifo [FifoRdPnt] [RdBit]
// Sequentially Executed Code: if (te_tfu_wdatavalid == 1) AND (FifoCntnts ! = FifoMax) AND (ByteToRx != 0) then Fifo [Fifo rPnt] = te_tfu_wdata Fifo rPnt ++ FifoContents ++ ByteToRx -- if (te_tfu j?radvline == 1) then ByteToRx = TEByteCount if (hcu_tfujadvdot == 1 and FifoCntnts != 0) then { BitToTx ++ if (RepFrac == 1) then RepFrac = Xscale if (RdBit = 7) then RdBit = 0 FifoRdPnt ++ FifoContents -- else RdBit++ else RepFrac-- if (BitToTx == 1) then { RepFrac = XFracScale RdBit = 0 FifoRdPnt ++ FifoContents-- BitToTx = HCUDotCount } } What is not detailed above is the fact that, since this is a circular buffer, both the fifo read and write-pointers wrap-around to zero after they reach two. Also not detailed is the fact that if there is a change of both the read and write-pointer in the same cycle, the fifo contents counter remains unchanged.
28 alftoner Compositor Unit (HCU)
28.1 OVERVIEW
The Halftoner Compositor Unit (HCU) produces dots for each nozzle in the destination printhead taking account of the page dimensions (including margins). The spot data and tag data are received in bi-level form while the pixel contone data received from the CFU must be dithered to a bi-level representation. The resultant 6 bi-level planes for each dot position on the page are then remapped to 6 output planes and output dot at a time (6 bits) to the next stage in the printing pipeline, namely the dead nozzle compensator (DNC).
28.2 DATA FLOW Figure 230 shows a simple dot data flow high level block diagram of the HCU. The HCU reads contone data from the CFU, bi-level spot data from the SFU, and bi-level tag data from the TFU.
Dither matrices are read from the DRAM via the DIU. The calculated output dot (6 bits) is read by the DNC.
The HCU is given the page dimensions (including margins), and is only started once for the page. It does not need to be programmed in between bands or restarted for each band. The HCU will stall appropriately if its input buffers are starved. At the end of the page the HCU will continue to produce 0 for all dots as long as data is requested by the units further down the pipeline (this allows later units to conveniently flush pipelined data).
The HCU performs a linear processing of dots calculating the 6-bit output of a dot in each cycle. The mapping of 6 calculated bits to 6 output bits for each dot allows for such example mappings as compositing of the spotO layer over the appropriate contone layer (typically black), the merging of CMY into K (if K is present in the printhead), the splitting of K into CMY dots if there is no K in the printhead, and the generation of a fixative output bitstream.
28.3 DRAM STORAGE REQUIREMENTS SoPEC allows for a number of different dither matrix configurations up to 256 bytes wide. The dither matrix is stored in DRAM. Using either a single or double-buffer scheme a line of the dither matrix must be read in by the HCU over a SoPEC line time. SoPEC must produce 13824 dots per line for A4/Letter printing which takes 13824 cycles. The following give the storage and bandwidths requirements for some of the possible configurations of the dither matrix.
• 4 Kbyte DRAM storage required for one 64x64 (preferred) byte dither matrix
• 6.25 Kbyte DRAM storage required for one 80x80 byte dither matrix
• 16 Kbyte DRAM storage required for four 64x64 byte dither matrices
• 64 Kbyte DRAM storage required for one 256x256 byte dither matrix It takes 4 or 8 read accesses to load a line of dither matrix into the dither matrix buffer, depending on whether we're using a single or double buffer (configured by DoubleLineBuff register). 28.4 IMPLEMENTATION A block diagram of the HCU is given in Figure 231. 28.4.1 Definition of I/O Table 192. HCU port list and description
Figure imgf000487_0001
Figure imgf000488_0001
28.4.2 Configuration Registers
The configuration registers in the HCU are programmed via the PCU interface. Refer to section 21.8.2 on page 351 for the description of the protocol and timing diagrams for reading and writing registers in the HCU. Note that since addresses in SoPEC are byte aligned and the PCU only supports 32-bit register reads and writes, the lower 2 bits of the PCU address bus are not required to decode the address space for the HCU. When reading a register that is less than 32 bits wide zeros should be returned on the upper unused bit(s) of hcu ocujdatain. The configuration registers of the HCU are listed in Table 193. Table 193. HCU Registers
Figure imgf000488_0002
Figure imgf000489_0001
Figure imgf000490_0001
Figure imgf000491_0001
Figure imgf000492_0001
Figure imgf000493_0001
Figure imgf000494_0001
Figure imgf000495_0001
Figure imgf000496_0001
28.4.3 Control unit
The control unit is responsible for controlling the overall flow of the HCU. It is responsible for determining whether or not a dot will be generated in a given cycle, and what dot will actually be generated - including whether or not the dot is in a margin area, and what dither cell values should be used at the specific dot location. A block diagram of the control unit is shown in Figure 232.
The inputs to the control unit are a number of avail flags specifying whether or not a given dotgen unit is capable of supplying 'real' data in this cycle. The term 'real' refers to data generated from external sources, such as contone line buffers, bi-level line buffers, and tag plane buffers. Each dotgen unit informs the control unit whether or not a dot can be generated this cycle from real data. It must also check that the DNC is ready to receive data.
The contone/spot margin unit is responsible for determining whether the current dot coordinate is within the target contone/spot margins, and the tag margin unit is responsible for determining whether the current dot coordinate is within the target tag margins. The dither matrix table interface provides the interface to DRAM for the generation of dither cell values that are used in the halftoning process in the contone dotgen unit. 28.4.3.1 Determine advdot
The HCU does not always require contone planes, bi-level or tag planes in order to produce a page. For example, a given page may not have a bi-level layer, or a tag layer. In addition, the contone and bi-level parts of a page are only required within the contone and bi-level page margins, and the tag part of a page is only required within the tag page margins. Thus output dots can be generated without contone, bi-level or tag data before the respective top margins of a page has been reached, and 0s are generated for all color planes after the end of the page has been reached (to allow later stages of the printing pipeline to flush).
Consequently the HCU has an AvailMask register that determines which of the various input avail flags should be taken notice of during the production of a page from the first line of the target page, and a TMMask register that has the same behaviour, but is used in the lines before the target page has been reached (i.e. inside the target top margin area). The dither matrix mask bit TMask[0] is the exception, it applies to all margins areas not just the top margin. Each bit in the AvailMask refers to a particular avail bit: if the bit in the AvailMask register is set, then the corresponding avail bit must be 1 for the HCU to advance a dot. The bit to avail correspondence is shown in Table 194. Care should be taken with TMMask - if the particular data is not available after the top margin has been reached, then the HCU will stall. Note that the avail bits for contone and spot colors are ANDed with injargetj age after the target page area has been reached to allow dot production in the contone/spot margin areas without needing any data in the CFU and SFU. The avail bit for tag color is ANDed with injagjargetjoage after the target tag page area has been reached to allow dot production in the tag margin areas without needing any data in the TFU. Table 194. Correspondence between bit in AvailMask and avail flag
Figure imgf000497_0001
Each of the input avail bits is processed with its appropriate mask bit and the after Jopjmargin flag (note the dither matrix is the exception it is processed with injargetjpage). The output bits are ANDed together along with Go and outputjouffjull (which specifies whether the output buffer is ready to receive a dot in this cycle) to form the output bit advdot. We also generate wrjadvdot. In this way, if the output buffer is full or any of the specified avail flags is clear, the HCU will stall. When the end of the page is reached, injpage will be deasserted and the HCU will continue to produce 0 for all dots as long as the DNC requests data. A block diagram of the determine advdot unit is shown in Figure 233. The advance dot block also determines if current page needs dither matrix, it indicates to the dither matrix table interface block via the dmjreadjanable signal. If no dither is required in the margins or in the target page then dmjreadjanable will be 0 and no dither will be read in for this page. 28.4.3.2 Position unit
The position unit is responsible for outputting the position of the current dot (currjoos, currjine) and whether or not this dot is the last dot of a line (advline). Both currjoos and currjine are set to 0 at reset or when Go transitions from 0 to 1. The position unit relies on the advdot input signal to advance through the dots on a page. Whenever an advdot pulse is received, currjoos gets incremented. If currjoos equals maxjdot then an advline pulse is generated as this is the last dot in a line, currjine gets incremented, and the currjoos is reset to 0 to start counting the dots for the next line.
The position unit also generates a filtered version of advline called dmjadvline to indicate to the dither matrix pointers to increment to the next line. The dmjadvline is only incremented when dither is required for that line. if ( ( after jtopjnargin AND availjnask [0] ) OR tmjnask [0] ) then dmjadvline = advline else dmjadvline = 0
28.4.3.3 Margin unit
The responsibility of the margin unit is to determine whether the specific dot coordinate is within the page at all, within the target page or in a margin area (see Figure 234). This unit is instantiated for both the contone/spot margin unit and the tag margin unit. The margin unit takes the current dot and line position, and returns three flags.
• the first, injoage is 1 if the current dot is within the page, and 0 if it is outside the page.
• the second flag, injargetjpage, is 1 if the dot coordinate is within the target page area of the page, and 0 if it is within the target top/left/bottom/right margins.
• the third flag, after jopjnargin, is 1 if the current dot is below the target top margin, and 0 if it is within the target top margin.
A block diagram of the margin unit is shown in Figure 235.
28.4.3.4 Dither matrix table interface The dither matrix table interface provides the interface to DRAM for the generation of dither cell values that are used in the halftoning process in the contone dotgen unit. The control flag dm_read_enable enables the reading of the dither matrix table line structure from DRAM. If dmjreadjanable is 0, the dither matrix is not specified in DRAM and no DRAM accesses are attempted. The dither matrix table interface has an output flag dmjavail which specifies if the current line of the specified matrix is available. The HCU can be directed to stall when dmjavail is 0 by setting the appropriate bit in the HCU's AvailMask or TMMask registers. When dmjavail is 0 the value in the DitherConstant register is used as the dither cell values that are output to the contone dotgen unit. The dither matrix table interface consists of a state machine that interfaces to the DRAM interface, a dither matrix buffer that provides dither matrix values, and a unit to generate the addresses for reading the buffer. Figure 236 shows a block diagram of the dither matrix table interface. 28.4.3.δ Dither data structure in DRAM
The dither matrix is stored in DRAM in 256-bit words, transferred to the HCU in 64-bit words and consumed by the HCU in bytes. Table 195 shows the 64-bit words mapping to 256-bit word addresses, and Table 196 shows the 8-bits dither value mapping in the 64-bits word. Table 195. Dither Data stored in DRAM
Figure imgf000499_0001
When the HCU first requests data from DRAM, the 64-bits word transfer order will be
D0.D1 ,D2,D3. On the second request the transfer order will be D4,D5,D6,D7 and so on for other requests. Table 196. Dither data stored in HCUs line buffer
Figure imgf000499_0002
28.4.3.5.1 Dither matrix buffer
The state machine loads dither matrix table data a line at a time from DRAM and stores it in a buffer. A single line of the dither matrix is either 256 or 1288-bit entries, depending on the programmable bit DoubleLineBuf. If this bit is enabled, a double-buffer mechanism is employed such that while one buffer is read from for the current line's dither matrix data (8 bits representing a single dither matrix entry), the other buffer is being written to with the next line's dither matrix data (64-bits at a time). Alternatively, the single buffer scheme can be used, where the data must be loaded at the end of the line, thus incurring a delay. The single/double buffer is implemented using a 256 byte 3-port register array, two reads, one write port, with the reads clocked at double the system clock rate (320MHz) allowing 4 reads per clock cycle.
The dither matrix buffer unit also provides the mechanism for keeping track of the current read and write buffers, and providing the mechanism such that a buffer cannot be read from until it has been written to. In this case, each buffer is a line of the dither matrix, i.e. 256 or 128 bytes. The dither matrix buffer maintains a read and write pointer for the dither matrix. The output value dmjavail is derived by comparing the read and write pointers to determine when the dither matrix is not empty. The write pointer wrjadr is incremented each time a 64-bit word is written to the dither matrix buffer and the read pointer rd otr is incremented each time dmjadvline is received. If double Jinejouf is 0 the rdjotr will increment by 2, otherwise it will increment by 1. If the dither matrix buffer is full then no further writes will be allowed (buffjull =1 ), or if the buffer is empty no further buffer reads are allowed
Figure imgf000500_0001
The read addresses are byte aligned and are generated by the read address generator. A single dither matrix entry is represented by 8 bits and an entry is read for each of the four contone planes in parallel. If double buffer is used (double JineJouf=1) the read address is derived from 7- bit address from the read address generator and 1-bit from the read pointer. If double JineJouf=0 then the read address is the full 8-bits from the read address generator. if (double Line j uf == 1 ) then read j ort [ 7 : 0 ] = {rd tr [0] , rdjadr [6 : 0] } // concatenation else read port [7 : 0] = rd jadr [7 : 0]
28.4.3.5.2 Read address generator
For each contone plane there is a initial, lower and upper index to be used when reading dither cell values from the dither matrix double buffer. The read address for each plane is used to select a byte from the current 256-byte read buffer. When Go gets set (0 to 1 transition), or at the end of a line, the read addresses are set to their corresponding initial index. Otherwise, the read address generator relies on advdot to advance the addresses within the inclusive range specified the lower and upper indices, represented by the following pseudocode: if (advdot == 1) then if (advline == 1) then rdjadr = dm_initjLndex elsif (rdjadr == dm_upr_index) then rdjadr = dm_lwrjLndex else rdjadr ++ else rdjadr = rdjadr 28.4.3.5.3 State machine
The dither matrix is read from DRAM in single 256-bit accesses, receiving the data from the DIU over 4 clock cycles (64-bits per cycle).The protocol and timing for read accesses to DRAM is described in section 20.9.1 on page 269. Read accesses to DRAM are implemented by means of the state machine described in Figure 238. All counters and flags should be cleared after reset or when Go transitions from 0 to 1. While the Go bit is 1 , the state machine relies on the dmjreadjanable bit to tell it whether to attempt to read dither matrix data from DRAM. When dmjreadjanable is clear, the state machine does nothing and remains in the idle state. When dmjreadjanable is set, the state machine continues to load dither matrix data, 256-bits at a time (received over 4 clock cycles, 64 bits per cycle), while there is space available in the dither matrix buffer, (buffjull 1=1 ).
The read address and linejstartjadr are initially set to startjdmjadr. The read address gets incremented after each read access. It takes 4 or 8 read accesses to load a line of dither matrix into the dither matrix buffer, depending on whether we're using a single or double buffer. A count is kept of the accesses to DRAM. When a read access completes and accessjoount equals 3 or 7, a line of dither matrix has just been loaded from and the read address is updated to linejstartjadr plus linejncrement so it points to the start of the next line of dither matrix. (linejstartjadr is also updated to this value). If the read address equals endjdmjadr then the next read address will be startjdmjadr, thus the read address wraps to point to the start of the area in DRAM where the dither matrix is stored. The write address for the dither matrix buffer is implemented by means of a modulo-32 counter that is initially set to 0 and incremented when diujncujrvalid is asserted. Figure 237 shows an example of setting startjdmjadr and endjdmjadr values in relation to the line increment and double line buffer settings. The calculation of endjdmjadr is // endjdmjadr calculation dmjaeight = Dither matrix height in lines if (double_line_buf == 1) // end_dm jadr [21 : 5] = startjdmjadr [21 : 5] + ( ( (dmjieight - l) *linejLnc) + 3 ) << 5) else endjdmjadr [21 : 5] = startjdmjadr [21 : 5] + ( ( (dmjieight - l) *line_inc) + 7 ) << 5)
28.4.4 Contone dotgen unit
The contone dotgen unit is responsible for producing a dot in up to 4 color planes per cycle. The contone dotgen unit also produces a cpjavail flag which specifies whether or not contone pixels are currently available, and the output hcujsfujadvdot to request the CFU to provide the next contone pixel in up to 4 color planes.
The block diagram for the contone dotgen unit is shown in Figure 239.
A dither unit provides the functionality for dithering a single contone plane. The contone image is only defined within the contone/spot margin area. As a result, if the input flag injargetjpage is 0, then a constant contone pixel value is used for the pixel instead of the contone plane.
The resultant contone pixel is then halftoned. The dither value to be used in the halftoning process is provided by the control data unit. The halftoning process involves a comparison between a pixel value and its corresponding dither value. If the 8-bit contone value is greater than or equal to the 8-bit dither matrix value a 1 is output. If not, then a 0 is output. This means each entry in the dither matrix is in the range 1-255 (0 is not used).
Note that constant use is dependant on the injargetjpage signal only, if injargetjpage is 1 then the cfu_hcu_c*jdata should be allowed to pass through, regardless of the stalling behaviour or the avail jmask[1] setting. This allows a constant value to be setup on the CFU output data, and the use of different constants while inside and outside the target page. The hcujofujadvdot will always be zero if the avail_mask[1] is zero.
28.4.5 Spot dotgen unit
The spot dotgen unit is responsible for producing a dot of bi-level data per cycle. It deals with bi- level data (and therefore does not need to halftone) that comes from the LBD via the SFU. Like the contone layer, the bi-level spot layer is only defined within the contone/spot margin area. As a result, if input flag injargetjpage is 0, then a constant dot value (typically this would be 0) is used for the output dot.
The spot dotgen unit also produces a sjavail flag which specifies whether or not spot dots are currently available for this spot plane, and the output hcujsfujadvdot to request the SFU to provide the next bi-level data value. The spot dotgen unit can be represented by the following pseudocode: sjavail = sfujhcujavail if ( in jzarget jpage == 1 AND avail_mask [2] == 0 ) OR ( in_target jpage == 0 ) then hcujsfujadvdot = 0 else hcujsfujadvdot = advdot if ( in_target page == 1) then sp = sfujhcujsdata else sp = sp_constant Note that constant use is dependant on the injargetjpage signal only, if injargetjpage is 1 then the sfujhcujdata should be allowed to pass through, regardless of the stalling behaviour or the avail jnask setting. This allows a constant value to be setup on the SFU output data, and the use of different constants while inside and outside the target page. The hcujsfujadvdot will always be zero if the availjmask[2] is zero.
28.4.6 Tag dotgen unit This unit is very similar to the spot dotgen unit (see Section 28.4.5) in that it deals with bi-level data, in this case from the TE via the TFU. The tag layer is only defined within the tag margin area. As a result, if input flag injagjargetjoage is 0, then a constant dot value, tpjoonstant (typically this would be 0), is used for the output dot. The tagplane dotgen unit also produces a tpjavail flag which specifies whether or not tag dots are currently available for the tagplane, and the output hcujfujadvdot to request the TFU to provide the next bi-level data value.
The hcujfujadvdot generation is similar to the SFU and CFU, except it depends only on injargetjpage and advdot. It does not take into account the avail mask when inside the target page.
28.4.7 Dot reorg unit The dot reorg unit provides a means of mapping the bi-level dithered data, the spotO color, and the tag data to output inks in the actual printhead. Each dot reorg unit takes a set of 6 1-bit inputs and produces a single bit output that represents the output dot for that color plane. The output bit is a logical combination of any or all of the input bits. This allows the spot color to be placed in any output color plane (including infrared for testing purposes), black to be merged into cyan, magenta and yellow (in the case of no black ink in the Memjet printhead), and tag dot data to be placed in a visible plane. An output for fixative can readily be generated by simply combining desired input bits.
The dot reorg unit contains a 64-bit lookup to allow complete freedom with regards to mapping. Since all possible combinations of input bits are accounted for in the 64 bit lookup, a given dot reorg unit can take the mapping of other reorg units into account. For example, a black plane reorg unit may produce a 1 only if the contone plane 3 or spot color inputs are set (this effectively composites black bi-level over the contone). A fixative reorg unit may generate a 1 if any 2 of the output color planes is set (taking into account the mappings produced by the other reorg units). If dead nozzle replacement is to be used (see section 29.4.2 on page 506), the dot reorg can be programmed to direct the dots of the specified color into the main plane, and 0 into the other. If a nozzle is then marked as dead in the DNC, swapping the bits between the planes will result in 0 in the dead nozzle, and the required data in the other plane.
If dead nozzle replacement is to be used, and there are no tags, the TE can be programmed with the position of dead nozzles and the resultant pattern used to direct dots into the specified nozzle row. If only fixed background TFS is to be used, a limited number of nozzles can be replaced. If variable tag data is to be used to specify dead nozzles, then large numbers of dead nozzles can be readily compensated for.
The dot reorg unit can be used to average out the nozzle usage when two rows of nozzles share the same ink and tag encoding is not being used. The TE can be programmed to produce a regular pattern (e.g. 0101 on one line, and 1010 on the next) and this pattern can be used as a directive as to direct dots into the specified nozzle row.
Each reorg unit contains a 64-bit lOMapping value programmable as two 32-bit HCU registers, and a set of selection logic based on the 6-bit dot input (26 = 64 bits), as shown in Figure 240. The mapping of input bits to each of the 6 selection bits is as defined in Table 197. Table 197. Mapping of input bits to 6 selection bits
Figure imgf000504_0001
28.4.8 Output buffer
The output buffer de-couples the stalling behaviour of the feeder units from the stalling behaviour of the DNC. The larger the buffer the greater de-coupling. Currently the output buffer size is 2, but could be increased if needed at the cost of extra area.
If the Go bit is set to 0 no read or write of the output buffer is permitted. On a low to high transition of the Go bit the contents of the output buffer are cleared.
The output buffer also implements the interface logic to the DNC. If there is data in the output buffer the hcujdncjavail signal will be 1 , otherwise is will be 0. If both hcujdncjavail and dncjhcujready are 1 then data is read from the output buffer.
On the write side if there is space available in the output buffer the logic indicates to the control unit via the outputj uffjull signal. The control unit will then allow writes to the output buffer via the wrjadvdot signal. If the writes to the output buffer are after the end of a page (indicated by in jpage equal to 0) then all dots written into the output buffer are set to zero. 28.4.8.1 HCU to DNC interface
Figure 241 shows the timing diagram and representative logic of the HCU to DNC interface. The hcujdncjavail signal indicate to the DNC that the HCU has data available. The dnc_hcu_ready signal indicates to the HCU that the DNC is ready to accept data. When both signals are high data is transferred from the HCU to the DNC. Once the HCU indicates it has data available (setting the hcujdncjavail signal high) it can only set the hcujdncjavail low again after a dot is accepted by the DNC.
28.4.9 Feeder to HCU interfaces Figure 242 shows the feeder unit to HCU interface timing diagram, and Figure 243 shows representative logic of the interface with the register positions, sfujhcujdata and sfujhcujavail are always registered while the sfujhcujadvdot is not. The hcujsfujavail signal indicates to the HCU that the feeder unit has data available, and sfujhcujadvdot indicates to the feeder unit that the HCU has captured the last dot. The HCU can never produce an advance dot pulse while the avail is low. The diagrams show the example of the SFU to HCU interface, but the same interface is used for the other feeder units TFU and CFU. 29 Dead Nozzle Compensator (DNC) 29.1 OVERVIEW
The Dead Nozzle Compensator (DNC) is responsible for adjusting Memjet dot data to take account of non-functioning nozzles in the Memjet printhead. Input dot data is supplied from the HCU, and the corrected dot data is passed out to the DWU. The high level data path is shown by the block diagram in Figure 244. The DNC compensates for a dead nozzles by performing the following operations:
• Dead nozzle removal, i.e. turn the nozzle off
• Ink replacement by direct substitution i.e. K -> K
• Ink replacement by indirect substitution i.e. K -> CMY
• Error diffusion to adjacent nozzles • Fixative corrections
The DNC is required to efficiently support up to 5% dead nozzles, under the expected DRAM bandwidth allocation, with no restriction on where dead nozzles are located and handle any fixative correction due to nozzle compensations. Performance must degrade gracefully after 5% dead nozzles. 29.2 DEAD NOZZLE IDENTIFICATION
Dead nozzles are identified by means of a position value and a mask value. Position information is represented by a 10-bit delta encoded format, where the 10-bit value defines the number of dots between dead nozzle columns19. With the delta information it also reads the 6-bit dead nozzle mask (dnjnαsk) for the defined dead nozzle position. Each bit in the dnjnαsk corresponds to an ink plane. A set bit indicates that the nozzle for the corresponding ink plane is dead. The dead nozzle table format is shown in Figure 245. The DNC reads dead nozzle information from DRAM in single 256-bit accesses. A 10-bit delta encoding scheme is chosen so that each table entry is 16 bits wide, and 16 entries fit exactly in each 256-bit read. Using 10-bit delta encoding means that the maximum distance between dead nozzle columns is 1023 dots. It is possible that dead nozzles may be spaced further than 1023 dots from each other, so a null dead nozzle identifier is required. A null dead nozzle identifier is defined as a 6-bit dnjnαsk of all zeros. These null dead nozzle identifiers should also be used so that:
19for a 10-bit delta value of cf, if the current column n is a dead nozzle column then the next dead nozzle column is given by n + (d + 1 ). • the dead nozzle table is a multiple of 16 entries (so that it is aligned to the 256-bit DRAM locations)
• the dead nozzle table spans the complete length of the line, i.e. the first entry dead nozzle table should have a delta from the first nozzle column in a line and the last entry in the dead nozzle table should correspond to the last nozzle column in a line.
Note that the DNC deals with the width of a page. This may or may not be the same as the width of the printhead (the PHI may introduce some margining to the page so that its dot output matches the width of the printhead). Care must be taken when programming the dead nozzle table so that dead nozzle positions are correctly specified with respect to the page and printhead.
29.3 DRAM STORAGE AND BANDWIDTH REQUIREMENT
The memory required is largely a factor of the number of dead nozzles present in the printhead (which in turn is a factor of the printhead size). The DNC is required to read a 16-bit entry from the dead nozzle table for every dead nozzle. Table 198 shows the DRAM storage and average20 bandwidth requirements for the DNC for different percentages of dead nozzles and different page sizes. Table 198. Dead Nozzle storage and average bandwidth requirements
Figure imgf000506_0001
a. Bi-lithic printhead has 13824 nozzles per color providing full bleed printing for A4/Letter b. Bi-lithic printhead has 19488 nozzles per color providing full bleed printing for A3 c. 16 bits x 13824 nozzles x 0.05 dead d. (16 bits read / 20 cycles) = 0.8 bits/cycle 29.4 NOZZLE COMPENSATION
DNC receives 6 bits of dot information every cycle from the HCU, 1 bit per color plane. When the dot position corresponds to a dead nozzle column, the associated 6-bit dnjmask indicates which ink plane(s) contains a dead nozzle(s). The DNC first deletes dots destined for the dead nozzle. It then replaces those dead dots, either by placing the data destined for the dead nozzle into an
20 Average bandwidth assumes an even spread of dead nozzles. Clumps of dead nozzles may cause delays due to insufficient available DRAM bandwidth. These delays will occur every line causing an accumulative delay over a page. adjacent ink plane (direct substitution) or into a number of ink planes (indirect substitution). After ink replacement, if a dead nozzle is made active again then the DNC performs error diffusion. Finally, following the dead nozzle compensation mechanisms the fixative, if present, may need to be adjusted due to new nozzles being activated, or dead nozzles being removed. 29.4.1 Dead nozzle removal
If a nozzle is defined as dead, then the first action for the DNC is to turn off (zeroing) the dot data destined for that nozzle. This is done by a bit-wise ANDing of the inverse of the dnjmask with the dot value. 29.4.2 Ink replacement Ink replacement is a mechanism where data destined for the dead nozzle is placed into an adjacent ink plane of the same color (direct substitution, i.e. K -> Kaitemative). or placed into a number of ink planes, the combination of which produces the desired color (indirect substitution, i.e. K -> CMY). Ink replacement is performed by filtering out ink belonging to nozzles that are dead and then adding back in an appropriately calculated pattern. This two step process allows the optional re-inclusion of the ink data into the original dead nozzle position to be subsequently error diffused. In the general case, fixative data destined for a dead nozzle should not be left active intending it to be later diffused.
The ink replacement mechanism has 6 ink replacement patterns, one per ink plane, programmable by the CPU. The dead nozzle mask is ANDed with the dot data to see if there are any planes where the dot is active but the corresponding nozzle is dead. The resultant value forms an enable, on a per ink basis, for the ink replacement process. If replacement is enabled for a particular ink, the values from the corresponding replacement pattern register are ORed into the dot data. The output of the ink replacement process is then filtered so that error diffusion is only allowed for the planes in which error diffusion is enabled. The output of the ink replacement logic is ORed with the resultant dot after dead nozzle removal. See Figure n page565 on page Error! Bookmark not defined, for implementation details.
For example if we consider the printhead color configuration
Figure imgf000507_0001
and the input dot data from the HCU is b101100. Assuming that the i ink plane and IR ink plane for this position are dead so the dead nozzle mask is b000101. The DNC first removes the dead nozzle by zeroing the K-i plane to produce b101000. Then the dead nozzle mask is ANDed with the dot data to give b000100 which selects the ink replacement pattern for Ki (in this case the ink replacement pattern for K-i is configured as b000010, i.e. ink replacement into the K2 plane). Providing error diffusion for K2 is enabled, the output from the ink replacement process is b000010. This is ORed with the output of dead nozzle removal to produce the resultant dot b101010. As can be seen the dot data in the defective K-i nozzle was removed and replaced by a dot in the adjacent K2 nozzle in the same dot position, i.e. direct substitution.
In the example above the , ink plane could be compensated for by indirect substitution, in which case ink replacement pattern for K-i would be configured as b111000 (substitution into the CMY color planes), and this is ORed with the output of dead nozzle removal to produce the resultant dot b111000. Here the dot data in the defective K-i ink plane was removed and placed into the
CMY ink planes.
29.4.3 Error diffusion Based on the programming of the lookup table the dead nozzle may be left active after ink replacement. In such cases the DNC can compensate using error diffusion. Error diffusion is a mechanism where dead nozzle dot data is diffused to adjacent dots.
When a dot is active and its destined nozzle is dead, the DNC will attempt to place the data into an adjacent dot position, if one is inactive. If both dots are inactive then the choice is arbitrary, and is determined by a pseudo random bit generator. If both neighbor dots are already active then the bit cannot be compensated by diffusion.
Since the DNC needs to look at neighboring dots to determine where to place the new bit (if required), the DNC works on a set of 3 dots at a time. For any given set of 3 dots, the first dot received from the HCU is referred to as dot A, and the second as dot B, and the third as dot C. The relationship is shown in Figure 246.
For any given set of dots ABC, only B can be compensated for by error diffusion if B is defined as dead. A 1 in dot B will be diffused into either dot A or dot C if possible. If there is already a 1 in dot
A or dot C then a 1 in dot B cannot be diffused into that dot.
The DNC must support adjacent dead nozzles. Thus if dot A is defined as dead and has previously been compensated for by error diffusion, then the dot data from dot B should not be diffused into dot A. Similarly, if dot C is defined as dead, then dot data from dot B should not be diffused into dot C.
Error diffusion should not cross line boundaries. If dot B contains a dead nozzle and is the first dot in a line then dot A represents the last dot from the previous line. In this case an active bit on a dead nozzle of dot B should not be diffused into dot A. Similarly, if dot B contains a dead nozzle and is the last dot in a line then dot C represents the first dot of the next line. In this case an active bit on a dead nozzle of dot B should not be diffused into dot C.
Thus, as a rule, a 1 in dot B cannot be diffused into dot A if
• a 1 is already present in dot A, • dot A is defined as dead,
• or dot A is the last dot in a line . Similarly, a 1 in dot B cannot be diffused into dot C if
• a 1 is already present in dot C,
• dot C is defined as dead, • or dot C is the first dot in a line . If B is defined to be dead and the dot value for B is 0, then no compensation needs to be done and dots A and C do not need to be changed. If B is defined to be dead and the dot value for B is 1 , then B is changed to 0 and the DNC attempts to place the 1 from B into either A or C: If the dot can be placed into both A and C, then the DNC must choose between them. The preference is given by the current output from the random bit generator, 0 for "prefer left" (dot A) or 1 for "prefer right" (dot C) . If dot can be placed into only one of A and C, then the 1 from B is placed into that position. If dot cannot be placed into either one of A or C, then the DNC cannot place the dot in either position.
Table 199. Error Diffusion Truth Table when dot B is dead
Figure imgf000509_0001
a. Output from random bit generator. Determines direction of error diffusion (0 = left, 1 = right) b. Bold emphasis is used to show the DNC inserted a 1 The random bit value used to arbitrarily select the direction of diffusion is generated by a 32-bit maximum length random bit generator. The generator generates a new bit for each dot in a line regardless of whether the dot is dead or not. The random bit generator can be initialized with a 32-bit programmable seed value. 29.4.4 Fixative correction After the dead nozzle compensation methods have been applied to the dot data, the fixative, if present, may need to be adjusted due to new nozzles being activated, or dead nozzles being removed. For each output dot the DNC determines if fixative is required (using the FixativeRequiredMask register) for the new compensated dot data word and whether fixative is activated already for that dot. For the DNC to do so it needs to know the color plane that has fixative, this is specified by the FixativeMaskl configuration register. Table 200 indicates the actions to take based on these calculations. Table 200. Truth table for fixative correction
Figure imgf000510_0001
The DNC also allows the specification of another fixative plane, specified by the FixativeMask2 configuration register, with FixativeMaskl having the higher priority over FixativeMask2. When attempting to add fixative the DNC first tries to add it into the planes defined by FixativeMaskl.
However, if any of these planes is dead then it tries to add fixative by placing it into the planes defined by FixativeMask2. Note that the fixative defined by FixativeMaskl and FixativeMask2 could possibly be multi-part fixative, i.e. 2 bits could be set in FixativeMaskl with the fixative being a combination of both inks.
29.5 IMPLEMENTATION
A block diagram of the DNC is shown in Figure 247.
29.5.1 Definitions of I/O Table 201. DNC port list and description
Figure imgf000510_0002
Figure imgf000511_0001
29.5.2 Configuration registers
The configuration registers in the DNC are programmed via the PCU interface. Refer to section 21.8.2 on page 351 for the description of the protocol and timing diagrams for reading and writing registers in the DNC. Note that since addresses in SoPEC are byte aligned and the PCU only supports 32-bit register reads and writes, the lower 2 bits of the PCU address bus are not required to decode the address space for the DNC. When reading a register that is less than 32 bits wide zeros should be returned on the upper unused bit(s) of dncjpcujdatain. Table 202 lists the configuration registers in the DNC.
Table 202. DNC configuration registers
Figure imgf000511_0002
Figure imgf000512_0001
Figure imgf000513_0001
Figure imgf000514_0001
29.5.3 Ink replacement unit
Figure 248 shows a sub-block diagram for the ink replacement unit.
29.6.3.1 Control unit
The control unit is responsible for reading the dead nozzle table from DRAM and making it available to the DNC via the dead nozzle FIFO. The dead nozzle table is read from DRAM in single 256-bit accesses, receiving the data from the DIU over 4 clock cycles (64-bits per cycle).
The protocol and timing for read accesses to DRAM is described in section 20.9.1 on page 269.
Reading from DRAM is implemented by means of the state machine shown in Figure 249.
All counters and flags should be cleared after reset. When Go transitions from 0 to 1 all counters and flags should take their initial value. While the Go bit is 1 , the state machine requests a read access from the dead nozzle table in DRAM provided there is enough space in its FIFO.
A modulo-4 counter, rdjoount, is used to count each of the 64-bits received in a 256-bit read access. It is incremented whenever diujdncjrvalid is asserted. When Go is 1 , dnjablejradr is set to dnjablejstartjadr. As each 64-bit value is returned, indicated by diujdncjrvalid being asserted, dnjable adr is compared to dnjablejandjadr:
• if rdjoount equals 3 and dnjable_radr equals dnjablejandjadr, then dnjablejradr is updated to dnjablejstartjadr.
• if rdjoount equals 3 and dnjablejradr does not equal dnjablejandjadr, then dnjablejadr is incremented by 1. A count is kept of the number of 64-bit values in the FIFO. When diujdncjrvalid is 1 data is written to the FIFO by asserting wrjan, and fifojoontents and fifo jwr jadr are both incremented. When fifo_contents[3:0] is greater than 0 and edujready is 1 , dncjhcujready is asserted to indicate that the DNC is ready to accept dots from the HCU. If hcujdncjavail is also 1 then a dotadv pulse is sent to the GenMask unit, indicating the DNC has accepted a dot from the HCU, and irujavail is also asserted. After Go is set, a single preload pulse is sent to the GenMask unit once the FIFO contains data. When a rdjadv pulse is received from the GenMask unit, fifo_rdjadr[4:0] is then incremented to select the next 16-bit value. If fifo_rdjadr[1 :0] = 11 then the next 64-bit value is read from the FIFO by asserting rdjan, and fifo_contents[3:0] is decremented. 29. δ.3.2 Dead nozzle FIFO The dead nozzle FIFO conceptually is a 64-bit input, and 16-bit output FIFO to account for the 64- bit data transfers from the DIU, and the individual 16-bit entries in the dead nozzle table that are used in the GenMask unit. In reality, the FIFO is actually 8 entries deep and 64-bits wide (to accommodate two 256-bit accesses). On the DRAM side of the FIFO the write address is 64-bit aligned while on the GenMask side the read address is 16-bit aligned, i.e. the upper 3 bits are input as the read address for the FIFO and the lower 2 bits are used to select 16 bits from the 64 bits (1st 16 bits read corresponds to bits 15- 0, second 16 bits to bits 31-16 etc.). 29.6.3.3 GenMask unit The GenMask unit generates the 6-bit dnjmask that is sent to the replace unit. It consists of a 10- bit delta counter and a mask register.
After Go is set, the GenMask unit will receive a preload pulse from the control unit indicating the first dead nozzle table entry is available at the output of the dead nozzle FIFO and should be loaded into the delta counter and mask register. A rdjadv pulse is generated so that the next dead nozzle table entry is presented at the output of the dead nozzle FIFO. The delta counter is decremented every time a dotadv pulse is received. When the delta counter reaches 0, it gets loaded with the current delta value output from the dead nozzle FIFO, i.e. bits 15-6, and the mask register gets loaded with mask output from the dead nozzle FIFO, i.e. bits 5-0. A rdjadv pulse is then generated so that the next dead nozzle table entry is presented at the output of the dead nozzle FIFO. When the delta counter is 0 the value in the mask register is output as the dnjmask, otherwise the dnjmask is all 0s.
The GenMask unit has no knowledge of the number of dots in a line, it simply loads a counter to count the delta from one dead nozzle column to the next. Thus as described in section 29.2 on page 504 the dead nozzle table should include null identifiers if necessary so that the dead nozzle table covers the first and last nozzle column in a line. 29.δ.3.4 Replace unit
Dead nozzle removal and ink replacement are implemented by the combinatorial logic shown in Figure 250. Dead nozzle removal is performed by bit-wise ANDing of the inverse of the dnjmask with the dot value. The ink replacement mechanism has 6 ink replacement patterns, one per ink plane, programmable by the CPU. The dead nozzle mask is ANDed with the dot data to see if there are any planes where the dot is active but the corresponding nozzle is dead. The resultant value forms an enable, on a per ink basis, for the ink replacement process. If replacement is enabled for a particular ink, the values from the corresponding replacement pattern register are ORed into the dot data. The output of the ink replacement process is then filtered so that error diffusion is only allowed for the planes in which error diffusion is enabled.
The output of the ink replacement process is ORed with the resultant dot after dead nozzle removal. If the dot position does not contain a dead nozzle then the dnjmask will be all 0s and the dot, hcujdncjdata, will be passed through unchanged. 29.5.4 Error Diffusion Unit
Figure 251 shows a sub-block diagram for the error diffusion unit. 29. δ.4.1 Random Bit Generator The random bit value used to arbitrarily select the direction of diffusion is generated by a maximum length 32-bit LFSR. The tap points and feedback generation are shown in Figure 252. The LFSR generates a new bit for each dot in a line regardless of whether the dot is dead or not, i.e shifting of the LFSR is enabled when advdot equals 1. The LFSR can be initialised with a 32- bit programmable seed value, randomjseed. This seed value is loaded into the LFSR whenever a write occurs to the RandomSeed register. Note that the seed value must not be all 1s as this causes the LFSR to lock-up. 29.δ.4.2 Advance Dot Unit
The advance dot unit is responsible for determining in a given cycle whether or not the error diffuse unit will accept a dot from the ink replacement unit or make a dot available to the fixative correct unit and on to the DWU. It therefore receives the dwujdncjready control signal from the DWU, the irujavail flag from the ink replacement unit, and generates dncjdwujavail and edujready control flags.
Only the dwujdncjready signal needs to be checked to see if a dot can be accepted and asserts edujready to indicate this. If the error diffuse unit is ready to accept a dot and the ink replacement unit has a dot available, then a advdot pulse is given to shift the dot into the pipeline in the diffuse unit. Note that since the error diffusion operates on 3 dots, the advance dot unit ignores dwu_dnc_ready initially until 3 dots have been accepted by the diffuse unit. Similarly dncjdwujavail is not asserted until the diffuse unit contains 3 dots and the ink replacement unit has a dot available. 29.δ.4.3 Diffuse Unit The diffuse unit contains the combinatorial logic to implement the truth table from Table . The diffuse unit receives a dot consisting of 6 color planes (1 bit per plane) as well as an associated 6- bit dead nozzle mask value.
Error diffusion is applied to all 6 planes of the dot in parallel. Since error diffusion operates on 3 dots, the diffuse unit has a pipeline of 3 dots and their corresponding dead nozzle mask values. The first dot received is referred to as dot A, and the second as dot B, and the third as dot C. Dots are shifted along the pipeline whenever advdot is 1. A count is also kept of the number of dots received. It is incremented whenever advdot is 1 , and wraps to 0 when it reaches maxjdot. When the dot count is 0 dot C corresponds to the first dot in a line. When the dot count is 1 dot A corresponds to the last dot in a line. In any given set of 3 dots only dot B can be defined as containing a dead nozzle(s). Dead nozzles are identified by bits set in irujdnjmask. If dot B contains a dead nozzle(s), the corresponding bit(s) in dot A, dot C, the dead nozzle mask value for A, the dead nozzle mask value for C, the dot count, as well as the random bit value are input to the truth table logic and the dots A, B and C assigned accordingly. If dot B does not contain a dead nozzle then the dots are shifted along the pipeline unchanged.
29.5.5 Fixative Correction Unit
The fixative correction unit consists of combinatorial logic to implement fixative correction as defined in Table 203. For each output dot the DNC determines if fixative is required for the new compensated dot data word and whether fixative is activated already for that dot.
FixativePresent = ( (FixativeMaskl | FixativeMask2 ) i edu_data) ! = 0 FixativeRequired = (FixativeRequiredMask & edujdata) ! = 0 It then looks up the truth table to see what action, if any, needs to be taken. Table 203. Truth table for fixative correction
Figure imgf000517_0001
FixativeMaskl. However, if this plane is dead then it tries to add fixative by placing it into the plane defined by FixativeMask2. Note that if both FixativeMaskl and FixativeMask2 are both all
0s then the dot data will not be changed.
30 Dotline Writer Unit (DWU)
30.1 OVERVIEW
The Dotline Writer Unit (DWU) receives 1 dot (6 bits) of color information per cycle from the DNC. Dot data received is bundled into 256-bit words and transferred to the DRAM. The DWU (in conjunction with the LLU) implements a dot line FIFO mechanism to compensate for the physical placement of nozzles in a printhead, and provides data rate smoothing to allow for local complexities in the dot data generate pipeline. 30.2 PHYSICAL REQUIREMENT IMPOSED BY THE PRINTHEAD
The physical placement of nozzles in the printhead means that in one firing sequence of all nozzles, dots will be produced over several print lines. The printhead consists of 12 rows of nozzles, one for each color of odd and even dots. Odd and even nozzles are separated by D2 print lines and nozzles of different colors are separated by D-i print lines. See Figure 254 for reference. The first color to be printed is the first row of nozzles encountered by the incoming paper. In the example this is color 0 odd, although is dependent on the printhead type (see [10] for other printhead arrangments). Paper passes under printhead moving downwards. For example if the physical separation of each half row is 80μm equating to D-,=D2=5 print lines at 1600dpi. This means that in one firing sequence, color 0 odd nozzles will fire on dotline L, color 0 even nozzles will fire on dotline L-D-i, color 1 odd nozzles will fire on dotline L-D D and so on over 6 color planes odd and even nozzles. The total number of lines fired over is given as
0+5+5 +5= 0 + 11x5 =55. See Figure 255 for example diagram.
It is expected that the physical spacing of the printhead nozzles will be 80μm (or 5 dot lines), although there is no dependency on nozzle spacing. The DWU is configurable to allow other line nozzle spacings. Table 204. Relationship between Nozzle color/sense and line firing
Figure imgf000518_0001
30.3 LINE RATE DE-COUPLING The DWU block is required to compensate for the physical spacing between lines of nozzles. It does this by storing dot lines in a FIFO (in DRAM) until such time as they are required by the LLU for dot data transfer to the printhead interface. Colors are stored separately because they are needed at different times by the LLU. The dot line store must store enough lines to compensate for the physical line separation of the printhead but can optionally store more lines to allow system level data rate variation between the read (printhead feed) and write sides (dot data generation pipeline) of the FIFOs.
A logical representation of the FIFOs is shown in Figure 256, where N is defined as the optional number of extra half lines in the dot line store for data rate de-coupling. 30.4 DOT LINE STORE STORAGE REQUIREMENTS
For an arbitrary page width of d dots (where d is even), the number of dots per half line is d/2.
For interline spacing of D2 and inter-color spacing of Di, with C colors of odd and even half lines, the number of half line storage is (C - 1) (D2+D-,) + D1.
For N extra half line stores for each color odd and even, the storage is given by (N * C * 2). The total storage requirement is ((C - 1 ) (D2+D.,) + D1 + (N * C * 2)) * d/2 in bits.
Note that when determining the storage requirements for the dot line store, the number of dots per line is the page width and not necessarily the printhead width. The page width is often the dot margin number of dots less than the printhead width. They can be the same size for full bleed printing. For example in an A4 page a line consists of 13824 dots at 1600 dpi, or 6912 dots per half dot line. To store just enough dot lines to account for an inter-line nozzle spacing of 5 dot lines it would take 55 half dot lines for color 5 odd, 50 dot lines for color 5 even and so on, giving
55+50+45...10+5+0= 330 half dot lines in total. If it is assumed that N=4 then the storage required to store 4 extra half lines per color is 4 x 12=48, in total giving 330+48=378 half dot lines. Each half dot line is 6912 dots, at 1 bit per dot give a total storage requirement of 6912 dots x 378 half dot lines / 8 bits = Approx 319 Kbytes. Similarly for an A3 size page with 19488 dots per line,
9744 dots per half line x 378 half dot lines / 8 = Approx 899 Kbytes. Table 205. Storage requirement for dot line store
Figure imgf000519_0001
The potential size of the dot line store makes it unfeasible to be implemented in on-chip SRAM, requiring the dot line store to be implemented in embedded DRAM. This allows a configurable dotline store where unused storage can be redistributed for use by other parts of the system. 30.5 NOZZLE ROW SKEW Due to construction limitations of the bi-lithic printhead it is possible that nozzle rows may be misaligned relative to each other. Odd and even rows, and adjacent color rows may be horizontally misaligned by up to 2 dot positions. Vertical misalignment can also occur but is compensated for in the LLU and not considered here. The DWU is required to compensate for the horizontal misalignment. Dot data from the HCU (through the DNC) produces a dot of 6 colors all destined for the same physical location on paper. If the nozzle rows in the printhead are aligned as shown in Figure 254 then no adjustment of the dot data is needed.
A conceptual misaligned printhead is shown in Figure 257. The exact shape of the row alignment is arbitrary, although is most likely to be sloping (if sloping, it could be sloping in either direction). The DWU is required to adjust the shape of the dot streams to take account of the join between printhead ICs. The introduction of the join shape before the data is written to the DRAM means that the PHI sees a single crossover point in the data since all lines are the same length and the crossover point (since all rows are of equal length) is a vertical line - i.e. the crossover is at the same time for all even rows, and at the same time for all odd rows as shown in Figure 258.
To insert the shape of the join into the dot stream, for each line we must first insert the dots for non-printable area 1 , then the printable area data (from the DNC), and then finally the dots for non-printable area 2. This can also be considered as: first produce the dots for non-printable area 1 for line n, and then a repetition of: • produce the dots for the printable area for line n (from the DNC)
• produce the dots for the non-printable area 2 (for line n) followed by the dots of non- printable area 1 (for line n+1 ) The reason for considering the problem this way is that regardless of the shape of the join, the shape of non-printable area 2 merged with the shape of non-printable area 1 will always be a rectangle since the widths of non-printable areas 1 and 2 are identical and the lengths of each row are identical. Hence step 2 can be accomplished by simply inserting a constant number (MaxNozzleSkew) of 0 dots into the stream.
For example, if the color n even row non-printable area 1 is of length X, then the length of color n even row non-printable area 2 will be of length MaxNozzleSkew - X. The split between non- printable areas 1 and 2 is defined by the NozzleSkew registers.
Data from the DNC is destined for the printable area only, the DWU must generate the data destined for the non-printable areas, and insert DNC dot data correctly into the dot data stream before writing dot data to the fifos. The DWU inserts the shape of the misalignment into the dot stream by delaying dot data destined to different nozzle rows by the relative misalignment skew amount.
30.6 LOCAL BUFFERING
An embedded DRAM is expected to be of the order of 256 bits wide, which results in 27 words per half line of an A4 page, and 54 words per half line of A3. This requires 27 words x 12 half colors (6 colors odd and even) = 324 x 256-bit DRAM accesses over a dotline print time, equating to 6 bits per cycle (equal to DNC generate rate of 6 bits per cycle). Each half color is required to be double buffered, while filling one buffer the other buffer is being written to DRAM. This results in 256 bits x 2 buffers x 12 half colors i.e. 6144 bits in total.
The buffer requirement can be reduced, by using 1.5 buffering, where the DWU is filling 128 bits while the remaining 256 bits are being written to DRAM. While this reduces the required buffering locally it increases the peak bandwidth requirement to the DRAM. With 2x buffering the average and peak DRAM bandwidth requirement is the same and is 6 bits per cycle, alternatively with 1.5x buffering the average DRAM bandwidth requirement is 6 bits per cycle but the peak bandwidth requirement is 12 bits per cycle. The amount of buffering used will depend on the DRAM bandwidth available to the DWU unit. Should the DWU fail to get the required DRAM access within the specified time, the DWU will stall the DNC data generation. The DWU will issue the stall in sufficient time for the DNC to respond and still not cause a FIFO overrun. Should the stall persist for a sufficiently long time, the PHI will be starved of data and be unable to deliver data to the printhead in time. The sizing of the dotline store FIFO and internal FIFOs should be chosen so as to prevent such a stall happening. 30.7 DOTLINE DATA IN MEMORY
The dot data shift register order in the printhead is shown in Figure 254 (the transmit order is the opposite of the shift register order). In the example the type 0 printhead IC transmit order is increasing even color data followed by decreasing odd color data. The type 1 printhead IC transmit order is decreasing odd color data followed by increasing even color data. For both printhead ICs the even data is always increasing order and odd data is always decreasing. The PHI controls which printhead IC data gets shifted to.
From this it is beneficial to store even data in increasing order in DRAM and odd data in decreasing order. While this order suits the example printhead, other printheads exist where it would be beneficial to store even data in decreasing order, and odd data in increasing order, hence the order is configurable. The order that data is stored in memory is controlled by setting the ColorLineSense register.
The dot order in DRAM for increasing and decreasing sense is shown in Figure 260 and Figure 261 respectively. For each line in the dot store the order is the same (although for odd lines the numbering will be different the order will remain the same). Dot data from the DNC is always received in increasing dot number order. For increasing sense dot data is bundled into 256-bit words and written in increasing order in DRAM, word 0 first, then word 1 , and so on to word N, where N is the number of words in a line.
For decreasing sense dot data is also bundled into 256-bit words, but is written to DRAM in decreasing order, i.e. word N is written first then word N-1 and so on to word 0. For both increasing and decreasing sense the data is aligned to bit 0 of a word, i.e. increasing sense always starts at bit 0, decreasing sense always finishes at bit 0. Each half color is configured independently of any other color. The ColorBaseAdr register specifies the position where data for a particular dotline FIFO will begin writing to. Note that for increasing sense colors the ColorBaseAdr register specifies the address of the first word of first line of the fifo, whereas for decreasing sense colors the ColorBaseAdr register specifies the address of last word of the first line of the FIFO.
Dot data received from the DNC is bundled in 256-bit words and transferred to the DRAM. Each line of data is stored consecutively in DRAM, with each line separated by ColorLinelnc number of words. For each line stored in DRAM the DWU increments the line count and calculates the DRAM address for the next line to store.
This process continues until ColorFifoSize number of lines are stored, after which the DRAM address will wrap back to the ColorBaseAdr address. As each line is written to the FIFO, the DWU increments the FifoFillLevel register, and as the LLU reads a line from the FIFO the FifoFillLevel register is decremented. The LLU indicates that it has completed reading a line by a high pulse on the llujdwujine_rd line.
When the number of lines stored in the FIFO is equal to the Max WriteAhead value the DWU will indicate to the DNC that it is no longer able to receive data (i.e. a stall) by deasserting the dwujdncjready signal .
The ColorEnable register determines which color planes should be processed, if a plane is turned off, data is ignored for that plane and no DRAM accesses for that plane are generated.
30.8 SPECIFYING DOT FIFOS
The dot line FIFOs when accessed by the LLU are specified differently than when accessed by the DWU. The DWU uses a start address and number of lines value to specify a dot FIFO, the
LLU uses a start and end address for each dot FIFO. The mechanisms differ to allow more efficient implementations in each block.
As a result of limitations in the LLU the dot FIFOs must be specified contiguously and increasing in DRAM. See section 31.6 on page 537 for further information. 30.9 IMPLEMENTATION
30.9.1 Definitions of I/O Table 206. DWU I/O Definition
Figure imgf000522_0001
Figure imgf000523_0001
30.9.2 DWU partition
30.9.3 Configuration registers
The configuration registers in the DWU are programmed via the PCU interface. Refer to section 21.8.2 on page 351 for a description of the protocol and timing diagrams for reading and writing registers in the DWU. Note that since addresses in SoPEC are byte aligned and the PCU only supports 32-bit register reads and writes, the lower 2 bits of the PCU address bus are not required to decode the address space for the DWU. When reading a register that is less than 32 bits wide zeros should be returned on the upper unused bit(s) of dwuj cujdata. Table 207 lists the configuration registers in the DWU. Table 207. DWU registers description
Figure imgf000524_0001
Figure imgf000525_0001
A low to high transition of the Go register causes the internal states of the DWU to be reset. All configuration registers will remain the same. The block indicates the transition to other blocks via the dwujgojpulse signal.
30.9.4 Data skew
The data skew block inserts the shape of the printhead join into the dot data stream by delaying dot data by the relative nozzle skew amount (given by nozzle jskew). It generates zero fill data introduced introduced into the dot data stream to achieve the relative skew (and also to flush dot data from the delay registers).
The data skew block consists of 12 12-bit shift registers, one per color odd and even. The shift registers are in groups of 6, one group for even colors, and one for odd colors. Each time a valid data word is received from the DNC the dot data is shifted into either the odd or even group of shift registers. The oddjavenjsel register determines which group of shift registers are valid for that cycle and alternates for each new valid data word. When a valid word is received for a group of shift registers, the shift register is shifted by one location with the new data word shifted into the registers (the top word in the register will be discarded). When the dot counter determines that the data skew block should zero fill (zerojill), the data skew block will shift zero dot data into the shift registers until the line has completed. During this time the DNC will be stalled by the de-assertion of the dwujdncjready signal. The data skew block selects dot data from the shift registers and is passed to the buffer address generator block. The data bits selected is determined by the configured index values in the NozzleSkew registers. // determine when data is valid datajvalid = ( ( (dncjdwujavail == l) OR (zero_fill == 1) ) AND (dwujready ==1) ) // implement the zero fill mux if (zerojEill == 1) then dot_data_in = 0 else dot_datajLn = dncjdwujdata // the data delay buffers if (dwu_gojpulse ==1) then data_delay [l : 0] [11 : 0] [5 : 0] = 0 // reset all delay buffer odd=l, even=θ oddjavenjsel = 0 elsif (datajvalid == 1) then { oddjavenjsel = ~odd_even_sel // update the odd/even buffers, with shift datajdelay [oddjsvenjsel] [11 : 1] [5 : 0] = datajdelay [oddjavenjsel] [10 : 0] [5 : 0] // shift data datajdelay [oddjavenjsel] [0] [5 : 0] = dotjdata_in [5 : 0] // shift in new data // select the correct output data for (i=0 ; i<6 ; i++) { // skew selector skew = nozzlejskew [ { i , oddjavenjsel } ] // temporary variable // data select array, include data delay and input dot data data jselect [12 : 0] = {datajdelay [oddjsvenjsel] [11 : 0] , dot jdata_in } // mux output the data word to next block (13 to 1 mux) dot_data [i] = data jselect [skew] [i] } }
30.9.5 Fifo fill level
The DWU keeps a running total of the number of lines in the dot store FIFO. Each time the DWU writes a line to DRAM (determined by the DIU interface subblock and signalled via line wr) it increments the fillleveland signals the line increment to the LLU (pulse on dwujlujinejwr).
Conversely if it receives an active llujdwujinejrd pulse from the LLU, the filllevel is decremented. If the filllevel increases to the programmed max level (maxjwritejahead) then the
DWU stalls and indicates back to the DNC by de-asserting the dwujdncjready signal.
If one or more of the DIU buffers fill, the DIU interface signals the fill level logic via the buf ull signal which in turn causes the DWU to de-assert the dwujdncjready signal to stall the DNC. The bufjull signals will remain active until the DIU services a pending request from the full buffer, reducing the buffer level.
When the dot counter block detects that it needs to insert zero fill dots (zero ill equals 1 ) the
DWU will stall the DNC while the zero dots are being generated (by de-asserting dwujdncjready), but will allow the data skew block to generate zero fill data (the dwujready signal). dwujdncjready = ~ ( (buf_f ull== 1) OR (filllevel maxjwritejahead ) OR (zerojEill == 1) ) dwujready = ~ ( (buf_f ull== 1) OR (filllevel == max_writejahead ) )
The DWU does not increment the fill level until a complete line of dot data is in DRAM not just a complete line received from the DNC. This ensures that the LLU cannot start reading a partial line from DRAM before the DWU has finished writing the line.
The fill level is reset to zero each time a new page is started, on receiving a pulse via the dwujgoj ulse signal.
The line fifo fill level can be read by the CPU via the PCU at any time by accessing the FifoFillLevel register.
30.9.6 Buffer address generator
30.9.6.1 Buffer address generator description
The buffer address generator subblock is responsible for accepting data from the data skew block and writing it to the DIU buffers in the correct order. The buffer address and active bit-write for a particular dot data write is calculated by the buffer address generator based on the dot count of the current line, programmed sense of the color and the line size.
All configuration registers should be programmed while the Go bit is set to zero, once complete the block can be enabled by setting the Go bit to one. The transition from zero to one will cause the internal states to reset.
If the colorjinejsense signal for a color is one (i.e. increasing) then the bit-write generation is straight forward as dot data is aligned with a 256-bit boundary. So for the first dot in that color, the bit 0 of the wrj it bus will be active (in buffer word 0), for the second dot bit 1 is active and so on to the 255th dot where bit 63 is active (in buffer word 3). This is repeated for all 256-bit words until the final word where only a partial number of bits are written before the word is transferred to DRAM.
If colorjinejsense signal for a color is zero (i.e. decreasing) the bit-write generation for that color is adjusted by an offset calculated from the pre-programmed line length (linejsize). The offset adjusts the bit write to allow the line to finish on a 256-bit boundary. For example if the line length was 400, for the first dot received bit 7 (line length is halved because of odd/even lines of color) of the wrjoit is active (buffer word 3), the second bit 6 (buffer word 3), to the 200th dot of data with bit 0 of wrjoit active (buffer word 0). 30.9.6.2 Bit-write decode The buffer address generator contains 2 instances of the bit-write decode, one configured for odd dot data the other for even. The counter (either up or down counter) used to generate the addresses is selected by the colorjinejsense signal. Each block determines if it is active on this cycle by comparing its configured type with the current dot count address and the datajactive signal. The wrjoit bus is a direct decoding of the lower 6 count bits (count[6:1J), and the DIU buffer address is the remaining higher bits of the counter (count[10:7]). The signal generation is given as follows: // determine the counter to use if (color_linejsense == 1 ) count = upjαnt [10 : 0] else count = dnjΞnt [10 : 0] // determine if active, based on instance type wrjan = datajactive & (count [0] λ oddjaven type) // odd =1, even =0 // determine the bit write value wrjbit [63 : 0] = decode (count [6 : 1] ) // determine the buffer 64 -bit address wr_adr [3 : 0] = count [10 : 7] 30.9.6.3 Up counter generator The up counter increments for each new dot and is used to determine the write position of the dot in the DIU buffers for increasing sense data. At the end of each line of dot data (as indicated by line Jin), the counter is rounded up to the nearest 256-bit word boundary. This causes the DIU buffers to be flushed to DRAM including any partially filled 256-bit words. The counter is reset to zero if the dwujgojpulse is one.
// Up-Counter Logic if (dwujgojpulse == 1) then { up_cnt [10 : 0] = 0 elsif (linejEin == l ) then // round up if (up_cnt [8 : l] ! = 0) up_cn [10 : 9] ++ else up_cnt [10 : 9] // bit-selector up_cnt [7 : 0] =0 elsif (data valid == 1) then up_cnt [7 : 0] ++
30.9.6.4 Down counter generator
The down counter logic decrements for each new dot and is used to determine the write position of the dot in the DUI buffers for decreasing sense data. When the dwujgojpulse bit is one the lower bits (i.e. 8 to 0) of the counter are reset to line size value (linejsize), and the higher bits to zero. The bits used to determine the bit-write values and 64-bit word addresses in the DIU buffers begin at line size and count down to zero. The remaining higher bits are used to determine the DIU buffer 256-bit address and buffer fill level, begin at zero and count up. The counter is active when valid dot data is present, i.e. dataj alid equals 1.
When the end of line is detected (line in equals 1) the counter is rounded to the next 256-bit word, and the lower bits are reset to the line size value. //Down- Counter Logic if (dwujgojpulse == 1) then dn_cnt [8 : 0] = linejsize [8 : 0] dn_cnt [10 : 9] -= 0 elsif (linej in == 1 ) then // perform rounding up if (dn_cnt [8 : 1] ! = 0) dn_cnt [10 : 9] ++ else dn ent [10 : 9] // bit-select is reset dnj nt [8 : 0] =linejsize [8 : 0] // bit select bits elsif (datajvalid == 1) then dn_cnt [8 : 0] - - dn_cnt [10 : 9] ++
30.9.6.6 Dot counter
The dot counter simply counts each active dot received from the data skew block. It sets the counter to linejsize and decrements each time a valid dot is received. When the count equals zero the line Jin signal is pulsed and the counter is reset to linejsize.
When the count is less than the maxjnozzlejskew * 2 value the dot counter indicates to the data skew block to zero fill the remainder of the line (via the zerojill signal). Note that the maxjnozzlejskew units are dot-pairs as opposed to dots, hence the by 2 multiplication for comparison with the dot counter. The counter is reset to linejsize when dwujgojpulse is 1.
30.9.7 DIU buffer
The DIU buffer is a 64 bit x 8 word dual port register array with bit write capability. The buffer could be implemented with flip-flops should it prove more efficient.
30.9.8 DIU interface 30.9.8.1 DIU interface general description
The DIU interface determines when a buffer needs a data word to be transferred to DRAM. It generates the DRAM address based on the dot line position, the color base address and the other programmed parameters. A write request is made to DRAM and when acknowledged a 256-bit data word is transferred. The interface determines if further words need to be transferred and repeats the transfer process.
If the FIFO in DRAM has reached its maximum level, or one of the buffers has temporarily filled, the DWU will stall data generation from the DNC.
A similar process is repeated for each line until the end of page is reached. At the end of a page the CPU is required to reset the internal state of the block before the next page can be printed. A low to high transition of the Go register will cause the internal block reset, which causes all registers in the block to reset with the exception of the configuration registers. The transition is indicated to subblocks by a pulse on dwujgojpulse signal.
30.9.8.2 Interface controller
The interface controller state machine waits in Idle state until an active request is indicated by the read pointer (via the reqjactive signal). When an active request is received the machine proceeds to the ColorSelect state to determine which buffers need a data transfer. In the ColorSelect state it cycles through each color and determines if the color is enabled (and consequently the buffer needs servicing), if enabled it jumps to the Request state, otherwise the color jont is incremented and the next color is checked. In the Request state the machine issues a write request to the DIU and waits in the Request state until the write request is acknowledged by the DIU (diujdwu wack). Once an acknowledge is received the state machine clocks through 4 cycles transferring 64-bit data words each cycle and incrementing the corresponding buffer read address. After transferring the data to the DIU the machine returns to the ColorSelect state to determine if further buffers need servicing. On the transition the controller indicates to the address generator (adrjjpdate) to update the address for that selected color.
If all colors are transferred (colorjont equal to 6) the state machine returns to Idle, updating the last word flags (group Jin) and request logic (reqjjpdate). The dwujdiujwvalid signal is a delayed version of the buf_rd_en signal to allow for pipeline delays between data leaving the buffer and being clocked through to the DIU block. The state machine will return from any state to Idle if the reset or the dwujgojpulse is 1. 30.9.8.3 Address generator The address generator block maintains 12 pointers (color jad 1 :0]) to DRAM corresponding to current write address in the dot line store for each half color. When a DRAM transfer occurs the address pointer is used first and then updated for the next transfer for that color. The pointer used is selected by the reqjsel bus, and the pointer update is initiated by the adrjjpdate signal from the interface controller. The pointer update is dependent on the sense of the color of that pointer, the pointer position in a line and the line position in the FIFO. The programming of the colorjoasejadr needs to be adjusted depending of the sense of the colors. For increasing sense colors the colorjoasejadr specifies the address of the first word of first line of the fifo, whereas for decreasing sense colors the colorjoasejadr specifies the address of last word of the first line of the FIFO. For increasing colors, the initialization value (i.e. when dwujgojpulse is 1) is the colorjoasejadr. For each word that is written to DRAM the pointer is incremented. If the word is the last word in a line (as indicated by lastjwd from that read pointers) the pointer is also incremented. If the word is the last word in a line, and the line is the last line in the FIFO (indicated by fifojandfrom the line counter) the pointer is reset to colorjoasejadr. In the case of decreasing sense colors, the initialization value (i.e. when dwujgojpulse is 1 ) is the colorjoasejadr. For each line of decreasing sense color data the pointer starts at the line end and decrements to the line start. For each word that is written to DRAM the pointer is decremented. If the word is the last word in a line the pointer is incremented by colorjinejnc * 2 + 1. One line length to account for the line of data just written, and another line length for the next line to be written. If the word is the last word in a line, and the line is the last line in the FIFO the pointer is reset to the initialization value (i.e. colorjoasejadr). The address is calculated as follows: if (dwujgojpulse == 1) then color jadr [11 : 0] = colorjoasejadr [11 : 0] [21 : 5] elsif (adrjjpdate == 1) then { // determine the color color = req_sel[3:0] // line end and fifo wrap if ( (fifojand [color] == 1) AND (last_wd == 1)) then { // line end and fifo wrap colorjadr [color] = colorjoasejadr [color] [21:5] } elsif ( last_wd == 1) then { // just a line end no fifo wrap if (color_linejsense [color % 2] == 1) then // increasing sense colorjadr [color] ++ else // decreasing sense colorjadr [color] = colorjadr [color] + ( color_line_inc * 2) + 1 } else { // regular word write if (color_linejsense [color % 2] == 1) then // increasing sense colorjadr [color] ++ else // decreasing sense colorjadr [color] -- } } // select the correct address, for this transfer dwujdiujwadr = colorjadr [req_sel] 30.9.8.4 Line count The line counter logic counts the number of dot data lines stored in DRAM for each color. A separate pointer is maintained for each color. A line pointer is updated each time the final word of a line is transferred to DRAM. This is determined by a combination of adrjjpdate and lastjwd signals. The pointer to update is indicated by the reqjsel bus. When an update occurs to a pointer it is compared to zero, if it is non-zero the count is decremented, otherwise the counter is reset to color Jifojsize. If a counter is zero the fifojand signals is set high to indicates to the address generator block that the line is the last line of this colors fifo. If the dwujgojpulse signal is one the counters are reset to color Jifojsize. if (dwujgojpulse == 1) then line ent [11 : 0] = color fifo size [11 : 0] elsif ( (adrjjpdate == 1) AND (last_wd == 1) ) then { // determine the pointer to operate on color = req_sel [3 : 0] // update the pointer if (linej nt [color] == 0) then line_cnt [color] = colorjf if o_size [color] else linejαnt [i] -- } // count is zero its the last line of fifo for (i=θ ; i <12 ; i+4-) { fifojand [i] = (line_cnt [i] == 0 ) } 30.9.8.6 Read Pointer The read pointer logic maintains the buffer read address pointers. The read pointer is used to determine which 64-bit words to read from the buffer for transfer to DRAM. The read pointer logic compares the read and write pointers of each DIU buffer to determine which buffers require data to be transferred to DRAM, and which buffers are full (the bufjull signal). Buffers are grouped into odd and even buffers groups. If an odd buffer requires DRAM access the oddjpend signals will be active, if an even buffer requires DRAM access the evenjpend signals will be active. If both odd and even buffers require DRAM access at exactly the same time, the even buffers will get serviced first. If a group of odd buffers are being serviced and an even buffer becomes pending, the odd group of buffers will be completed before the starting the even group, and vice versa.
If any buffer requires a DRAM transfer, the logic will indicate to the interface controller via the reqjactive signal, with the odd javenjsel signal determining which group of buffers get serviced. The interface controller will check the color janable signal and issue DRAM transfers for all enabled colors in a group. When the transfers are complete it tells the read pointer logic to update the requests pending via reqjjpdate signal.
The reqjsel[3:0] signal tells the address generator which buffer is being serviced, it is constructed from the oddjavenjsel signal and the color jont[2:0] bus from the interface controller. When data is being transferred to DRAM the word pointer and read pointer for the corresponding buffer are updated. The reqjsel determines which pointer should be incremented. // determine if request is active even if ( wr_adr [θ] [3 : 2] ! = rdjadr [θ] [3 : 2] ) evenjpend = 1 else evenjpend = 0 // determine if request is active odd if { wrjadr fl] [3 : 2] ! = rdjadr [1] [3 : 2] ) evenjpend = 1 else evenjpend = 0 // determine if any buffer is full if ( (wrjadr [0] [3 : 0] - rdjadr [0] [3 : 0] ) > 7) OR ( (wrjadr fl] [3 : 0] - rdjadr [1] [3 : 0] ) > 7) ) then buf_full = 1 // fixed servicing order, only update when controller dictates so if (req αpdate == 1) then { if (evenjpend == 1) then // even always first oddjsvenjsel = 0 req_active = 1 elsif (oddjpend == 1 ) then // then check odd oddjsvenjsel = 0 req_active = 1 else // nothing active oddjsvenjsel = 0 req_active = 0 } // selected requestor req_sel [3 : 0] = {color_cnt [2 : 0] , oddjavenjsel} // concatentation The read address pointer logic consists of 2 2-bit counters and a word select pointer. The pointers are reset when dwujgojpulse is one. The word pointer (word tr) is common to all buffers and is used to read out the 64-bit words from the DIU buffer. It is incremented when bufjrdjan is active. When a group of buffers are updated the state machine increments the read pointer (rdjptφddjavenjselj) via the group Jin signal. A concatenation of the read pointer and the word pointer are use to construct the buffer read address. The read pointers are not reset at the end of each line. // determine which pointer to update if (dwujgojpulse == 1) then rdjptr [l : θ] = 0 wordjptr = 0 elsif (buf rdjan == 1) then { word ptr+4- // word pointer update elsif (groupjEin == 1) then rdjotr [oddjavenjsel] ++ // update the read pointer // create the address from the pointer, and word reader rdjadr [oddjavenjsel] = {rdjptr [oddjavenjsel] , word ptr} // concatenation The read pointer block determines if the word being read from the DIU buffers is the last word of a line. The buffer address generator indicate the last dot is being written into the buffers via the line in signal. When received the logic marks the 256-bit word in the buffers as the last word. When the last word is read from the DIU buffer and transferred to DRAM, the flag for that word is reflected to the address generator. // line end set the flags if (dwujgojpulse == l) then last_flag [l : θ] [1 : 0] = 0 elsif (linejfin == 1 ) then // determines the current 256-bit word even been written to last_flag [θ] [wrjadr [θ] [2] ] = 1 // even group flag // determines the current 256-bit word odd been written to lastjElag [l] [wr_adr [l] [2] ] = 1 // odd group flag // last word reflection to address generator lastjtfd = lastjElag [oddjavenjsel] [rdjptr [req_sel] [0] ] // clear the flag if ( group jEin == 1 ) then last jElag [oddjavenjsel] [rdjptr [reqjsel] [0] ] = 0
When a complete line has been written into the DIU buffers (but has not yet been transferred to DRAM), the buffer address generator block will pulse the linejin signal. The DWU must wait until all enabled buffers are transferred to DRAM before signaling the LLU that a complete line is available in the dot line store (dwujlujinejwr signal). When the linejin is received all buffers will require transfer to DRAM. Due to the arbitration, the even group will get serviced first then the odd. As a result the line finish pulse to the LLU is generated from the lastjlag of the odd group. // must be odd, odd group transfer complete and the last word dwu_llu_linejvr = oddjavenjsel AND groupjEin AND last tfd
31 Line Loader Unit (LLU)
31.1 OVERVIEW
The Line Loader Unit (LLU) reads dot data from the line buffers in DRAM and structures the data into even and odd dot channels destined for the same print time. The blocks of dot data are transferred to the PHI and then to the printhead. Figure 267 shows a high level data flow diagram of the LLU in context.
31.2 PHYSICAL REQUIREMENT IMPOSED BY THE PRINTHEAD
The DWU re-orders dot data into 12 separate dot data line FIFOs in the DRAM. Each FIFO corresponds to 6 colors of odd and even data. The LLU reads the dot data line FIFOs and sends the data to the printhead interface. The LLU decides when data should be read from the dot data line FIFOs to correspond with the time that the particular nozzle on the printhead is passing the current line. The interaction of the DWU and LLU with the dot line FIFOs compensates for the physical spread of nozzles firing over several lines at once. For further explanation see Section 30 Dotline Writer Unit (DWU) and Section 32 PrintHead Interface (PHI). Figure 268 shows the physical relationship of nozzle rows and the line time the LLU starts reading from the dot line store.
Within each line of dot data the LLU is required to generate an even and odd dot data stream to the PHI block. Figure 269 shows the even and dot streams as they would map to an example bi- lithic printhead. The PHI block determines which stream should be directed to which printhead IC. 31.3 DOT GENERATE AND TRANSMIT ORDER
The structure of the printhead ICs dictate the dot transmit order to each printhead IC. The LLU reads data from the dot line FIFO, generates an even and odd dot stream which is then reordered (in the PHI) into the transmit order for transfer to the printhead. The DWU separates dot data into even and odd half lines for each color and stores them in DRAM. It can store odd or even dot data in increasing or decreasing order in DRAM. The order is programmable but for descriptive purposes assume even in increasing order and odd in decreasing order. The dot order structure in DRAM is shown in Figure 261. The LLU contains 2 dot generator units. Each dot generator reads dot data from DRAM and generates a stream of odd or even dots. The dot order may be increasing or decreasing depending on how the DWU was programmed to write data to DRAM. An example of the even and odd dot data streams to DRAM is shown in Figure 270. In the example the odd dot generator is configured to produce odd dot data in decreasing order and the even dot generator produces dot data in increasing order. The PHI block accepts the even and odd dot data streams and reconstructs the streams into transmit order to the printhead.
The LLU line size refers to the page width in dots and not necessarily the printhead width. The page width is often the dot margin number of dots less than the printhead width. They can be the same size for full bleed printing. 31.4 LLU START-UP At the start of a page the LLU must wait for the dot line store in DRAM to fill to a configured level (given by FifoReadThreshold) before starting to read dot data. Once the LLU starts processing dot data for a page it must continue until the end of a page, the DWU (and other PEP blocks in the pipeline) must ensure there is always data in the dot line store for the LLU to read, otherwise the LLU will stall, causing the PHI to stall and potentially generate a print error. The FifoReadThreshold should be chosen to allow for data rate mismatches between the DWU write side and the LLU read side of the dot line FIFO. The LLU will not generate any dot data until FifoReadThreshold level in the dot line FIFO is reached.
Once the FifoReadThreshold is reached the LLU begins page processing, the FifoReadThreshold is ignored from then on. When the LLU begins page processing it produces dot data for all colors (although some dot data color may be null data). The LLU compares the line count of the current page, when the line count exceeds the ColorRelLine configured value for a particular color the LLU will start reading from that colors FIFO in DRAM. For colors that have not exceeded the ColorRelLine value the LLU will generate null data (zero data) and not read from DRAM for that color. ColorRelLine[N] specifies the number of lines separating the Nth half color and the first half color to print on that page. For the example printhead shown in Figure 268, color 0 odd will start at line 0, the remaining colors will all have null data. Color 0 odd will continue with real data until line 5, when color 0 odd and even will contain real data the remaining colors will contain null data. At line 10, color 0 odd and even and color 1 odd will contain real data, with remaining colors containing null data. Every 5 lines a new half color will contain real data and the remaining half colors null data until line 55, when all colors will contain real data. In the example ColorRelLinejO] =5, ColorRelLine[1] =0, ColorRelLine[2]=-\5, ColorRelLine[3] =10 .. etc. It is possible to turn off any one of the color planes of data (via the ColorEnable register), in such cases the LLU will generate zeroed dot data information to the PHI as normal but will not read data from the DRAM. 31.4.1 LLU bandwidth requirements
The LLU is required to generate data for feeding to the printhead interface, the rate required is dependent on the printhead construction and on the line rate configured. The maximum data rate the LLU can produce is 12 bits of dot data per cycle, but the PHI consumes at 12 bits every 2 pclk cycles out of 3, i.e. 8 bits per pclk cycle. Therefore the DRAM bandwidth requirement for a double buffered LLU is 8 bits per cycle on average. If 1.5 buffering is used then the peak bandwidth requirement is doubled to 16 bits per cycle but the average remains at 8 bits per cycle. Note that while the LLU and PHI could produce data at the 8 bits per cycle rate, the DWU can only produce data at 6 bits per cycle rate. 31.5 VERTICAL ROW SKEW
Due to construction limitations of the bi-lithic printhead it is possible that nozzle rows may be misaligned relative to each other. Odd and even rows, and adjacent color rows may be horizontally misaligned by up to 2 dot positions. Vertical misalignment can also occur between both printhead ICs used to construct the printhead. The DWU compensates for the horizontal misalignment (see Section 30.5), and the LLU compensates for the vertical misalignment. For each color odd and even the LLU maintains 2 pointers into DRAM, one for feeding printhead A (CurrentPtrA) and other for feeding printhead B (CurrentPtrB). Both pointers are updated and incremented in exactly the same way, but differ in their initial value programming. They differ by vertical skew number of lines, but point to the same relative position within a line.
At the start of a line the LLU reads from the FIFO using CurrentPtrA until the join point between the printhead ICs is reached (specified by JoinPoint), after which the LLU reads from DRAM using CurrentPtrB. If the JoinPoint coincides with a 256-bit word boundary, the swap over from pointer A to pointer B is straightforward. If the JoinPoint is not on a 256-bit word boundary, the LLU must read the 256-bit word of data from CurrentPtrA location, generate the dot data up to the join point and then read the 256-bit word of data from CurrentPtrB location and generate dot data from the join point to the word end. This means that if the JoinPoint is not on a 256-bit boundary then the LLU is required to perform an extra read from DRAM at the join point and not increment the address pointers. 31.5.1 Dot line FIFO initialization
For each dot line FIFO there are 2 pointers reading from it, each skewed by a number of dot lines in relation to the other (the skew amount could be positive or negative). Determining the exact number of valid lines in the dot line store is complicated by two pointers reading from different positions in the FIFO. It is convenient to remove the problem by pre-zeroing the dot line FIFOs effectively removing the need to determine exact data validity. The dot FIFOs can be initialized in a number of ways, including
• the CPU writing 0s,
• the LBD/SFU writing a set of 0 lines (16 bits per cycle),
• the HCU/DNC/DWU being programmed to produce 0 data 31.6 SPECIFYING DOT FIFOS
The dot line FIFOs when accessed by the LLU are specified differently than when accessed by the DWU. The DWU uses a start address and number of lines value to specify a dot FIFO, the LLU uses a start and end address for each dot FIFO. The mechanisms differ to allow more efficient implementations in each block. The start address for each half color N is specified by the ColorBaseAdr[N] registers and the end address (actually the end address plus 1) is specified by the ColorBaseAdr[N+1]. Note there are 12 colors in total, 0 to 11 , the ColorBaseAd 2] register specifies the end of the color 11 dot FIFO and not the start of a new dot FIFO. As a result the dot FIFOs must be specified contiguously and increasing in DRAM. 31.7 IMPLEMENTATION
31.7.1 LLU partition
31.7.2 Definitions of I/O Table 208. LLU I/O definition
Figure imgf000538_0001
Figure imgf000539_0001
Figure imgf000540_0001
31.7.3 Configuration registers
The configuration registers in the LLU are programmed via the PCU interface. Refer to section 21.8.2 on page 351 for a description of the protocol and timing diagrams for reading and writing registers in the LLU. Note that since addresses in SoPEC are byte aligned and the PCU only supports 32-bit register reads and writes, the lower 2 bits of the PCU address bus are not required to decode the address space for the LLU. When reading a register that is less than 32 bits wide zeros should be returned on the upper unused bit(s) of llujpcujdatain. Table 209 lists the configuration registers in the LLU. Table 209. LLU registers description
Figure imgf000540_0002
Figure imgf000541_0001
Figure imgf000542_0001
A low to high transition of the Go register causes the internal states of the LLU to be reset. All configuration registers will remain the same. The block indicates the transition to other blocks via the llujgojpulse signal. 31.7.4 Dot generator The dot generator block is responsible for reading dot data from the DIU buffers and sending the dot data in the correct order to the PHI block. The dot generator waits for llujan signal from the fifo fill level block, once active it starts reading data from the 6 DIU buffers and generating dot data for feeding to the PHI.
In the LLU there are two instances of the dot generator, one generating odd data and the other generating even data.
At any time the ready bit from the PHI could be de-asserted, if this happens the dot generator will stop generating data, and wait for the ready bit to be re-asserted. 31.7.4.1 Dot count
In normal operation the dot counter will wait for the llujan and the ready to be active before starting to count. The dot count will produce data as long as the phijlujready is active. If the phijlu eady signal goes low the count will be stalled.
The dot counter increments for each dot that is processed per line. It is used to determine the line finish position, and the bit select value for reading from the DIU buffers. The counter is reset after each line is processed (linejin signal). It determines when a line is finished by comparing the dot count with the configured line size divided by 2 (note that odd numbers of dots will be rounded down). // define the line finis if (dot_cnt [14:0] == linejsize [15:1] ) then linejin = 1 else linejEin = 0 // determine if word is valid dotjactive = ( (lluj≥n == 1) AND (phi_llu_ready == 1) AND (buf_emp == 0) ) // counter logic if (llujgojpulse == 1) then dot_cnt = 0 elsif ((dot active == 1)AND (line fin == 1)) then dotjαnt = 0 elsif (dotjactive == 1) then dotjαnt = dot_cnt + 1 else dot_cnt = dot_cnt // calculate the word select bits bitj3el[5:0] := dot_cnt[5:0]
The dot generator also maintains a read buffer pointer which is incremented each time a 64-bit word is processed. The pointer is used to address the correct 64-bit dot data word within the DIU buffers. The pointer is reset when llujgojpulse is 1. Unlike the dot counter the read pointer is not reset each line but rounded up the nearest 256-bit word. This allows for more efficient use of the DIU buffers at line finish.
When the dot counter reaches the join point for the dot generator (joinjpoini), it jumps to the next 256 bit word in the DIU buffer but continues to read from the next bit position within that word. If the join point coincides with a word boundary, no 256-bit increment is required. // read pointer logic if (llujgojpulse == 1) then readjadr = 0 elsif ((dotjactive == 1) AND( (dot_cnt [7 :0] == 255)OR(line_f in == l)))then // end of line round up readjadr [3 : 2] ++ readjadr [1:0] = 0 elsif ((dotjactive == 1) AND(dotjαnt joinjpoint) AND(dot_cnt [5:0] == 63)) then // join point jump 256 bits readjadr [1:0] 4-+ // regular increment readjadr [3 : 2] ++ // join point 256 increment elsif ((dotjactive == 1) AND(dotj_:nt join point)AND(dot_cnt [5 :0] 1= 63)) then // join point jump 256 bits, bottom bits remain the same readjadr [3 : 2] ++ // join point 256 increment only elsif ((dotjactive == 1) ND(dot_cnt [5 : 0] == 63)) then readjadr [3:0] ++ // regular increment 31.7.5 Fifo fill level The LLU keeps a running total of the number of lines in the dot line store FIFO. Every time the DWU signals a line end (dwujlujinejjvr active pulse) it increments the filllevel. Conversely if the LLU detects a line end (linejrd pulse) the filllevel is decremented and the line read is signalled to the DWU via the llujdwujine_rd signal.
The LLU fill level block is used to determine when the dot line has enough data stored before the LLU should begin to start reading. The LLU at page start is disabled. It waits for the DWU to write lines to the dot line FIFO, and for the fill level to increase. The LLU remains disabled until the fill level has reached the programmed threshold (fifojreadjhres). When the threshold is reached it signals the LLU to start processing the page by setting llujan high. Once the LLU has started processing dot data for a page it will not stop if the filllevel falls below the threshold, but will stall is f////eve/falls to zero. The line fifo fill level can be read by the CPU via the PCU at any time by accessing the
FifoFillLevel register. The CPU must toggle the Go register in the LLU for the block to be correctly initialized at page start and the fifo level reset to zero. if (llujojoulse == 1) then filllevel = 0 elsif ( (linejrd == 1) AND (dwu_llu_linej<«r == 1) ) then // do nothing elsif (linejrd == 1) then filllevel -- elsif (dwu_llu_line_wr == 1) then filllevel ++ // determine the threshold, and set the LLU going if (llu_gojpulse == 1) OR (filllevel == 0 ) ) then llu_en = 0 elsif (filllevel == fifo_read_threshold ) then llujan = 1 31.7.6 DIU interface 31.7.6.1 DIU interface description
The DIU interface block is responsible for determining when dot data needs to be read from DRAM, keeping the dot generators supplied with data and calculating the DRAM read address based on configured parameters, FIFO fill levels and position in a line.
The fill level block enables DIU requests by activating llujan signal. The DIU interface controller then issues requests to the DIU fpr the LLU buffers to be filled with dot line data (or fill the LLU buffers with null data without requesting DRAM access, if required). At page start the DIU interface determines which buffers should be filled with null data and which should request DRAM access. New requests are issued until the dot line is completely read from DRAM.
For each request to the DRAM the address generator calculates where in the DRAM the dot data should be read from. The colorjanable bus determines which colors are enabled, the interface never issues DRAM requests for disabled colors. 31.7.6.2 Interface controller
The interface controller co-ordinates and issues requests for data transfers from DRAM. The state machine waits in Idle state until it is enabled by the LLU controller (llujan) and a request for data transfer is received from the write pointer block. When an active request is received (reqjactive equals 1 ) the state machine jumps to the
ColorSelect state to determine which colors (color jont) in the group need a data transfer. A group is defined as all odd colors or all even colors. If the color isn't enabled (colorjanable) the count just increments, and no data is transferred. If the color is enabled, the state machine takes one of two options, either a null data transfer or an actual data transfer from DRAM. A null data transfer writes zero data to the DIU buffer and does not issue a request to DRAM.
The state machine determines if a null transfer is required by checking the colorjstart signal for that color.
If a null transfer is required the state machine doesn't need to issue a request to the DIU and so jumps directly to the data transfer states (DataO to DataS). The machine clocks through the 4 states each time writing a null 64-bit data word to the buffer. Once complete the state machine returns to the ColorSelect state to determine if further transfers are required. If the colorjstart is active then a data transfer is required. The state machine jumps to the Request state and issue a request to the DIU controller for DRAM access by setting llu jdiu req high. The DIU responds by acknowledging the request (diujlujrack equals 1) and then sending 4 64-bit words of data. The transition from Request to DataO state signals the address generator to update the address pointer (adrjjpdate). The state machine clocks through DataO to Data3 states each time writing the 64-bit data into the buffer selected by the reqjsel bus. Once complete the state machine returns to the ColorSelect state to determine if further transfers are required. When in the ColorSelect state and all data transfers for colors in that group have been serviced (i.e. when color jont is 6) the state machine will return to the Idle state. On transition it will update the word counter logic (wordjdec) and enabled the request logic (reqjjpdate). A reset or llujgojpulse set to 1 will cause the state machine to jump directly to Idle. The controller will remain in Idle state until it is enabled by the LLU controller via the llujan signal. This prevents the DIU attempting the fill the DIU buffers before the dot line store FIFO has filled over its threshold level.
31.7.6.3 Color activate
The color activate logic maintains an absolute line count indicating the line number currently being processed by the LLU. The counter is reset when the llujgojpulse is 1 and incremented each time a linejrd pulse is received. The count value (linejont) is used to determine when to start reading data for a color.
The count is implemented as follows: if ( llujgojpulse == 1) then linejαnt = 0 elsif ( linejrd == 1) then line ent ++ The color activate logic compares line count with the relative line value to determine when the LLU should start reading data from DRAM for a particular half color. It signals the interface controller block which colors are active for this dot line in a page (via the colorjstart bus). It is used by the interface controller to determine which DIU buffers require null data. Once the colorjstart bit for a color is set it cannot be cleared in the normal page processing process. The bits must be reset by the CPU at the end of a page by transitioning the Go bit and causing a pulse on the llujgojpulse signal.
Any color not enabled by the colorjanable bus will never have its colorjstart bit set. for (i=0 ; i<12 ; i++) { if ( llujgojpulse == 1) then colj->n [i] = 0 elsif ( colorjsnable [i % 6] == 1 ) then col_on [i] = 0 elsif ( line_cnt == color_rel_line [i] ) then coljDn [i] = 1 } // select either odd or even colors if ( oddjsvenjsel == 1 ) then // odd selected colorjstart [5 : 0] = {col_on [ll] , colj3n [9] , col_on [7] , col_on [5] , coljDn [3] , col_on [l ] } else // even selected colorjstart [5 : 0] = {coljon [10] , col_on [8] , coljon [6] , coljDn [4] , coljon [2] , coljon [θ ] }
31.7.6.4 Address generator
The address generator block maintains 24 pointers (currentjadrja[11:0] and currentjadr_b[11:0]) to DRAM corresponding to 2 read addresses in the dot line FIFO for each half color. The currentjadrja group of pointers are used when the dot generator is feeding printhead channel A, and the currentjadrjo group of pointers are used when the dot generator is feeding printhead channel B. For each DRAM access the 2 address pointers are updated but only one can be used for an access. The word counter block determines which pointer group should be used to access DRAM, via the pointer select signals (ptrjsel). In certain cases (e.g. the join point is not 256-bit aligned and the word is on the join point) the address pointers should not be updated for an access, the word counter block determines the exception cases and indicates to the address generator to skip the update via the join stall signal.
When a DRAM transfer occurs the address pointer is used first and then updated for the next transfer for the color. The pointer used is selected by the reqjsel and ptrjsel buses, and the pointer update is initiated by the adrjjpdate signal from the interface controller. The address update is calculated as follows (pointer group A logic is shown but the same logic is used to update the B pointer group a clock cycle later): // update the A pointers if (ptrajvrjsn == 1) then // write from the configuration block. currenjadrja [pt jadr] = ptr_wr iata; elsif ( adrjαpdateja == 1) then { // address update from state machine if ( (req_sel == NULL )OR (joinjstall == 1)) then // do nothing else // temporary variable setup next jadr = currentjadrja [req_sel] + 1 startjadr = colorjoasejadr [reqjsel] endjadr = colorjoasejadr [reqjsel + i] // determine how to update the pointer if (next jadr == endjadr) then currentjadrja [req_sel] = startjadr else currentjadrja [req_sel] = nextjadr } The correct address to use for a transfer is selected by the ptrjsel signals from the word counter block. They indicate which set of address pointers should be used based on the current word being transferred from the DRAM and the configured join point values (joinjword). // select the address pointer to use for access if (reqjsel [0] == 1) then // odd pointer selector if (ptrjsel [1] == 1) then llu liu radr = currentjadr_b [reqjsel] // latter part of line else llu__diu_radr = currentjadrja [reqjsel] // former part of line else // even pointer selector if (ptrjsel [0] == 1) then llu_diu_radr = currentjadrja [reqjsel] // latter part of line else llu_diu_radr = currentjadr_a [reqjsel] // former part of line 31.7.6.6 Write pointer
The write pointer logic maintains the buffer write address pointers, determines when the DIU buffers need a data transfer and signals when the DIU buffers are empty. The write pointer determines the address in the DIU buffer that the data should be transferred to.
The write pointer logic compares the read and write pointers of each DIU buffer to determine which buffers require data to be transferred from DRAM, and which buffers are empty (the bufjamp signals).
Buffers are grouped into odd and even buffers, if an odd buffer requires DRAM access the oddjoend signals will be active, if an even buffer requires DRAM access the evenjpend signals will be active. If both odd and even buffers require DRAM access at exactly the same time, the even buffers will get serviced first. If a group of odd buffers are being serviced and an even buffer becomes pending, the odd group of buffers will be completed before the starting the even group, and vice versa. If any buffer requires a DRAM transfer, the logic will indicate to the interface controller via the reqjactive signal, with the oddjavenjsel signal determining which group of buffers get serviced.
The interface controller will check the colorjanable signal and issue DRAM transfers for all enabled colors in a group. When the transfers are complete it tells the write pointer logic to update the request pending via reqjjpdate signal. The req_sel[3:0] signal tells the address generator which buffer is being serviced, it is constructed from the oddjavenjsel signal and the color_cnt[2:0] bus from the interface controller. When data is being transferred to DRAM the word pointer and write pointer for the corresponding buffer are updated. The reqjsel determines which pointer should be incremented.
The write pointer logic operates the same way regardless of whether the transfer is null or not.
// determine which buffers need updates bufjam [1 : 0] = 0 oddjpend = 0 evenjpend = 0 if ( wr_adr [θ] [3 : 2] == rdjadr [0] [3 : 2] ) evenjpend = 1 if ( wrjadr tl] [3 : 2] == rdjadr [1] [3 : 2] ) oddjpend = 1 // determine if buffers are empty if ( (wrjadr [0] [3 : 0] == rdjadr [0] [3 : 0] ) ) then buf jsmp [ 0 ] = 1 if ( (wrjadr tl] [3 : 0] == rdjadr [1] [3 : 0] ) ) then buf jsmp [1] = 1 // fixed servicing order, only update when controller dictates so if (reqjαpdate == l) then { if (evenjpend == 1) then // even always first oddjsvenjsel = 0 reqjactive = l elsif (oddjpend == 1 ) then // then check odd oddjsvenjsel = 0 reqjactive = 1 else // nothing active oddjsvenjsel = 0 reqjactive = 0 } // selected requestor reqjsel [3 : 0] = {color πit [2:0], oddjsvenjsel} // concatentation
The write address pointer logic consists of 2 2-bit counters and a word select pointer. The counters are reset when llujgojpulse is one. The word pointer (wordjotr) is common to all buffers and is used to write 64-bit words into the DIU buffer. It is incremented when buf djan is active. When a group of buffers are updated the state machine increments the write pointer (wrjotφddjavenjselj) via the groupjin signal. A concatenation of the write pointer and the word pointer are use to construct the buffer write address. The write pointers are not reset at the end of each line.
// determine which pointer to update if (llujgojpulse == 1) then wr_jptr[l:θ] = 0 wordjotr = 0 elsif (buf rdjsn == 1) then wordjptr++ wrjsn [reqjsel] = 1 elsif (groupjEin = 1 ) then wrjptr [oddjsvenjsel] ++
// create the address from the write pointer and word pointer wrjadr [oddjsvenjsel] = {wrjptr [oddjsvenjsel] , wordjotr} // concatenation
31.7.6.6 Word count The word count logic maintains 2 counters to track the number of words transferred from DRAM per line, one counter for odd data, and one counter for even. On receipt of a llujgojpulse, the counters are initialized to ajoinjword value (number of words to the join point for that printhead channel) and the pointer select values to zero (ptrjsel). When a group of words are transferred to DRAM as indicated by the wordjdec signal from the interface controller, the corresponding counter is decremented. The counter to decrement is indicated by the oddjavenjsel signal from the write pointer block (even = 0, odd = 1 ).
When a counter is zero and the ptrjsel is zero, the counter is re-initialized to the second joinjword value and ptrjsel is inverted. The counter continues to count down to zero each time a wordjdec signal is received. When a counter is zero and the ptrjsel is one, it signals the end of a line (the lastjwd signal) and initializes the counter to the first joinjpoint value for the next line transfer.
The ptrjsel signal is used in the address generator to select the correct address pointer to use for that particular access. // determine which counter to decrement if (llujgojpulse == 1) then word :nt [0] = j oinjword [0] // even count ptrjsel [0] = 0 // even generator starts with pointer A wordjont [l] = join_word [l] // odd count ptrjsel [1] = 0 // odd generator starts with pointer A elsif (wordjdec == 1) then { // need to decrement one word counter if (oddjsvenjsel == 0 ) then // even counter update if (wordjαnt [0] == 0 ) then wordjont [θ] = j oin_word [ptrjsel [0] ] // re-initialize pointer ptrjsel [0] = ~ (ptrjsel [0] ) if (ptrjsel [0] == 1) then // determine if this the last word last_wd = 1 else word_cnt [0] // normal decrement else // odd counter update if (wordjΞnt [1] == 0) then word_cnt[l] = joinjvord [ptrjsel [1] ] // re-initialize pointer ptrjsel [1] = ~ (ptrjsel [1] ) if (ptrjsel [1] == 1) then // determine if this the last word lastjwd = 1 else wordjont [1] -- // normal decrement } The word count logic also determines if the current word to be transferred is the join word, and if so it determines if it is aligned on a 256-bit boundary or not. If the join point is aligned to a boundary there is no need to prevent the address counter from incrementing, otherwise the address pointers are stalled for that word transfer (joinjstall). joinjstall = ( ( (ptrjsel [0] == θ) AND (wordjont [0] == θ) AND (joinjpoint [θ] [7 : 0] ! = 0 ) ) AND ( (ptrjsel [1] == 0) AND ( word jont [1] == 0) AND (join_ point [l] [7 : 0] ! = 0 ) ) )
The word count logic also determines when a complete line has been read from DRAM, it then signals the fifo fill level logic in both the LLU and DWU (via linejrd signal) that a complete line has been read by the LLU (llujdwujinejrd). // line finish logic if (llujgojpulse == 1) then linejEin = 0 linejrd = 0 elsif ( (last_wd == 1) AND (linejEin == 0) ) then linejEin = 1 // first group lastjtfd finish pulse linejrd = 0 elsif ( (last_wd == 1) AND (linejEin == 1) ) then linejEin = 0 // second group last_wd finish pulse linejrd = 1 else linejEin = line_fin // stay the same linejrd = 0 32 PrintHead Interface (PHI) 32.1 OVERVIEW
The Printhead interface (PHI) accepts dot data from the LLU and transmits the dot data to the printhead, using the printhead interface mechanism. The PHI generates the control and timing signals necessary to load and drive the bi-lithic printhead. The CPU determines the line update rate to the printhead and adjusts the line sync frequency to produce the maximum print speed to account for the printhead ICs size ratio and inherent latencies in the syncing system across multiple SoPECs.
The PHI also needs to consider the order in which dot data is loaded in the printhead. This is dependent on the construction of the printhead and the relative sizes of printhead ICs used to create the printhead. See Bi-lithic Printhead Reference document for a complete description of printhead types [10].
The printing process is a real-time process. Once the printing process has started, the next
Printline's data must be transferred to the printhead before the next line sync pulse is received by the printhead. Otherwise the printing process will terminate with a buffer underrun error.
The PHI can be configured to drive a single printhead IC with or without synchronization to other
SoPECs. For example the PHI could drive a single IC printhead (i.e. a printhead constucted with one IC only), or dual IC printhead with one SoPEC device driving each printhead IC.
The PHI interface provides a mechanism for the CPU to directly control the PHI interface pins, allowing the CPU to access the bi-lithic printhead to:
• determine printhead temperature
• test for and determine dead nozzles for each printhead IC.
• initialize each printhead IC
• pre-heat each printhead IC Figure 277 shows a high level data flow diagram of the PHI in context. 32.2 PRINTHEAD MODES OF OPERATION
The printhead has 8 different modes of operations (although some modes are re-used). The mode of operation is defined by the state of the output pins phijsyncl and phi jreadl and the internal printhead mode register. The modes of operation are defined in Table 210. Table 210. Printhead modes of operation
Figure imgf000552_0001
Figure imgf000553_0001
32.3 DATA RATE EQUALIZATION
The LLU can generate dot data at the rate of 12 bits per cycle, where a cycle is at the system clock frequency. In order to achieve the target print rate of 30 sheets per minute, the printhead needs to print a line every 100μs (calculated from 300mm @ 65.2 dots/mm divided by 2 seconds =~ 100μsec). For a 7:3 constructed printhead this means that 9744 cycles at 320Mhz is quick enough to transfer the 6-bit dot data (at 2 bits per cycle). The input FIFOs are used to de-couple the read and write clock domains as well as provide for differences between consume and fill rates of the PHI and LLU. Nominally the system clock (pclk) is run at 160Mhz and the printhead interface clock (doclk) is at 320Mhz.
If the PHI was to transfer data at the full printhead interface rate, the transfer of data to the shorter printhead IC would be completed sooner than the longer printhead IC. While in itself this isn't an issue it requires that the LLU be able to supply data at the maximum rate for short duration, this requires uneven bursty access to DRAM which is undesirable. To smooth the LLU DRAM access requirements over time the PHI transfers dot data to the printhead at a pre-programmed rate, proportional to the ratio of the shorter to longer printhead ICs.
The printhead data rate equalization is controlled by PrintHeadRate[1 :0] registers (one per printhead IC). The register is a 16 bit bitmap of active clock cycles in a 16 clock cycle window. For example if the register is set to OxFFFF then the output rate to the printhead will be full rate, if it's set to OxFOFO then the output rate is 50% where there is 4 active cycles followed by 4 inactive cycles and so on. If the register was set to 0x0000 the rate would be 0%. The relative data transfer rate of the printhead can be varied from 0-100% with a granularity of 1 /16 steps. Table 211. Example rate equalization values for common printheads
Figure imgf000554_0001
If both printhead ICs are the same size (e.g. a 5:5 printhead) it may be desirable to reduce the data rate to both printhead ICs, to reduce the read bandwidth from the DRAM. 32.4 DOT GENERATE AND TRANSMIT ORDER
Several printhead types and arrangements exists (see [10] for other arrangements). The PHI is capable of driving all possible configurations, but for the purposes of simplicity only one arrangement (arrangement 1 - see [10] for definition) is described in the following examples. The structure of the printhead ICs dictate the dot transmit order to each printhead IC. The PHI accepts two streams of dot data from the LLU, one even stream the other odd. The PHI constructs the dot transmit order streams from the dot generate order received from the LLU. Each stream of data has already been arranged in increasing or decreasing dot order sense by the DWU. The exact sense choice is dependent on the type of printhead ICs used to construct the printhead, but regardless of configuration the odd and even stream should be of opposing sense. The dot transmit order is shown in Figure 281. Dot data is shifted into the printhead in the direction of the arrow, so from the diagram (taking the type 0 printhead IC) even dot data is transferred in increasing order to the mid point first (0, 2, 4, ..., m-6, m-4, m-2), then odd dot data in decreasing order is transferred (m-1 , m-3, m-5 , 5, 3, 1 ). For the type 1 printhead IC the order is reversed, with odd dots in increasing order transmitted first, followed by even dot data in decreasing order. Note for any given color the odd and even dot data transferred to the printhead ICs are from different dot lines, in the example in the diagram they are separated by 5 dot lines. Table 212 shows the transmit dot order for some common A4 printheads. Different type printheads may have the sense reversed and may have an odd before even transmit order or vice versa. Table 212. Example printhead ICs, and dot data transmit order for A4 (13824 dots) page
Size iDots Dot Order Type 0 Printhead IC 8 11160 0,2,4,8.. ,5574,5576,5578 5579,5577,5575 ...7,5,3,1 7 9744 0,2,4,8 ,4866,4868,4870 4871,4869,4867 ..7,5,3,1 6 8328 0,2,4,8 ,4158,4160,4162 4163,4161 ,4159 ...7,5,3,1 5 6912 0,2,4,8 ,3450,3452,3454 3455,3453,3451 ...7,5,3,1 4 5496 0,2,4,8... ,2742,2744,2746 2847,2845,2843 ...7,5,3,1
Figure imgf000555_0001
32.4.1 Dual Printhead IC The LLU contains 2 dot generator units. Each dot generator reads dot data from DRAM and generates a stream of dots in increasing or decreasing order. A dot generator can be configured to produce odd or even dot data streams, and the dot sense is also configurable. In Figure 281 the odd dot generator is configured to produce odd dot data in decreasing order and the even dot generator produces dot data in increasing order. The LLU takes care of any vertical misalignment between the 2 printhead ICs, presenting the PHI with the appropriate data ready to be transmitted to the printhead. In order to reconstruct the dot data streams from the generate order to the transmit order, the connection between the generators and transmitters needs to be switched at the mid point. At line start the odd dot generator feeds the type 1 printhead, and the even dot generator feeds the type 0 printhead. This continues until both printheads have received half the number of dots they require (defined as the mid point). The mid point is calculated from the configured printhead size registers (PrintHeadSize). Once both printheads have reached the mid point, the PHI switches the connections between the dot generators and the printhead, so now the odd dot generator feeds the type 0 printhead and the even dot generator feeds the type 1 printhead. This continues until the end of the line.
It is possible that both printheads will not be the same size and as a result one dot generator may reach the mid point before the other. In such cases the quicker dot generator is stalled until both dot generators reach the mid point, the connections are switched and both dot generators are restarted. Note that in the example shown in Figure 281 the dot generators could generate an A4 line of data in 6912 cycles, but because of the mismatch in the printhead IC sizes the transmit time takes 9744 cycles.
32.4.2 Single printhead IC In some cases only one printhead IC may be connected to the PHI. In Figure 282 the dot generate and transmit order is shown for a single IC printhead of 9744 dots width. While the example shows the printhead IC connected to channel A, either channel could be used. The LLU generates odd and even dot streams as normal, it has no knowledge of the physical printhead configuration. The PHI is configured with the printhead size (PrintHeadSize[1] register) for channel B set to zero and channel A is set to 9744.
Note that in the example shown in Figure 283 the dot generators could generate an 7 inch line of data in 4872 cycles, but because the printhead is using one IC, the transmit time takes 9744 cycles, the same speed as an A4 line with a 7:3 printhead.
32.4.3 Summary of generate and transmit order requirements In order to support all the possible printhead arrangements, the PHI (in conjuction with the LLU/DWU) must be capable of re-ordering the bits according to the following criteria:
• Be able to output the even or odd plane first.
• Be able to output even and odd planes independently.
• Be able to reverse the sequence in which the color planes of a single dot are output to the printhead. 32.5 PRINT SEQUENCE The PHI is responsible for accepting dot data streams from the LLU, restructuring the dot data sequence and transferring the dot data to each printhead within a line time (i.e before the next line sync). Before a page can be printed the printhead ICs must be initialized. The exact initialization sequence is configuration dependent, but will involve the fire pattern generation initialization and other optional steps. The initialization sequence is implemented in software. Once the first line of data has been transferred to the printhead, the PHI will interrupt the CPU by asserting the phijcujprint dy signal. The interrupt can be optionally masked in the ICU and the CPU can poll the signal via the PCU or the ICU. The CPU must wait for a print ready signal in all printing SoPECs before starting printing.
Once the CPU in the PrintMaster SoPEC is satisfied that printing should start, it triggers the LineSyncMaster SoPEC by writing to the PrintStart register of all printing SoPECs. The transition of the PrintStart register in the LineSyncMaster SoPEC will trigger the start of Isyncl pulse generation. The PrintMaster and LineSyncMaster SoPEC are not necessarily the same device, but often are the same. For a more in depth definition see section 12.1.1 Multi-SoPEC systems on page 133.
Writing a 1 to the PrintStart register enables the generation of the line sync in the LineSyncMaster which is in turn used to align all SoPECs in a multi-SoPEC system. All printhead signaling is aligned to the line sync. The PrintStart is only used to align the first line sync in a page. When a SoPEC receives a line sync pulse it means that the line previously transferred to the printhead is now printing, so the PHI can begin to transfer the next line of data to the printhead. When the transfer is complete the PHI will wait for the next line sync pulse before repeating the cycle. If a line sync arrives before a complete line is transferred to the printhead (i.e. a buffer error) the PHI generates a buffer underrun interrupt, and halts the block.
For each line in a page the PHI must transfer a full line of data to the printhead before the next line sync is generated or received.
32.5.1 Sync pulse control
If the PHI is configured as the LineSyncMaster SoPEC it will start generating line sync signals LsyncPre number of pclk cycles after PrintStart register rising transition is detected. All other signals in the PHI interface are referenced from the rising edge of phijsyncl signal. If the SoPEC is in line sync slave mode it will receive a line sync pulse from the LineSyncMaster SoPEC through the phijsyncl pin which will be programmed into input mode. The phijsyncl input pin is treated as an asynchronous input and is passed through a de-glitch circuit of programmable de-glitch duration (LsyncDeglitchCnt).
The phijsyncl will remain low for LsyncLow cycles, and then high for LsyncHigh cycles. The phijsyncl profile is repeated until the page is complete. The period of the phijsyncl is given by LsyncLow + LsyncHigh cycles. Note that the LsyncPre value is only used to vary the time between the generation of the first phijsyncl and the PageStart indication from the CPU. See Figure 284 for reference diagram.
If the SoPEC device is in line sync slave mode, the LsyncHigh register specifies the minimum allowed phijsyncl period. Any phijsyncl pulses received before the LsyncHigh has expired will trigger a buffer underrun error.
32.5.2 Shift register signal control Once the PHI receives the line sync pulse, the sequence of data transfer to the printhead begins. All PHI control signals are specified from the rising edge of the line sync. The phijsrclk (and consequently phijphjdata) is controlled by the SrclkPre, SrclkPost registers. The SrclkPre specifies the number of pclk cycles to wait before beginning to transfer data to the printhead. Once data transfer has started, the profile of the phijsrclk is controlled by PrintHeadRate register and the status of the PHI input FIFO. For example it is possible that the input FIFO could empty and no data would be transferred to the printhead while the PHI was waiting. After all the data for a printhead is transferred to the PHI, it counts SrclkPost number of pclk cycles. If a new phijsyncl falling edge arrives before the count is complete the PHI will generate a buffer underrun interrupt (phijcujunderrun). 32.5.3 Firing sequence signal control
The profile of the phijrclk pulses per line is determined by 4 registers FrclkPre, FrclkLow, FrclkHigh, FrclkNum. The FrclkPre register specifies the number of cycles between line sync rising edge and the phijrclk pulse high. It remains high for FrclkHigh cycles and then low for FrclkLow cycles. The number of pulses generated per line is determined by FrclkNum register. The total number of cycles required to complete a firing sequence should be less than the phijsyncl period i.e. ((FrclkHigh + FrclkLow) * FrclkNum)+ FrclkPre < (LsyncLow + LsyncHigh). Note that when in CPU direct control mode (PrintHeadCpuCtrM) and PrintHeadCpuCtrlMode[x] =1 , the frclk generator is triggered by the transition of the FireGenSoffTriggerjO] bit from 0 to 1. Figure 284 details the timing parameters controlling the PHI. All timing parameters are measured in number of pclk cycles.
32.5.4 Page complete
The PHI counts the number of lines processed through the interface. The line count is initialised to the PageLenLlne and decrements each time a line is processed. When the line count is zero it pulses the phijcujpagejinish signal. A pulse on the phijcu jpage Jinish automatically resets the PHI Go register, and can optionally cause an interrupt to the CPU. Should the page terminate abnormally, i.e. a buffer underrun, the Go register will be reset and an interrupt generated.
32.5.5 Line sync interrupt The PHI will generate an interrupt to the CPU after a predefined number of line syncs have occured. The number of line syncs to count is configured by the LineSynclnterrupt register. The interrupt can be disabled by setting the register to zero. 32.6 DOT LINE MARGIN The PHI block allows the generation of margins either side of the received page from the LLU block. This allows the page width used within PEP blocks to differ from the physical printhead size. This allows SoPEC to store data for a page minus the margins, resulting in less storage requirements in the shared DRAM and reduced memory bandwidth requirements. The difference between the dot data line size and the line length generated by the PHI is the dot line margin length. There are two margins specified for any sheet, a margin per printhead IC side. The margin value is set by programming the DotMargin register per printhead IC. It should be noted that the DotMargin register represents half the width of the actual margin (either left or right margin depending on paper flow direction). For example, if the margin in dots is 1 inch (1600 dots), then DotMargin should be set to 800. The reason for this is that the PHI only supports margin creation cases 1 and 3 described below. See example in Figure 284.
In the example the margin for the type 0 printhead IC is set at 100 dots (DotMargin==100), implying an actual margin of 200 dots.
If case one is used the PHI takes a total of 9744 phijsrclk cycles to load the dot data into the type 0 printhead. It also requires 9744 dots of data from the LLU which in turn gets read from the DRAM. In this case the first 100 and last 100 dots would be zero but are processed though the SoPEC system consuming memory and DRAM bandwidth at each step.
In case 2 the LLU no longer generates the margin dots, the PHI generates the zeroed out dots for the margining. The phijsrclk still needs to toggle 9744 times per line, although the LLU only needs to generate 9544 dots giving the reduction in DRAM storage and associated bandwidth. The case 2 senario is not supported by the PHI because the same effect can be supported by means of case 1 and case 3.
If case 3 is used the benefits of case 2 are achieved, but the phijsrclk no longer needs to toggle the full 9744 clock cycles. The phijsrclk cycles count can be reduced by the margin amount (in this case 9744-100=9644 dots), and due to the reduction in phijsrclk cycles the phijsyncl period could also be reduced, increasing the line processing rate and consequently increasing print speed. Case 3 works by shifting the odd (or even) dots of a margin from line Y to become the even (or odd) dots of the margin for line Y-4, (Y-5 adjusted due to being printed one line later). This works for all lines with the exception of the first line where there has been no previous line to generate the zeroed out margin. This situation is handled by adding the line reset sequence to the printhead initialization procedure, and is repeated between pages of a document.
32.7 DOT COUNTER
For each color the PHI keeps a dot usage count for each of the color planes (called
AccumDotCount). If a dot is used in particular color plane the corresponding counter is incremented. Each counter is 32 bits wide and saturates if not reset. A write to the DotCountSnap register causes the AccumDotCount[N] values to be transferred to the DotCount[N] registers
(where N is 5 to 0, one per color). The AccumDotCount registers are cleared on value transfer.
The DotCount[N] registers can be written to or read from by the CPU at any time. On reset the counters are reset to zero. The dot counter only counts dots that are passed from the LLU through the PHI to the printhead.
Any dots generated by direct CPU control of the PHI pins will not be counted.
32.8 CPU IO CONTROL
The PHI interface provides a mechanism for the CPU to directly control the PHI interface pins, allowing the CPU to access the bi-lithic printhead: • Determine printhead temperature
• Test for and determine dead nozzles for each printhead IC
• Printhead IC initialization
• Printhead pre-heat function
The CPU can gain direct control of the printhead interface connections by setting the PrintHeadCpuCtrl register to one. Once enabled the printhead bits are driven directly by the PrintHeadCpuOut control register, where the values in the register are reflected directly on the printhead pins and the status of the printhead input pins can be read directly from the PrintHeadCpuln. The direction of pins is controlled by programming PrintHeadCpuDir register. The register to pin mapping is as follows: Table 213. CPU control and status registers mapping to printhead interface
Figure imgf000559_0001
Figure imgf000560_0001
It is important to note that once in PrintHeadCpuCtrl mode it is the responsibility of the CPU to drive the printhead correctly and not create situations where the printhead could be destroyed such as activating all nozzles together. The phijsrclk is a double data rate clock (DDR) and as such will clock data on both edges in the printhead. Note the following procedures are based on current printhead capabilities, and are subject to change.
32.9 IMPLEMENTATION 32.9.1 Definitions of I/O Table 214. Printhead interface I/O definition
Figure imgf000560_0002
Figure imgf000561_0001
Figure imgf000562_0001
32.9.2 PHI sub-block partition
32.9.3 Configuration registers
The configuration registers in the PHI are programmed via the PCU interface. Refer to section 21.8.2 on page 351 for a description of the protocol and timing diagrams for reading and writing registers in the PHI. Note that since addresses in SoPEC are byte aligned and the PCU only supports 32-bit register reads and writes, the lower 2 bits of the PCU address bus are not required to decode the address space for the PHI. When reading a register that is less than 32 bits wide zeros should be returned on the upper unused bit(s) of phijpcujdatain. Table 215 lists the configuration registers in the PHI Table 215. PHI registers description
Figure imgf000562_0002
Figure imgf000563_0001
Figure imgf000564_0001
Figure imgf000565_0001
Figure imgf000566_0001
are clocked by different and asynchronous clocks. Configuration values are not re-synchronized, it is therefore important that the Go register be set to zero while updating configuration values. This prevents logic from entering unknown states due to metastable clock domain transfers. Some registers can be written to at any time such as the direct CPU control registers (PrintHeadCpuln, PrintHeadCpuDir, PrintHeadCpuOut and PrintHeadCpuCtrl), the Go register and the PrintStart register. All registers can be read from at any time.
32.9.4 Dot counter The dot counter keeps a running count of the number of dots fired for each color plane. The counters are 32 bits wide and will saturate. When the CPU wants to read the dot count for a particular color plane it must write to the DotCountSnap register. This causes all 6 running counter values to be transferred to the DotCount registers in the configuration registers block. The running counter values are reset. // reset if being snapped if (dot jont jsnap == 1) then{ dotjoount [5 : 0] = accumjϊotjoount [5 : 0] accum_dot_count [5 : 0] = 0 } // update the counts for (color=θ ; color < 6 ; color++) { if { accumjdot joount [color] ! = OxffffjEfff ) { // data valid, first dot stream data valid = ( (phi_llu_ready [0] == 1) AND (llujphijavail [θ] == 1) ) if ( (datajvalid == 1 ) AND ( llu phi_data [0] [color] == 1) ) then accumjϊotjoount [color] ++ // data valid, second dot stream datajvalid = ( (phi_llu_ready [1] == 1) AND ( llujphi_avail [l] == 1) ) if ( (datajvalid == 1) AND ( llujρhi_data [1] [color] == 1) ) then accumjlot joount [color] ++ } }
32.9.5 Sync generator
The sync generator logic has two modes of operation, master and slave mode. In master mode
(configured by the PhiMode register) it generates the Isyncl jo output based on configured values and control triggers from the PHI controller. In slave mode it de-glitches the incoming IsynclJ signal, and filters the Isyncl signal with the minimum configured period.
After reset or a pulse on phijgojpulse the machine returns to the Reset state, regardless of what state it's currently in.
The state machine waits until it's enabled (sync_en==1 ) by the PHI controller state machine. When enabled it can proceed to the SyncPre or SyncWait depending on whether the state machine is configured in master or slave mode. In master mode it generates the Isyncl pulses, in slave mode it receives and filters the Isyncl pulses from the master sync generator. On transition to the SyncPre state a counter is loaded with the LsyncPre value, and while in the SyncPre the counter is decremented. When the count is zero the machine proceeds to the SyncLow state loading the counter with LsyncLow value.
The machine waits in the SyncLow state until the counter has decremented to zero. It proceeds to the SyncHigh state pulsing the linejst signal on transition and counts LsyncHigh number of cycles. This indicates to the PHI controller the line start aligned to the Isyncl positive edge. While in LsyncLow state the Isyncl jo output is set to 0 and in SyncHigh the Isyncl jo output is set to 1. When the count is zero and the current line is not the last (lastjine == 0), the machine returns to the SyncLow state to begin generating a new line sync pulse. The transition pulses the linejin signal to the PHI controller.
The loop is repeated until the current line is the last (lastjine ==1), and the machine returns to the Reset state to wait for the next page start. In slave mode the state machine proceeds to the SyncWait state when enabled. It waits in this state until a Isyncj ulse ise is received from the input de-glitch circuit. When a pulse is detected the machine jumps to the SyncPeriod state and begins counting down the LsyncHigh number of clock cycles before returning to the SyncWait state. Note in slave mode the LsyncHigh specifies the minimum number of pclk cycles between Lsync pulses. On transition from the SyncWait to the SyncPeriod state the linejst signal to the PHI controller is pulsed to indicate the line start. While in the SyncPeriod state if a Isyncjpulsejall is detected the state machine will signal a sync error (via syncjarr) to the PHI controller and cause a buffer underrun interrupt. 32.9.5.1 Lsyncl input de-glitch
The IsyncJ input is considered an asynchronous input to the PHI, and is passed through a synchronizer to reduce the possibility of metastable states occurring before being passed to the de-glitch logic.
The input de-glitch logic rejects input states of duration less than the configured number of clock cycles (Isyncjdeglitchjont), input states of greater duration are reflected on the output, and are negative and positive edge detected to produce the Isyncjpulsejall and Isyncjpulsejrise signal to the main generator state machine. The counter logic is given by if ( lsync _i ! = lsync_i_delay) then ent = Isyncjdeglitchjont outputjsn = 0 elsif (ent == 0 ) then ent = ent outputjsn = 1 else ent -- outputjsn = 0 32.9.6.2 Line Sync Interrupt logic The line sync interrupt logic counts the number of line syncs that occur (either internally or externally generated line syncs) and determines whether to generate an interrupt or not. The number of line syncs it counts before an interrupt is generated is configured by the LineSynclnterrupt register. The interrupt is disabled if LineSynclnterrupt is set to zero. // implement the interrupt counter if (phijgojoulse ==1) then linejoount = 0 elsif (linejst == 1) AND ( linejoount == 0 ) ) then line_count = linecount_int elsif ( (linejst == 1) AND (linejoount ! = 0 ) ) then linejoount - - // determine when to pulse the interrupt if (linesync_int == 0 ) then // interrupt disabled phi_icu_linesyncjLnt = 0 ; elsif ( (linejst == 1) AND (linejoount == 1) ) then pb.iji.cu_l ine sync jLnt = 1 32.9.6 Fire generator
The fire generator block creates the signal profile for the phijrclk signal to the printhead. The frclk is based on configured values and is timed in relation to the firejst pulse from the PHI controller block. Should the phijrclk state machine receive a firejst pulse before it has completed the sequence the machine will restart regardless of its current state.
Alternatively the frclk state machine can be triggered to generate their configured pulse profile by software. A low to high transition on the FireGenSoftTrigger register will cause a pulse on softjrclkjst triggering the state machine to begin generating the pulse profile. When the state machine has completed its sequence it will clear the FireGenSoftTrigger register bit (via softjirejolr signal). The FireGenSoftTrigger register will only be active when the printhead interface is in CPU direct control mode (PrintHeadCpuCtrl = 1 ) , the fire generator is in software trigger mode (PrintHeadCpuCtrlMode[x] = 1 ) and the pin is configured to be output mode (PrintHeadCpuDir[x] = 1 ). The fire generator consists of a state machine for creating the phijrclk signal. The phijrclk signal is generated relative to the Isyncl signal.
The machine is reset to the Reset state when phijgojoulse ==1 or the reset is active, regardless of the current state.
The machine waits in the reset state until it receives a firejst pulse from the PHI controller (or an soft Jirejst from the configuration registers). The controller will generate a f/re_sf pulse at the beginning of each dot line. On the state transition the cycle counter is loaded with the FrclkPre value and the repeat counter is loaded with the FrclkNum value.
The state machine waits in the FirePre state until the cycle counter is zero, after which it jumps to the FireHigh state and loads the cycle counter with FrclkHigh value. Again the state machine waits until the count is zero and then proceeds to the FireLow state. On transition the cycle counter is loaded with the FireLow value. The state machine waits in the FireLow state while the cycle counter is decremented.
When the cycle counter reaches zero and the repeatjoount is non-zero, the repeatjoount is decremented, the cycle counter is loaded with the FrclkHigh value and the state machine jumps to the FireHigh state to repeat the phijrclk generation cycle. The loop is repeated until the repeatjoount is zero. In such cases the state machine goes to the reset state resetting FireGenSoftTrigger (via the softjirejolr signal) register on the transition and waits for the next firejst pulse. When in the Reset state the firejrdy signal is active to indicate to the controller that the fire generator is ready.
32.9.7 PHI controller
The PHI controller is responsible for controlling all functions of the PHI block on a line by line basis. It controls and synchronizes the sync generator, the fire generator, and datapath unit, as well as signalling back to the CPU the PHI status. It also contains a line counter to determine when a full page has completed printing.
The PHI controller state machine is reset to Reset state by a reset or phijgojoulse == 1. It will remain in reset until the block is enabled by phijgo == 1. Once enabled the state machine will jump to the Firstϋne state, trigger the transfer of one line of data to the printhead (datajst == 1 ) and the line counter will be initialized to the page length (PageLenLine). Once the line is transferred (data in from the datapath unit) the machine will go to PrintStart state and signal the CPU using an interrupt that the PHI is ready to begin printing (phijcujprintjrdy). The line counter will also be decremented. It will then wait in the PrintStart state until the CPU acknowledges the print ready signal and enables printing by writing to the PrintStart register. The state machine proceeds to the SyncWait state and waits for a line start condition (linejst ==1 ). The line start condition is different depending on whether the PHI is configured as being in a master or slave SoPEC (the PhiMode register). In either case the sync generator determines the correct line start source and signals the PHI controller via the linejst signal. Once received the machine proceeds to the LineTrans state, with the transition triggering the fire generator to start (firejst), the datapath unit to start (datajst) and the sync generator to start (syncjst). While in the LineTrans state the fire, sync and datapath unit will be producing line data. When finished processing a line the datapath unit will assert the line finished (datajin) signal. If the line counter is not equal to 1 (i.e. not the last line) the state machine will jump back to the SyncWait state and wait for the start condition for the next line. The line counter will be decremented. If the line counter is one then the machine will proceed to the LastLine state. The LastLine state generates one more line of fire pulses to print the last line held in the shift registers of the printhead. Once complete (fire in ==1) the state machine returns to the reset state and waits for the next page of data. On page completion the state machine generates a phijcujpage inish interrupt to signal to the CPU that the page has completed, the phijcujpage inish will also cause the Go register to reset automatically. While the state machine is in the LineTrans state (or in FirstLine state and the PHI is in slave mode) and waiting for the datapath unit to complete line processing, it is possible (e.g. an excessive PEP stall) that a line finish condition occurs (linejin == 1) but the datapath unit is not ready. In this case an underrun error is generated. The state machine goes to the Underrun state and generates a phijcu_underrun interrupt to the CPU. The PHI cannot recover from a buffer underrun error, the CPU must reset the PEP blocks and re-start printing. The phijcujjnderrun will also cause the Go register to reset automatically. 32.9.8 CPU IO control
The CPU IO control block is responsible for providing direct CPU control of the IO pins via the configuration registers. It also accepts the input signals from the printhead and re-synchronizes them to the pclk domain, and debug signals from the RDU and muxes them to output pins. Table contains the direct mapping of configuration registers to printhead IO pins. Direct CPU control is enabled only when PrintHeadCpuCtrl is set to one. In normal operation (i.e. PrintHeadCpuCtrl == 0) the printhead frclk pin is always in output mode (phijrclk_e=1 ), the phijsyncl will be in output if the SoPEC is the master, i.e. phijsynclja = phijnode, and readl will be set high.
The PrintHeadCpuCtrlMode register determine whether the frclk pin should be driven by the fire generator logic or direct from the CPU PrintHeadCpuOut register. The pseudocode for the CPU IO control is: if (printhead opujotrl == 1) then // CPU access enabled // outputs if (PrintHeadCpuCtrlMode [0] == 1) then // fire generator controlled phijErcl jo = frclk else // normal direct CPU control phijErclkjo = printheadjopujout [1] phi jph_data_o [ 0 ] [1 : 0] = printheadjopujout [4 : 3] phijph_data_o [1] [1 : 0] = printheadjopujout [6 : 5] phijsrclk [1 : 0] = printheadjopujout [8 : 7] phi jreadl = printheadjopujout [9] // direction control phi_lsyncl_e = printheadjopu lir [0] phijErclkj≥ = printheadjopujϊir [1] // input assignments pr intheadjopu jLn [ 0 ] = synchroni ze ( phi_l syncl_i ) printheadjopujLn [1] = synchronize (phi jErclk_i) else // normal connections // outputs phijph_data_o [0] [1 : 0] = ph_data [θ] [1 : 0] phijoh_data_o [l] [1 : 0] = ph_data [l] [1 : 0] phi_lsyncl_o = lsyncjo phijreadl = l phijsrclk [1 : 0] = srclk [1 : 0] phijErclkjo = frclk // direction control phi_frclk_e = 1 phi_lsynclja = phijnode // depends on Master or Slave mode // inputs lsyncljL = phi_lsyncjL // connected regardless // debug overrides any other connections if ( debug jontrl [0] == 1) then phijErclkjo = debugjϊatajvalid phi_frclk_e = 1 phijreadl = pclk
The debug signalling is controlled by the RDU block (see Section 11.8 Realtime Debug Unit (RDU)), the IO control in the PHI muxes debug data onto the PHI pins based on the control signals from the RDU.
32.9.9 Datapath Unit
32.9.10 Dot order controller
The dot order controller is responsible for controlling the dot order blocks. It monitors the status of each block and determines the switch over point, at which the connections from odd and even dot streams to printhead channels are swapped.
The machine is reset to the Reset state when phijgojoulse == 1 or the reset is active. The machine will wait until it receives a datajst pulse from the PHI controller before proceeding to the LineStart state. On the transition to the LineStart state it will reset the dot counter in each dot order block via the dot jont Jst signal. While in the LineStart state both dot order blocks are enabled
Figure imgf000572_0001
). The dot order blocks process data until each of them reach their mid point. The mid point of a line is defined by the configured printhead size (i.e. print jheadjsize). When a dot order block reaches the mid point it immediately stops processing and waits for the remaining dot order block. When both dot order blocks are at the mid point (midjpt == 11) the controller clocks through the LineMid state to allow the pipeline to empty and immediately goes to LineEnd state.
In the LineEnd state the modejsel is switched and the dot order blocks re-enabled, in this state the dot order blocks are reading data from the opposite LLU dot data stream as in LineStart state. The controller remains in the LineEnd state until both dot order blocks have processed a line i.e. line fin == 11. On completion of both blocks the controller returns to the Reset state and again awaits the next datajst pulse from the PHI controller. When in Reset state the machine signals the PHI controller that it's ready to begin processing dot data via the dotjorderjrdy signal.
The dot order controller selects which dot streams should feed which printhead channels. The order can be changed by configuring the DotOrderMode register. In all cases Channel A and
Channel B must be in opposing dot order modes. Table 216 shows the possible modes of operation. Table 216. Mode selection in Dot order controller.
Figure imgf000573_0001
32.9.10.1 Dot order unit
The dot order control accepts dot data from either dot stream from the LLU and writes the dot data into the dot buffer. It has two modes of operation, odd before even (OBE) and even before odd (EBO). In the OBE mode data from the odd stream dot data is accepted first then even, in EBO mode it's vice versa. The mode is configurable by the DotOrderMode register. The dot order unit maintains a dot count that is decremented each time a new dot is received from the LLU. The dot order controller resets the dot counter to the print jheadjsize[1δ:0] at the start of a new line via the dot_cnt_rst signal. The dot count is compared with the printhead size (printjheadjsize[1δ:0j divided by 2) to determine the mid point (midjpf) and the line finish point (linejin) when the dot counter is zero.
The mid point is defined as the half the number of dots in a particular printhead, and is derived from the the printjheadjsize bus by dividing by 2 and rounding down. // define the mid point if (dot jont [15 : 0] == printjheadjsize [15 : 1] ) then idjpt = 1 else midjpt = 0 The dot order unit logic maintains the dot data write pointer. Each time a new dot is written to the dot buffer the write pointer is incremented. The fill level of the dot buffer is determined by comparing the read and write pointers. The fill level is used to determine when to backpressure the LLU (ready signal) due to the dot buffer filling. A suitable threshold value is determined to allow for the full LLU pipeline to empty into the dot buffer. The dot order stalling control is given by: // determine the ready/avail signal to use, based on mode select if (modejsel == 1) then dotjactive = llujphijavail [0] AND ready wrjiata = llujρhi_data [0] else dotjactive = llujphijavail [1] AND ready wrjiata = llujphijdata [1] // update the counters if (dotjactive == 1) then { wrjsn = 1 rjadr ++ if (dotjont == 0) then dotjont = printjheadjsize else dotjont-- }
The dot writer needs to determine when to stall the LLU dot data stream. A number of factors could stall the dot stream in the LLU such as buffer filling, waiting for the mid point, waiting for the line finish or the dot order controller is waiting for the line start condition from the PHI controller. The stall logic is given by: // determine when to stall the LLU generator fill_level = wrjadr - rdjadr if (fill_level > (32 - THRESHOLD ) ) then // THRESHOLD is open value ready = 0 // buffer is close to full elsif ( genj≥n == 0) then ready = 0 // stalled by the datapath controller else ready = 1 // everything good no stall 32.9.10.2 Data generator
The data generator block reads data from the dot buffer and feeds dot data to the printhead at a configured rate (set by the PrintheadRate). It also generates the margin zero data and aligns the dot data generation to the synchronization pulse from the PHI controller.
The data generator controller waits in Reset state until it receives a line start pulse from the PHI controller (datajst signal). Once a start pulse is received it proceeds to the SrclkPre state loading a counter with the SrclkPre value. While in this state it decrements the counter. No data is read or output at this stage. When the count is zero the machine proceeds to the DataGenl state. On transition it loads the counter with the printhead size (print jheadjsize). If margining is to be used then the configured printjheadjsize should be adjusted by the dot margin value i.e. printjheadjsize = (physicaljprintjneadjsize - (dotjmargin * 2)).
Dot data is transferred to the printhead serializer in dot-pairs, with one dot-pair transferred every 3 pclk cycles. To construct a dot data pair the state machine reads one dot in the DataGenl state, one dot in the DataGen2 state and waits for one clock cycle in the DataGen3 while the data is transferred to the data serializer. The counter will decrement for every dot data word transferred. The exact data rate is dictated by the dot buffer fill levels and the configured printhead rate (PrintheadRate). When in DataGen3 state the machine determines if it should waits for 3 cycles or transfer another dot pair to the data serializer. The generator determines the rate by comparing the rate counter (ratejont) with the configured PrintheadRate value. If the bit selected by the ratejont in the print_head_rate bus is one data is transferred, otherwise the 3 cycles are skipped (Wait1,Wait2 and Wait3). If the PrintHeadRate is set to all zeros then no data will ever get transferred. The rate counter is decremented (ratejont) while in the DataGen2 and Wait2 states. The rate counter is allowed to wrap normally. The pseudo-code for the rate control DataGen3 (or Wait3) state is given by: // decrement the rate count ratejont -- // happens in DataGen2, or ait2 // determine if data should be read // first determine if data is available in buffer if (rdjadr != wrjadr ) then if (printjheadjrate [rate_cnt] == 1 ) then dotjactive = 1 gate_srclk = 1 count -- nextjstate = DataGenl else dotjactive = 0 gatejsrclk = 0 nextjstate = Waitl else dotjactive = 0 gatejsrclk = 0 nextjstate = Waitl When the dot counter reaches zero the state machine will jump to the MarginGenl state if the configured margin value is non-zero, otherwise it will jump directly to the SrclkPost state. On transition to MarginGenl state it loads the cycle counter with the dotjmargin value, and begins to count down. While in the MarginGenl ,MarginGen2 and MarginGen3 state machine loop the data generator logic block writes dot data to the printhead but does not read from the dot buffers. It creates zero dot data words for the margin duration. As with normal dot data, it creates one dot in MarginGenl and MarginGen2 states, then wait a clock cycle to allow the transfer to the data serializer to complete.
When the counter reaches zero the machine jumps to the SrclkPost state, loads the clock counter with the SrclkPost value and decrements. When the count is finished the state machine returns to the Reset and awaits the next start pulse. Should a line sync arrive before the data generators have completed (data in signal) the PHI controller will detect a print error and stall the PHI interface.
As a consequence of the data transfer mechanism of dot pair cycles followed by a wait state, the printhead size (printjneadjsize) and dot margin (dotjmargin) must always be even dot values. 32.9.10.3 Data serializer
The data serializer block converts 12-bit dot data at pclk rates (nominally 160 MHz) to 2-bit data at doclk rates (nominally 320 MHz).
The srclk is only active when data is available for transfer to the printhead, as enabled by the gatejsrclk signal. The data rate mechanism in the data generator block will mean that data is not transferred to the printhead on every set of 3 pclk cycles. Both the dotjdata and gatejsrclk signals are controlled by the data generator block and can only change on a fixed 3 pclk cycle boundary. Data is transferred to the printhead on both edges of srclk (i.e double data rate DDR). Directly after a line sync pulse the mux control logic and the srclk generation logic are reset to a known state (the srclk is set high). Before data can begin transfer to the printhead it must generate a line setup edge on srclk, causing srclk to go low. The line setup edge happens SrclkPre number of pclk cycles after the line sync falling edge (indicated by the srjnit signal from the data generator block).
All data transfers to the printhead will be in groups of 6 2-bit data words, each word clocked on an edge of srclk. For each group srclk will start low and end low. At the end of a full line of data transfer the srclk must generate a line complete edge to return the srclk to a high state before the next line sync pulse. The data generator block generates a srjoom signal to indicate that the data transfer to the printhead has completed and that the line complete edge can be inserted. The srjoom signal is generated before the SrClkPost period. The data serializer block allows easy separation of clock gating and clock to logic structures from the rest of the PHI interface.
The mux logic determines which data bits from the dotjdata bus should be selected for output on the phjdata bus to the printhead. The mux selector is initialized by an edge detect on the srjnit signal from the data generator. // determine wrap and init points if (phijserialjorder == 1) then mux tfrap = 5 mux_init = 0 else muxjwrap = 0 muxjinit = 5 // the mux selector logic if ( (sr_init_edge == l)OR( muxjsel == mux mrap )) then muxjsel = mux_init elsif ( phijserialjorder == 1 ) then muxjsel-- // decrement order else muxjsel++ // increment order
The dot data serialization order can be configured by PhiSerialOrder register. If the PhiSerialOrder is zero the order is dot[1:0], then dot[3:2] then dot[δ:4]. If the register is one then the order is dot[δ:4], dot[3:2], dot[1:0].
The srclk control logic is initialized to 1 when a linejst positive edge is detected. If either srjoomjadge, srjnit jadge or gatejsrclk are equal to one srclk is transitioned. srclk is always clocked out to the output pins on the negative edge of doclk to place the clock edge in the centre of the data.
The pseudo code for the control logic is: if (line_st_edge ==1 ) then srclkjgen = 1 elsif ( (gate_srclk ==1) OR (sr_init_edge==l) OR (sr_com_edge==l) ) then srclkjgen -srclk_gen else // hold
33 PACKAGE AND TEST Test Units
33.1 JTAG INTERFACE
A standard JTAG (Joint Test Action Group) Interface is included in SoPEC for Bonding and IO testing purposes. The JTAG port will provide access to all internal BIST (Built In Self Test) structures.
33.2 SCAN TEST I/O
The SoPEC device will require several test lO's for running scan tests. In general scan in and scan out pins will be multiplexed with functional pins.
33.3 ANALOG TEST UNITS 33.3.1 USB PHY Testing
The USB phy analog macro, will contain built-in in test structure, which can be access by either the CPU or through the JTAG port.
33.3.2 Embedded PLL Testing
The embedded clock generator PLL will require test access from JTAG port. 34 SoPEC Pinning and Package
34.1 OVERVIEW
It is intended that the SoPEC package be a 100 pin LQFP. Any spare pins in the package may be used by increasing the number of available GPIO pins or adding extra power and ground pin. The pin list shows the minimum pin requirement for the SoPEC device. Table 217. SoPEC Pin List (100 LQFP)
Figure imgf000578_0001
Figure imgf000579_0001
Figure imgf000580_0001
Figure imgf000581_0001
Figure imgf000582_0001
BILITHIC PRINTHEADS 1 Background
Silverbrook's bilithic Memjet™ printheads are the target printheads for printing systems which will be controlled by SoPEC and MoPEC devices. This document presents the format and structure of these printheads, and describes the their possible arrangements in the target systems. It also defines a set of terms used to differentiate between the types of printheads and the systems which use them.
BILITHIC PRINTHEAD CONFIGURATIONS 2 Definitions
This document presents terminology and definitions used to describe the bilithic printhead systems. These terms and definitions are as follows:
• Printhead Type - There are 3 parameters which define the type of printhead used in a system: • Direction of the data flow through the printhead (clockwise or anti-clockwise, with the printhead shooting ink down onto the page).
• Location of the left-most dot (upper row or lower row, with respect to V+).
• Printhead footprint (type A or type B, characterized by the data pin being on the left or the right of V+f where V+ is at the top of the printhead). • Printhead Arrangement - Even though there are 8 printhead types, each arrangement has to use a specific pairing of printheads, as discussed in Section 3. This gives 4 pairs of printheads. However, because the paper can flow in either direction with respect to the printheads, there are a total of eight possible arrangements, e.g. Arrangement 1 has a Type 0 printhead on the left with respect to the paper flow, and a Type 1 printhead on the right. Arrangement 2 uses the same printhead pair as Arrangement 1 , but the paper flows in the opposite direction.
• Color 0 is always the first color plane encountered by the paper.
• Dot O is defined as the nozzle which can print a dot in the left-most side of the page.
• The Even Plane of a color corresponds to the row of nozzles that prints dot 0. Note that in all of the relevant drawings, printheads should be interpreted as shooting ink down onto the page.
Figure 295 shows the 8 different possible printhead types. Type 0 is identical to the Right Printhead presented in Figure 297 in [1], and Type 1 is the same as the Left Printhead as defined in [1]. While the printheads shown in Figure 29δ look to be of equal width (having the same number of nozzles) it is important to remember that in a typical system, a pair of unequal sized printheads may be used.
2.1 COMBINING BILITHIC PRINTHEADS Although the printheads can be physically joined in the manner shown in Figure 296, it is preferable to provide an arrangment that allows greater spacing between the 2 printheads will be required for two main reasons:
• inaccuracies in the backetch
• cheaper manufacturing cost due to decreasing the tolerance requirements in sealing the ink reservoirs behind the printhead
Failing to account for these inaccuracies and tolerances can lead to misalignment of the nozzle rows both vertically and horizontally, as shown in Figure 297.
An even row of color n on printhead A may be vertically misaligned from the even row of color n on printhead B by some number of dots e.g. in Figure 297 this is shown to be 5 dots. And there can also be horizontal misalignment, in that the even row of color n printhead A is not necessarily aligned with the even row of color n+1 on printhead A, e.g. in Figure 297 this horizontal misalignment is 6 dots.
The resultant conceptual printhead definition, shown in Figure 297 has properties that are appropriately parameterized in SoPEC and MoPEC to cater for this class of printheads.
The preferred printheads can be characterized by the following features:
• All nozzle rows are the same length (although may be horizontally displaced some number of dots even within a color on a single printhead)
• The nozzles for color n printhead A may not be printing on the same line of the page as the nozzles for color n printhead B. In the example shown in Figure 297, there is a 5 dot displacement between adjacent rows of the printheads.
• The exact shape of the join is an arbitrary shape although is most likely to be sloping (if sloping, it could be sloping either direction)
• The maximum slope is 2 dots per row of nozzles
• Although shift registers are provided in the printhead at the 2 sides of the joined printhead, they do not drive nozzles - this means the printable area is less than the actual shift registers, as highlighted by Figure 298. 2.2 Printhead Arrangements
Table 218 defines the printhead pairing and location of the each printhead type, with respect to the flow of paper, for the 8 possible arrangements
Figure imgf000585_0001
3 Bilithic Printhead Systems
When using the bilithic printheads, the position of the power/gnd bars coupled with the physical footprint of the printheads mean that we must use a specific pairing of printheads together for printing on the same side of an A4 (or wider) page, e.g. we must always use a Type 0 printhead with a Type 1 printhead etc.
While a given printing system can use any one of the eight possible arrangements of printheads, this document only presents two of them, Arrangement 1 and Arrangement 2, for purposes of illustration. These two arrangements are discussed in subsequent sections of this document. However, the other 6 possibilities also need to be considered.
The main difference between the two printhead arrangements discussed in this document is the direction of the paper flow. Because of this, the dot data has to be loaded differently in Arrangement 1 compared to Arrangement 2, in order to render the page correctly.
3.1 EXAMPLE 1 : PRINTHEAD ARRANGEMENT 1
Figure 299 shows an Arrangement 1 printing setup, where the bilithic printheads are arranged as follows:
• The Type 0 printhead is on the left with respect to the direction of the paper flow.
• The Type 1 printhead is on the right. Table 219 lists the order in which the dot data needs to be loaded into the above printhead system, to ensure color 0-dot 0 appears on the left side of the printed page. Table 219. Order in which the even and odd dots are loaded for printhead Arrangement 1
Figure imgf000586_0001
Figure 300 shows how the dot data is demultiplexed within the printheads.
Figure 301 and Figure 302 show the way in which the dot data needs to be loaded into the print- heads in Arrangement 1 , to ensure that color 0-dot 0 appears on the left side of the printed page. Note that no data is transferred to the printheads on the first and last edges of SrClk.
3.2 EXAMPLE 2: PRINTHEAD ARRANGEMENT 2
Figure 303 shows an Arrangement 2 printing setup, where the bilithic printheads are arranged as follows:
• The Type 1 printhead is on the left with respect to the direction of the paper flow.
• The Type 0 printhead is on the right.
Table 220 lists the order in which the dot data needs to be loaded into the above printhead system, to ensure color 0-dot 0 appears on the left side of the printed page. Table 220. Order in which the even and odd dots are loaded for printhead Arrangement 2
Figure imgf000586_0002
Figure 304 shows how the dot data is demultiplexed within the printheads. Figure 305 and Figure 306 show the way in which the dot data needs to be loaded into the printheads in Arrangement 2, to ensure that color 0-dot 0 appears on the left side of the printed page.
Note that no data is transferred to the printheads on the first and last edges of SrClk.
4 Conclusions
Comparing the signalling diagrams for Arrangement 1 with those shown for Arrangement 2, it can be seen that the color/dot sequence output for a printhead type in Arrangement 1 is the reverse of the sequence for same printhead in Arrangement 2 in terms of the order in which the color plane data is output, as well as whether even or odd data is output first. However, the order within a color plane remains the same, i.e. odd descending, even ascending.
From Figure 307 and Table 221 , it can be seen that the plane which has to be loaded first (i.e. even or odd) depends on the arrangement. Also, the order in which the dots have to be loaded (e.g. even ascending or descending etc.) is dependent on the arrangement.
As well as having a mechanism to cope with the shape of the join between the printheads, as discussed in Section 2.1 , if the device controlling the printheads can re-order the bits according to the following criteria, then it should be able to operate in all the possible printhead arrangements:
• Be able to output the even or odd plane first.
• Be able to output even and odd planes in either ascending or descending order, independently.
• Be able to reverse the sequence in which the color planes of a single dot are output to the printhead. Table 221. Order in which even and odd dots and planes are loaded into the various printhead arrangements
Figure imgf000587_0001
Figure imgf000588_0001
CMOS SUPPORT ON BILITHIC PRINTHEAD 1 Basic Requirements
To create a two part printhead, of A4/Letter portrait width to print a page in 2 seconds. Matching Left/Right chips can be of different lengths to make up this length facilitating increased wafer usage. the left and right chips are to be imaged on an 8 inch wafer by "Stitching" reticle images.
The memjet nozzles have a horizontal pitch of 32 urn, two rows of nozzles are used for a single colour. These rows have a horizontal offset of 16 urn. This gives an effective dot pitch of 16 urn, or 62.5 dots per mm, or 1587.5 dots per inch, close enough to market as 1600 dpi. The first nozzle of the right chip should have a 32 urn horizontal offset from the final nozzle of the left chip for the same color row. There is no ink nozzle overlap (of the same colour) scheme employed.
1.1 POWER SUPPLY
Vdd/Vpos and Ground supply is made through 30 urn wide pads along the length of the chip using conductive adhesive to bus bar beside the chips. Vdd/Vpos is 3.3 Volts. (12V was considered for Vpos but routing of CMOS Vdd at 3.3V would be a problem over the length of the chips, but this will be revisited).
1.2 MEMS CELLS The preferred memjet device requires 180nJ of energy to fire, with a pulse of current for 1 usec. Assuming 95% efficiency, this requires a 55 ohm actuator drawing 57.4 mA during this pulse.
1.2.1 ISSUE!!!
For 1 pages per 2 second, or ~300 mm * 62.5 (dots/mm) / 2 sec ~= 10 kHz or 100 usec per line. With 1 usec fire pulse cycle, every 100th nozzle needs to fire at the same time. We have 13824 nozzles across the page, so we fire 138 nozzles at a time.
1.2.2 64um unit cell height
This cell would have 4 line spacing between the odd and even dots, and 8 line spacing between adjacent colours.
1.2.3 80 urn unit cell height
This cell would have 5 line spacing between the odd and even dots, and 10 line spacing between adjacent colours. 1.3 Versions
1.3.1 6 Colour 1600 dpi with 64 urn unit cell Left and Right Chip.
1.3.2 6 Colour 1600 dpi with 80 urn unit cell Left and Right Chip.
1.3.3 4 Colour 800 dpi with 80 urn unit cell
For camera application. Single nozzle row per colour.
1.4 AIR SUPPLY
Air must be supplied to the MEMS region through holes in the chip.
2 Head Sizes The combined heads have 13824 nozzles per colour totalling 221.184mm of print area. Enough to provide full breadth for A4 (210 mm) and Letter (8.5 inch or 215.9 mm). Table 1. Head Combinations
Figure imgf000590_0001
Nozzles per Colour is calculated as (("Stitch Parts" -1 )*118+104)*12. Nozzles per row is half this value. Most likely the 8:2 head set will not be manufactured. The preferred wafer layout, manages to avoid this set, without any loses.
3 Interface Each print head has the same I/O signals (but the Left and Right versions might have a different pin out). Table 2. I/O pins
Figure imgf000591_0001
3.1 DOT FIRING
To fire a nozzle, three signals are needed. A dot data, a fire signal, and a profile signal. When all signals are high, the nozzle will fire.
Functionally could be common, but for timing/electrical reasons should run point to point. Can be shared if one side has mode=0b000 1 MHz cycle, but the resolution of the mark/space ratio may require 50 ns. 10 kHz cycle, with minimum low pulse of 10 ns (no maximum). The dot data is provide to the chip through a dot shift register with input Data[x], and clocked into the chip with SrClk. The dot data is multiplex on to the Data signals, as Dot[0-2] on Data[0], and Dot[3-δ] on Data[2]. After the dots are shifted into the dot shift register, this data is transfer into the dot latch, with a low pulse in LsyncL. The value in the dot latch forms the dot data used to fire the nozzle. The use of the dot latch allows the next line of data to be loaded into the dot shift register, at the same time the dot pattern in the dot latch is been fired.
Across the top of a column of nozzles, containing 12 nozzles, 2 of each colour (odd and even dots, 4 or 5 lines apart), is two fire register bits and a select register bit. The fire registers forms the fire shift register that runs length of the chip and back again with one register bit in each direction flow. The select register forms the Select Shift Register that runs the length of the chip. The select register, selects which of the two fire registers is used to enables this column. A '0' in this register selects the forward direction fire register, and a '1' selects the reverse direction fire register. This output of this block provides the fire signal for the column.
The third signal needed, the profile, is provide for all colours with input Pr across the whole colour row at the same time (with a slight propagation delay per column).
3.2 DOT SHIFT REGISTER ORIENTATION The left side print head (chip) and the right side print head that form complete bi-lithic print head, have different nozzle arrangement with respect to the dot order mapping of the dot shift register to the dot position on the page. With this mapping, the following data streams will need to provided.
Figure imgf000592_0001
The data needs to be multiplexed onto the data pins, such that Data[0] has {(CO, C1 , C2), (CO, C1 , C2)....} in the above order, and Data[1] has {(C3, C4, C5), (C3, C4, C5)....}.
Figure 311 shows the timing of data transfer during normal printing mode. Note SrClk has a default state of high and data is transferred on both edges of SrClk. If there are L nozzles per colour, SrClk would have L+2 edges, where the first and last edges do not transfer data.
Data requires a setup and hold about the both edges of SrClk. Data transfers starts on the first rising after LSyncL rising. SrClk default state is high and needs to return to high after the last data of the line. This means the first edge of SrClk (falling) after LSyncL rising, and the last edge of SrClk as it returns to the default state, no data is transferred to the print head. LSyncL rising requires setup to the first falling SrClk, and must stay high during the entire line data transfer until after last rising SrClk.
3.3 FIRE SHIFT REGISTER
The fire shift register controls the rate of nozzle fire. If the register is full of '1 's then the you could print the entire print head in a single FrClk cycle, although electrical current limitations will prevent this happening in any reasonable implementation.
Ideally, a '1' is shifted in to the fire shift register, in every nth position, and a '0' in all other position. In this manner, after n cycles of FrClk, the entire print head will be printed.
The fire shift register and select shift registers allow the generation of a horizontal print line that on close inspection would not have a discontinuity of a "saw tooth" pattern, Figure 312 a) & b) but a "sharks tooth" pattern of c).
This is done by firing 2 nozzles in every 2n group of nozzle at the same time starting from the outer 2 nozzles working towards the centre two (or the starting from the centre, and working towards the outer two) at the fire rate controlled by FrClk.
To achieve this fire pattern the fire shift register and select shift register need to be set up as show in Figure 313 .
The pattern has shifted a '1 ' into the fire shift register every nth positions (where n is usually is a minimum of about 100) and n '1 's, followed n 'O's in the select shift register. At a start of a print cycle, these patterns need to be aligned as above, with the "1000..." of a forward half of fire shift register, matching an n grouping of '1' or 'O's in the select shift register. As well, with the "1000..." of a reverse half of the fire shift register, matching an n grouping of '1 ' or O's in the select shift register. And to continue this print pattern across the butt ends of the chips, the select shift register in each should end with a complete block of n '1's (or O's).
Since the two chips can be of different lengths, initialisation of these patterns is an issue. This is solved by building initialisation circuitry into chips. This circuit is controlled by two registers, nlen(14) and count(14) and b(1 ). These registers are loaded serially through Data[0], while LSyncL is low, and ReadL is high with FrClk.
The scan order from input is b, n[13-0],c[0-13],color[5-0], mode[2-0] therefore b is shifted in last. The system color and mode registers are unrelated to the Fire Shift Register, but are loaded at the same time as this block. There function is described later. Table 4. Head Combinations Initialisation for n=100
Figure imgf000594_0001
The following table shows the values to programme the bi-lithic head pairs using a fire pattern length of 100. The calculation assumes head 'A' is the longest head of the pair and once the registers are initialised with LA FrClk cycles (ReadL='0', LSyncL- 1'). rem would be the correct value for countB if chip B was only clocked (FrClk) LB times. But this chip will be over clocked LA-LB cycles. The values of bA and bB are either the same or inverse of each other. The actually value does not matter. They need to be different from each other if the select shift registers would end up with different values at the butt ends. If (LA/2n) is even (and countA is non zero), then the final run in 'A's select shift register will be !bA. If (LA-LB/2) mod n is even (and countB is non zero) then the final run in 'B's select shift register will be !bB. 3.4 SYSTEM REGISTERS
As describe above, the Fire Shift Register generation block, also contains some system registers. Table 5. System Registers
Figure imgf000595_0001
3.5 PROFILE PATTERN
A profile pattern is repeated at FrClk rate. It is expected to be a single pulse about 1 us long. But it could be a more complicated series of pulse. The actual pattern depends on the ink type.
The following figure show the external timing to print a line of data. In this example the line is printed in 8 cycles of FrClk.
3.6 INTERFACE MODES
The print head has eight different modes controlled by signals ReadL and LSyncL and system mode register. As seen in Figure 318 with both LSyncL and ReadL high, the chip in normal printing mode. Some of these modes can operate at the same time, but may interfere with the result of the other modes. Table 6. Print Head Modes
Figure imgf000595_0002
Figure imgf000596_0001
3.6.1 Printing Figure 318 shows show timing for normal printing. During this action, we drop out of Normal Print Mode, to Dot Load Mode between line transfers. For printing to perform correctly, all other signals should be stable.
3.6.2 Initialising for Printing
To initialise for printing the fire shift registers and select shift registers need to be setup into a state as shown in Figure 318 . To do this the chips are put into Fire Load Mode and the values for nlen, count and b are serially shifted from Data[0] clocked by FrClk. As the two chip have separate Data line, and common FrClk, this happens at the same time. Once this is done, mode is changed to Fire Initialise Mode, and further LA FrClk cycles are provided to both chips. During all these operation Pr should be low, to prevent unintentional firing for nozzles.
3.6.3 Nozzle Testing
Nozzle testing is done by firing a single nozzle at a time and monitoring the FrClk pin in the Nozzle Test Output mode.
Each nozzle has a test switch which closes when the nozzle is fired with an energy level greater than required for normal ink ejection. All 12 switches in a nozzle column are connect in parallel to the following circuit.
This circuit is initialised when ever LSyncL is high and ReadL is low (Reset Nozzle Test mode). This forces all "switch nodes" to low, and the feedback through lower NOR gate will latches this value. With LSyncL low and ReadL still low (Nozzle Test Output mode) the Testout of the first nozzle column is output on FrClk. If any switch is closed, the switch node of this column will be pulled up, and will ripple through to the output as transition from high to low.
Nozzle testing requires a setup phase in order to fire only one nozzle. There are many ways to achieve this. Simplest might be to load a single colour with 101010 through the even nozzles, and 010101... for the odd nozzles (O's for all other colours), and set up a fire pattern with n = LA/2. With this fire pattern only one nozzle will fire in each Pr pulse. After firing in Nozzle Test Output mode, a single FrClk will advance to next nozzle, then Reset and Test. After LA/2 cycles of this testing, a single SrClk will advance the dot shift registers to setup the untested nozzles of this colour, and another LA/2 cycles of FrClk, Reset and Test will finished testing this colour. Then repeat test procedure for other colours.
3.6.4 Temperature Output
This mode is not well defined yet. In this mode, Pr will output a series of ones and zeros clocked by FrClk. After a (currently unknown) number of FrClk cycles the sum of this series will represent the temperature of the chip. Clocking frequency in this mode it expected to be in the range 10kHz - 1MHz.
The Frequency of FrClk and the number of cycles need to be programmable. Since this mode cycles FrClk, the result of fire shift register and select shift register would be changed, but in this mode FrClk is disabled to these circuit. So printing can resume without reinitialising.
3.6.5 CMOS Testing
CMOS testing is a mode meant for chip testing before MEMS as added to the chip. This mode allows the dot shift register to be shifted out on the LsyncL,FrClk and Pr pins. Much like the nozzle test mode, the nozzles are fired while LSyncL is low, but during the firing SrC/cwill be pulsed, loading the dot shift register with the signal that would fire the nozzle. Once captured, the result can be shifted out.
The Dot Load Mode above violates normal printing procedure by firing the nozzles (Pr) and modify the dot shift register (SrClk).
4 RETICLE LAYOUT
To make long chips we need to stitch the CMOS (and MEMS) together by overlapping the reticle stepping field. The reticle will contain two areas:
The top edge of Area 2, PAD END contains the pads that stitch on bottom edge of Area 1, CORE. Area 1 contains the core array of nozzle logic. The top edge of Area 1 will stitch to the bottom edge of itself. Finally the bottom edge of Area 2, BUTT END will stitch to the top edge of Area 1. The BUTT END to used to complete a feedback wiring and seal the chip.
The above region will then be exposed across a wafer bottom to top. Area 2, Area 1, Area 1
Area 2. Only the PAD END of Area 2 needs to fit on the wafer. The final exposure of Area 2 only requires the BUTT END on the wafer.
4.1 TSMC U-FRA E REQUIREMENTS.
TSMC will be building us frames 10 mm x 0.23 mm which will be placed either side of both Area 1 and Area 2.
TSMC requires 6 mm area for blading between the two exposure area. This translates to 3 mm on the reticle, as some reticules are 2x size, while most are 5x, the worst case must be used. SECURITY OVERVIEW
1 Introduction
A number of hardware, software and protocol solutions to security issues have been developed. These range from authorization and encryption protocols for enabling secure communication between hardware and software modules, to physical and electrical systems that protect the integrity of integrated circuits and other hardware.
It should be understood that in many cases, principles described with reference to hardware such as integrated circuits (ie, chips) can be implemented wholly or partly in software running on, for example, a computer. Mixed systems in which software and hardware (and combinations) embody various entities, modules and units can also be constructed using may of these principles, particularly in relation to authorization and authentication protocols. The particular extent to which the principles described below can be translated to or from hardware or software will be apparent to one skilled in the art, and so will not always explicitly be explained.
It should also be understood that many of the techniques disclosed below have application to many fields other than printing. Some specific examples are described towards the end of this description.
A "QA Chip" is a quality assurance chip can allows certain security functions and protocols to be implemented. The preferred QA Chip is described in some detail later in this specification.
1.5 QA CHIP TERMINOLOGY
The Authentication Protocols documents [5] and [6] refer to QA Chips by their function in particular protocols:
• For authenticated reads in [5], ChipR is the QA Chip being read from, and ChipT is the QA Chip that identifies whether the data read from ChipR can be trusted. ChipR and ChipT are referred to as Untrusted QA Device and Trusted QA Device respectively in [6].
• For replacement of keys in [5], ChipP is the QA Chip being programmed with the new key, and ChipF is the factory QA Chip that generates the message to program the new key. ChipF is referred to as the Key Programmer QA Device in [6]. • For upgrades of data in memory vectors in [5], ChipU is the QA Chip being upgraded, and ChipS is the QA Chip that signs the upgrade value. ChipS is referred to as the Value Upgrader QA Device and Parameter Upgrader QA Device in [6]. Any given physical QA Chip will contain functionality that allows it to operate as an entity in some number of these protocols.
Therefore, wherever the terms ChipR, ChipT, ChipP, ChipF, ChipU and ChipS are used in this document, they are referring to logical entities involved in an authentication protocol as defined in [5] and [6].
Physical QA Chips are referred to by their location. For example, each ink cartridge may contain a QA Chip referred to as an INK_QA, with all INK_QA chips being on the same physical bus. In the same way, the QA Chip inside the printer is referred to as PRINTER 3A, and will be on a separate bus to the INK_QA chips.
2 Requirements 2.1 SECURITY When applied to a printing environment, the functional security requirements for the preferred embodiment are:
• Code of QA chip owner or licensee co-existing safely with code of authorized OEMs
• Chip owner/licensee operating parameters authentication
• Parameters authentication for authorized OEMs • Ink usage authentication
Each of these is outlined in subsequent sections.
The authentication requirements imply that:
• OEMs and end-users must not be able to replace or tamper with QA chip manufacturer/owner's program code or data
• OEMs and end-users must not be able to perform unauthorized activities for example by calling chip manufacturer/owner's code
• End-users must not be able to replace or tamper with OEM program code or data
• End-users must not be able to call unauthorized functions within OEM program code • Manufacturer/owner's development program code must not be capable of running on all SoPECs.
• OEMs must be able to test products at their highest upgradable status, yet not be able to ship them outside the terms of their license
• OEMs and end-users must not be able to directly access the print engine pipeline (PEP) hardware, the LSS Master (for QA Chip access) or any other peripheral block with the exception of operating system permitted GPIO pins and timers. 2.1.1 QA Manufacturer/owner code and OEM program code co-existing safely SoPEC includes a CPU that must run both manufacturer/owner program code and OEM program code. The execution model envisaged for SoPEC is one where Manufacturer/owner program code forms an operating system (O/S), providing services such as controlling the print engine pipeline, interfaces to communications channels etc. The OEM program code must run in a form of user mode, protected from harming the Manufacturer/owner program code. The OEM program code is permitted to obtain services by calling functions in the O/S, and the O/S may also call OEM code at specific times. For example, the OEM program code may request that the O/S call an OEM interrupt service routine when a particular GPIO pin is activated.
In addition, we may wish to permit the OEM code to directly call functions in Manufacturer/owner code with the same permissions as the OEM code. For example, the Manufacturer/owner code may provide SHA1 as a service, and the OEM could call the SHA1 function, but execute that function with OEM permissions and not Silverbook permissions.
A basic requirement then, for SoPEC, is a form of protection management, whereby Manufacturer/owner and OEM program code can co-exist without the OEM program code damaging operations or services provided by the Manufacturer/owner O/S. Since services rely on SoPEC peripherals (such as USB2 Host, LSS Master, Timers etc) access to these peripherals should also be restricted to Manufacturer/owner program code only.
2.1.2 Manufacturer/owner operating parameters authentication
A particular OEM will be licensed to run a Print Engine with a particular set of operating parameters (such as print speed or quality). The OEM and/or end-user can upgrade the operating license for a fee and thereby obtain an upgraded set of operating parameters.
Neither the OEM nor end-user should be able to upgrade the operating parameters without paying the appropriate fee to upgrade the license. Similarly, neither the OEM nor end-user should be able to bypass the authentication mechanism via any program code on SoPEC. This implies that OEMs and end-users must not be able to tamper with or replace Manufacturer/owner program code or data, nor be able to call unauthorized functions within Manufacturer/owner program code.
However, the OEM must be capable of assembly-line testing the Print Engine at the upgraded status before selling the Print Engine to the end-user. 2.1.3 OEM operating parameters authentication
The OEM may provide operating parameters to the end-user independent of the Manufacturer/owner operating parameters. For example, the OEM may want to sell a franking machine1.
The end-user should not be able to upgrade the operating parameters without paying the appropriate fee to the OEM. Similarly, the end-user should not be able to bypass the authentication mechanism via any program code on SoPEC. This implies that end-users must not be able to tamper with or replace OEM program code or data, as well as not be able to tamper with the PEP blocks or service-related peripherals.
2.2 ACCEPTABLE COMPROMISES
If an end user takes the time and energy to hack the print engine and thereby succeeds in upgrading the single print engine only, yet not be able to use the same keys etc on another print engine, that is an acceptable security compromise. However it doesn't mean we have to make it totally simple or cheap for the end-user to accomplish this.
Software-only attacks are the most dangerous, since they can be transmitted via the internet and have no perceived cost. Physical modification attacks are far less problematic, since most printer users are not likely to want their print engine to be physically modified. This is even more true if the cost of the physical modification is likely to exceed the price of a legitimate upgrade.
2.3 IMPLEMENTATION CONSTRAINTS
Any solution to the requirements detailed in Section 2.1 should also meet certain preferred implementation constraints. These are: No flash memory inside SoPEC SoPEC must be simple to verify Manufacturer/owner program code must be updateable OEM program code must be updateable • Must be bootable from activity on USB2 Must be bootable from an external ROM to allow stand-alone printer operation No extra pins for assigning IDs to slave SoPECs Cannot trust the comms channel to the QA Chip in the printer (PRINTER_QA) Cannot trust the comms channel to the QA Chip in the ink cartridges (INK_QA) a franking machine prints stamps • Cannot trust the USB comms channel These constraints are detailed below.
2.3.1 No flash memory inside SoPEC The preferred embodiment of SoPEC is intended to be implemented in 0.13 micron or smaller. Flash memory will not be available in any of the target processes being considered.
2.3.2 SoPEC must be simple to verify
All combinatorial logic and embedded program code within SoPEC must be verified before manufacture. Every increase in complexity in either of these increases verification effort and increases risk.
2.3.3 Manufacturer/owner program code must be updateable
It is neither possible nor desirable to write a single complete operating system that is: • verified completely (see Section 2.3.1 )
• correct for all possible future uses of SoPEC systems
• finished in time for SoPEC manufacture
Therefore the complete Manufacturer/owner program code must not permanently reside on SoPEC. It must be possible to update the Manufacturer/owner program code as enhancements to functionality are made and bug fixes are applied.
In the worst case, only new printers would receive the new functionality or bug fixes. In the best case, existing SoPEC users can download new embedded code to enable functionality or bug fixes. Ideally, these same users would be obtaining these updates from the OEM website or equivalent, and not require any interaction with Manufacturer/owner.
2.3.4 OEM program code must be updateable
Given that each OEM will be writing specific program code for printers that have not yet been conceived, it is impossible for all OEM program code to be embedded in SoPEC at the ASIC manufacture stage.
Since flash memory is not available (see Section 2.3.1), OEMs cannot store their program code in on-chip flash. While it is theoretically possible to store OEM program code in ROM on SoPEC, this would entail OEM-specific ASICs which would be prohibitively expensive. Therefore OEM program code cannot permanently reside on SoPEC. Since OEM program code must be downloadable for SoPEC to execute, it should therefore be possible to update the OEM program code as enhancements to functionality are made and bug fixes are applied.
In the worst case, only new printers would receive the new functionality or bug fixes. In the best case, existing SoPEC users can download new embedded code to enable functionality or bug fixes. Ideally, these same users would be obtaining these updates from the OEM website or equivalent, and not require any interaction with Manufacturer/owner.
2.3.5 Must be bootable from activity on USB2
SoPEC can be placed in sleep mode to save power when printing is not required. RAM is not preserved in sleep mode. Therefore any program code and data in RAM will be lost. However, SoPEC must be capable of being woken up by the host when it is time to print again. In the case of a single SoPEC system, the host communicates with SoPEC via USB2. From SoPECs point of view, it is activity on the USB2 device port that signals the time to wake up.
In the case of a multi-SoPEC system, the host typically communicates with the Master SoPEC chip (as above), and then the Master relays messages to other Slave SoPECs by sending data out USB2 host port(s) and into the Slave SoPECs device port. The net result is that the Slave SoPECs and the Master SoPEC all boot as a result of activity on the USB2 device port. Therefore SoPEC must be capable of being woken up by activity on the USB2 device port.
2.3.6 Must be bootable from an external ROM to allow stand-alone printer operation SoPEC must also support the case where the printer is not connected to a PC (or the PC is currently turned off), and a digital camera or equivalent is plugged into the SoPEC-based printer. In this case, the entire printing application needs to be present within the hardware of the printer. Since the Manufacturer/owner program code and OEM program code will vary depending on the application (see Section 2.3.3 and Section 2.3.4), it is not possible to store the program in SoPECs ROM.
Therefore SoPEC requires a means of booting from a non-PC host. It is possible that this could be accomplished by the OEM adding a USB2-host chip to the printer and simulating the effect of a PC, and thereby download the program code. This solution requires the boot operation to be based on USB2 activity (see Section 2.3.5). However this is an unattractive solution since it adds microprocessor complexity and component cost when only a ROM-equivalent was desired. As a result SoPEC should ideally be able to boot from an external ROM of some kind. Note that booting from an external ROM means first booting from the internal ROM, and then downloading and authenticating the startup section of the program from the external ROM. This is not the same as simply running program code in-situ within an external ROM, since one of the security requirements was that OEMs and end-users must not be able to replace or tamper with Manufacturer/owner program code or data, i.e. we never want to blindly run code from an external ROM.
As an additional point, if SoPEC is in sleep mode, SoPEC must be capable of instigating the boot process due to activity on a programmable GPIO. e.g. a wake-up button. This would be in addition to the standard power-on booting.
2.3.7 No extra pins to assign IDs to slave SoPECs
In a single SoPEC system the host only sends data to the single SoPEC. However in a multi- SoPEC system, each of the slaves needs to be uniquely identifiable in order to be able for the host to send data to the correct slave.
Since there is no flash on board SoPEC (Section 2.3.1 ) we are unable to store a slave ID in each SoPEC. Moreover, any ROM in each SoPEC will be identical.
It is possible to assign n pins to allow 2n combinations of IDs for slave SoPECs. However a design goal of SoPEC is to minimize pins for cost reasons, and this is particularly true of features only used in multi-SoPEC systems.
The design constraint requirement is therefore to allow slaves to be IDed via a method that does not require any extra pins. This implies that whatever boot mechanism that satisfies the security requirements of Section 2.1 must also be able to assign IDs to slave SoPECs.
2.3.8 Cannot trust the comms channel to the QA Chip in the printer (PRINTER_QA)
If the printer operating parameters are stored in the non-volatile memory of the Print Engine's onboard PRINTER_QA chip, both Manufacturer/owner and OEM program code cannot rely on the communication channel being secure. It is possible for an attacker to eavesdrop on communications to the PRINTER_QA chip, replace the PRINTER_QA chip and/or subvert the communications channel. It is also possible for this to be true during manufacture of the circuit board containing the SoPEC and the PRINTER_QA chip.
2.3.9 Cannot trust the comms channel to the QA Chip in the ink cartridges (INK_QA) The amount of ink remaining for a given ink cartridge is stored in the non-volatile memory of that ink cartridge's INK_QA chip. Both Manufacturer/owner and OEM program code cannot rely on the communication channel to the INK_QA being secure. It is possible for an attacker to eavesdrop on communications to the INK_QA chip, to replace the INK_QA chip and/or to subvert the communications channel. It is also possible for this to be true during manufacture of the consumable containing the INK_QA chip.
2.3.10 Cannot trust the inter-SoPEC comms channel (USB2)
In a multi-SoPEC system, or in a single-SoPEC system that has a non-USB2 connection to the host, a given SoPEC will receive its data over a USB2 host port. It is quite possible for an end-user to insert a chip that eavesdrops on and/or subverts the communications channel (for example performs man-in-the-middle attacks).
3 Proposed Solution
A proposed solution to the requirements of Section 2, can be summarised as: Each SoPEC has a unique id CPU with user/supervisor mode Memory Management Unit The unique id is not cached Specific entry points in O/S Boot procedure, including authentication of program code and operating parameters SoPEC physical identification
3.1 EACH SOPEC HAS A UNIQUE ID
Each SoPEC needs to contains a unique SoPECJd of minimum size 64-bits. This SoPECJd is used to form a symmetric key unique to each SoPEC: SoPECJd_key. On SoPEC we make use of an additional 112-bit ECID macro that has been programmed with a random number on a per-chip basis. Thus SoPECJd is the 112-bit macro, and the SoPECJdJey is a 160-bit result obtained by SΗAl(SoPECJd).
The verification of operating parameters and ink usage depends on SoPECJd being difficult to determine. Difficult to determine means that someone should not be able to determine the id via software, or by viewing the communications between chips on the board. If the SoPECJd is available through running a test procedure on specific test pins on the chip, then depending on the ease by which this can be done, it is likely to be acceptable.
Electronic Chip Id It is important to note that in the proposed solution, compromise of the SoPECJd leads only to compromise of the operating parameters and ink usage on this particular SoPEC. It does not compromise any other SoPEC or all inks or operating parameters in general.
It is ideal that the SoPECJd be random, although this is unlikely to occur on standard manufacture processes for ASICs. If the id is within a small range however, it will be able to be broken by brute force. This is why 32-bits is not sufficient protection.
3.2 CPU WITH USER/SUPERVISOR MODE SoPEC contains a CPU with direct hardware support for user and supervisor modes. At present, the intended CPU is the LEON (a 32-bit processor with an instruction set according to the 1EEE-1754 standard. The IEEE1754 standard is compatible with the SPARC V8 instruction set).
Manufacturer/owner (operating system) program code will run in supervisor mode, and all OEM program code will run in user mode.
3.3 MEMORY MANAGEMENT UNIT
SoPEC contains a Memory Management Unit (MMU) that limits access to regions of DRAM by defining read, write and execute access permissions for supervisor and user mode. Program code running in user mode is subject to user mode permission settings, and program code running in supervisor mode is subject to supervisor mode settings.
A setting of 1 for a permission bit means that type of access (e.g. read, write, execute) is permitted. A setting of 0 for a read permission bit means that that type of access is not permitted.
At reset and whenever SoPEC wakes up, the settings for all the permission bits are 1 for all supervisor mode accesses, and 0 for all user mode accesses. This means that supervisor mode program code must explicitly set user mode access to be permitted on a section of DRAM.
Access permission to all the non-valid address space should be trapped, regardless of user or supervisor mode, and regardless of the access being read, execute, or write.
Access permission to all of the valid non-DRAM address space (for example the PEP blocks) is supervisor read / write access only (no supervisor execute access, and user mode has no acccess at all) with the exception that certain GPIO and Timer registers can also be accessed by user code. These registers will require bitwise access permissions. Each peripheral block will determine how the access is restricted. With respect to the DRAM and PEP subsystems of SoPEC, typically we would set user read/write/execute mode permissions to be 1/1/0 only in the region of memory that is used for OEM program data, 1/0/1 for regions of OEM program code, and 0/0/0 elsewhere (including the trap table). By contrast we would typically set supervisor mode read/write/execute permissions for this memory to be 1/1/0 (to avoid accidentally executing user code in supervisor mode).
The SoPECJd parameter (see Section 3.1 ) should only be accessible in supervisor mode, and should only be stored and manipulated in a region of memory that has no user mode access.
3.4 UNIQUE ID IS NOT CACHED
The unique SoPECJd needs to be available to supervisor code and not available to user code. This is taken care of by the MMU (Section 3.3).
However the SoPECJd must also not be accessable via the CPU's data cache or register windows. For example, if the user were to cause an interrupt to occur at a particular point in the program execution when the SoPECJd was being manipulated, it must not be possible for the user program code to turn caching off and then access the SoPECJd inside the data cache. This would bypass any MMU security.
The same must be true of register windows. It must not be possible for user mode program code to read or modify register settings in a supervisor program's register windows.
This means that at the least, the SoPECJd itself must not be cacheable. Likewise, any processed form of the SoPECJd such as the SoPECJd_key (e.g. read into registers or calculated expected results from a QA_Chip) should not be accessable by user program code.
3.5 SPECIFIC ENTRY POINTS IN O/S
Given that user mode program code cannot even call functions in supervisor code space, the question arises as how OEM programs can access functions, or request services. The implementation for this depends on the CPU.
On the LEON processor, the TRAP instruction allows programs to switch between user and supervisor mode in a controlled way. The TRAP switches between user and supervisor register sets, and calls a specific entry point in the supervisor code space in supervisor mode. The TRAP handler dispatches the service request, and then returns to the caller in user mode. Use of a command dispatcher allows the O/S to provide services that filter access - e.g. a generalised print function will set PEP registers appropriately and ensure QA Chip ink updates occur.
The LEON also allows supervisor mode code to call user mode code in user mode. There are a number of ways that this functionality can be implemented. It is possible to call the user code without a trap, but to return to supervisor mode requires a trap (and associated latency).
3.6 BOOT PROCEDURE 3.6.1 Basic premise
The intention is to load the Manufacturer/owner and OEM program code into SoPECs RAM, where it can be subsequently executed. The basic SoPEC therefore, must be capable of downloading program code. However SoPEC must be able to guarantee that only authorized Manufacturer/owner boot programs can be loaded, otherwise anyone could modify the O/S to do anything, and then load that - thereby bypassing the licensed operating parameters.
We perform authentication of program code and data using asymmetric (public-key) digital signatures and without using a QA Chip.
Assuming we have already downloaded some data and a 160-bit signature into eDRAM, the boot loader needs to perform the following tasks:
• perform SHA-1 on the downloaded data to calculate a digest localDigest
• perform asymmetric decryption on the downloaded signature (160-bits) using an asymmetric public key to obtain authorized Digest • If authorized Digest is the PKCS#1 (patent free) form of localDigest, then the downloaded data is authorized (the signature must have been signed with the asymmetric private key) and control can then be passed to the downloaded data Asymmetric decryption is used instead of symmetric decryption because the decrypting key must be held in SoPECs ROM. If symmetric private keys are used, the ROM can be probed and the security is compromised.
The procedure requires the following data item:
• bootOkey = an n-bit asymmetric public key
The procedure also requires the following two functions:
• SHA-1 = a function that performs SHA-1 on a range of memory and returns a 160-bit digest decrypt = a function that performs asymmetric decryption of a message using the passed-in key
• PKCS#1 form of localDigest is 2048 -bits formatted as follows : bits 2047-2040 = 0x00 , bits 2039-2032 = 0x01, bits 2031-288 = OxFF . . OxFF, bits 287-160 0x003021300906052B0Ξ03021A05000414 , bits 159-0 localDigest . For more information, see PKCS#1 v2 .1 section 9.2
Assuming that all of these are available (e.g. in the boot ROM), boot loader 0 can be defined as in the following pseudocode: bootloaderO (data, sig) localDigest <- SHA-1 (data) author!zedDigest <— decrypt (sig, bootOkey) expectedDigest = 0x00 | 0x011 OxFF.. OxFF | Ox003021300906052BOE03021A05000414 | localDigest) // " I " = concat If (authorizedDigest == expectedDigest) jump to program code at data-start address// will never return Else // program code is unauthorized Endlf The length of the key will depend on the asymmetric algorithm chosen. The key must provide the equivalent protection of the entire QA Chip system - if the Manufacturer/owner O/S program code can be bypassed, then it is equivalent to the QA Chip keys being compromised. In fact it is worse because it would compromise Manufacturer/owner operating parameters, OEM operating parameters, and ink authentication by software downloaded off the net (e.g. from some hacker).
In the case of RSA, a 2048-bit key is required to match the 160-bit symmetric-key security of the QA Chip. In the case of ECDSA, a key length of 132 bits is likely to suffice. RSA is convenient because the patent (US patent number 4,405,829) expired in September 2000.
There is no advantage to storing multiple keys in SoPEC and having the external message choose which key to validate against, because a compromise of any key allows the external user to always select that key. There is also no particular advantage to having the boot mechanism select the key (e.g. one for USB-based booting and one for external ROM booting) a compromise of the external ROM booting key is enough to compromise all the SoPEC systems.
However, there are advantages in having multiple keys present in the boot ROM and having a wire- bonding option on the pads select which of the keys is to be used. Ideally, the pads would be connected within the package, and the selection is not available via external means once the die has ben packaged. This means we can have different keys for different application areas (e.g. different uses of the chip), and if any particular SoPEC key is compromised, the die could be kept constant and only the bonding changed. Note that in the worst case of all keys being compromised, it may be economically feasible to change the bootOkey value in SoPECs ROM, since this is only a single mask change, and would be easy to verify and characterize.
Therefore the entire security of SoPEC is based on keeping the asymmetric private key paired to bootOkey secure. The entire security of SoPEC is also based on keeping the program that signs (i.e. authorizes) datasets using the asymmetric private key paired to bootOkey secure. It may therefore be reasonable to have multiple signatures (and hence multiple signature programs) to reduce the chance of a single point of weakness by a rogue employee. Note that the authentication time increases linearly with the number of signatures, and requires a 2048-bit public key in ROM for each signature.
3.6.2 Hierarchies of authentication
Given that test programs, evaluation programs, and Manufacturer/owner O/S code needs to be written and tested, and OEM program code etc. also needs to be tested, it is not secure to have a single authentication of a monolithic dataset combining Manufacturer/owner O/S, non-O/S, and
OEM program code - we certainly don't want OEMs signing Manufacturer/owner program code, and Manufacturer/owner shouldn't have to be involved with the signing of OEM program code.
Therefore we require differing levels of authentication and therefore a number of keys, although the procedure for authentication is identical to the first - a section of program code contains the key and procedure for authenticating the next.
This method allows for any hierarchy of authentication, based on a root key of bootOkey. For example, assume that we have the following entities: • QACo, Manufacturer/owner's QA key company. Knows private version of bootOkey, and owner of security concerns. • SoPECCo, Manufacturer/owner's SoPEC hardware / software company. Supplies SoPEC ASICs and SoPEC O/S printing software to a ComCo.
• ComCo, a company that assembles Print Engines from SoPECs, Memjet printheads etc, customizing the Print Engine for a given OEM according to a license • OEM, a company that uses a Print Engine to create a printer product to sell to the end-users. The OEM would supply the motor control logic, user interface, and casing.
The levels of authentication hierarchy are as follows:
• QACo writes the boot ROM, agenerates datasetl, consisting of a boot loader program that loads and validates dataset∑ and QACo's asymmetric public bootlkey. QACo signs datasetO with the asymmetric private bootOkey.
• SoPECCo generates datasetl, consisting of the print engine security kernel O/S (which incorporates the security-based features of the print engine functionality) and the ComCo's asymmetric public key. Upon a special "formal release" request from SoPECCo, QACo signs datasetO with QACo's asymmetric private bootOkey key. The print engine program code expects to see an operating parameter block signed by the ComCo's asymmetric private key. Note that this is a special "formal release" request to by SoPECCo; the procedure for development versions of the program are described in Section 3.6.3.
• The ComCo generates dataSet3, consisting of datasetl plus dataset2, where dataset2 is an operating parameter block for a given OEM's print engine licence (according to the print engine license arrangement) signed with the ComCo's asymmetric private key. The operating parameter block (dataset2) would contain valid print speed ranges, a PrintEngineLicenseld, and the OEM's asymmetric public key. The ComCo can generate as many of these operating parameter blocks for any number of Print Engine Licenses, but cannot write or sign any supervisor O/S program code.
• The OEM would generate datasetδ, consisting of dataset3 plus dataset4, where dataset4 is the OEM program code signed with the OEM's asymmetric private key. The OEM can produce as many versions of datasetδ as it likes (e.g. for testing purposes or for updates to drivers etc) and need not involve Manufacturer/owner, QACo, or ComCo in any way. The relationship is shown below in Figure 325.
When the end-user uses datasetδ, SoPEC itself validates datasetl via the bootOkey mechanism described in Section 3.6.1. Once datasetl is executing, it validates dataset∑, and uses dataset2 data to validate dataset4. The validation hierarchy is shown in Figure 326.
If a key is compromised, it compromises all subsequent authorizations down the hierarchy. In the example from above (and as illustrated in Figure 326) if the OEM's asymmetric private key is compromised, then O/S program code is not compromised since it is above OEM program code in the authentication hierarchy. However if the ComCo's asymmetric private key is compromised, then the OEM program code is also compromised. A compromise of bootOkey compromises everything up to SoPEC itself, and would require a mask ROM change in SoPEC to fix.
It is worthwhile repeating that in any hierarchy the security of the entire hierarchy is based on keeping the asymmetric private key paired to bootOkey secure. It is also a requirement that the program that signs (i.e. authorizes) datasets using the asymmetric private key paired to bootOkey secure.
3.6.3 Developing Program Code at Manufacturer/owner
The hierarchical boot procedure described in Section 3.6.1 and Section 3.6.2 gives a hierarchy of protection in a final shipped product.
It is also desirable to use a hierarchy of protection during software development within Manufacturer/owner.
For a program to be downloaded and run on SoPEC during development, it will need to be signed. In addition, we don't want to have to sign each and every Manufacturer/owner development code with the bootOkey, as it creates the possibility of any developmental (including buggy or rogue) application being run on any SoPEC.
Therefore QACo needs to generate/create a special intermediate boot loader, signed with bootOkey, that performs the exact same tasks as the normal boot loader, except that it checks the SoPECid to see if it is a specific SoPECid (or set of SoPECids). If the SoPECJd is in the valid set, then the developmental boot loader validates dataset2 by means of its length and a SHA-1 digest of the developmental code3, and not by a further digital signature. The QACo can give this boot loader to the software development team within Manufacturer/owner. The software team can now write and run any program code, and load the program code using the development boot loader. There is no requirement for the subsequent software program (i.e. the developmental program code) to be signed with any key since the programs can only be run on the particular SoPECs.
3The SHA-1 digest is to allow the total program load time to simulate the running time of the normal boot loader running on a non-developmental version of the program. If the developmental boot loader (and/or signature generator) were compromised, or any of the developmental programs were compromised, the worst situation is that an attacker could run programs on that particular set of SoPECs, and on no others.
This should greatly reduce the possibility of erroneous programs signed with bootOkey being available to an attacker (only official releases are signed by bootOkey), and therefore reduces the possibility of a Manufacturer/owner employee intentionally or inadvertently creating a back door for attackers.
The relationship is shown below in Figure 327.
Theoretically the same kind of hierarchy could also be used to allow OEMs to be assured that their program code will only work on specific SoPECs, but this is unlikely to be necessary, and is probably undesirable.
3.6.4 Date-limited loaders
It is possible that errors in supervisor program code (e.g. the operating system) could allow attackers to subvert the program in SoPEC and gain supervisor control.
To reduce the impact of this kind of attack, it is possible to allocate some bits of the SoPECjd to form some kind of date. The granularity of the date could be as simple as a single bit that says the date is obtained from the regular IBM ECID, or it could be 6 bits that give 10 years worth of 3-month units.
The first step of the program loaded by boot loader 0 could check the SoPECjd date, and run or refuse to run appropriately. The Manufacturer/owner driver or OS could therefore be limited to run on SoPECs that are manufactured up until a particular date.
This means that the OEM would require a new version of the OS for SoPECs after a particular date, but the new driver could be made to work on all previous versions of SoPEC.
The function simply requires a form of date, whose granularity for working can be determined by agreement with the OEM.
For example, suppose that SoPECs are supplied with 3-month granularity in their date components. Manufacturer/owner could ship a version of the OS that works for any SoPEC of the date (i.e. on any chip), or for all SoPECs manufactured during the year etc. The driver issued the next year could work with all SoPECs up until that years etc. In this way the drivers for a chip will be backwards compatible, but will be deliberately not forwards-compatible. It allows the downloading of a new driver with no problems, but it protects against bugs in one years's driver OS from being used against future SoPECs.
Note that the phasing in of a new OS doesn't have to be at the same time as the hardware. For example, the new OS can come in 3 months before the hardware that it supports. However once the new SoPECs are being delivered, the OEM must not ship the older driver with the newer SoPECs, for the old driver will not work on the newer SoPECs. Basically once the OEM has received the new driver, they should use that driver for all SoPEC systems from that point on (old SoPECs will work with the new driver).
This date-limiting feature would most likely be using a field in the ComCo specified operating parameters, so it allows the SoPEC to use date-checking in addition to additional QA Chip related parameter checking (such as the OEM's PrintEngineLicenseld etc).
A variant on this theme is a date-window, where a start-date and end-date are specified (as relating to SoPEC manufacture, not date of use).
3.6.5 Authenticating operating parameters
Operating parameters need to be considered in terms of Manufacturer/owner operating parameters and OEM operating parameters. Both sets of operating parameters are stored on the PRINTERjQA chip (physically located inside the printer). This allows the printer to maintain parameters regardless of being moved to different computers, or a loss/replacement of host O/S drivers etc.
On PRINTER_QA, memory vector M0 contains the upgradable operating parameters, and memory vectors Mι+ contains any constant (non-upgradable) operating parameters.
Considering only Manufacturer/owner operating parameters for the moment, there are actually two problems: a. setting and storing the Manufacturer/owner operating parameters, which should be authorized only by Manufacturer/owner b. reading the parameters into SoPEC, which is an issue of SoPEC authenticating the data on the PRINTERjQA chip since we don't trust PRINTERjQA. The PRINTERjQA chip therefore contains the following symmetric keys: • K0 = PrintEngineLicense_key. This key is constant for all SoPECs supplied for a given print engine license agreement between an OEM and a Manufacturer/owner ComCo. K0 has write permissions to the Manufacturer/owner upgradeable region of M0 on PRINTERjQA.
• K-, = SoPECJa _key. This key is unique for each SoPEC (see Section 3.1 ), and is known only to the SoPEC and PRINTERjQA. Ki does not have write permissions for anything.
K0 is used to solve problem (a). It is only used to authenticate the actual upgrades of the operating parameters. Upgrades are performed using the standard upgrade protocol described in [5], with PRINTERjQA acting as the ChipU, and the external upgrader acting as the ChipS.
K-i is used by SoPEC to solve problem (b). It is used to authenticate reads of data (i.e. the operating parameters) from PRINTERjQA. The procedure follows the standard authenticated read protocol described in [5], with PRINTERjQA acting as ChipR, and the embedded supervisor software on SoPEC acting as ChipT. The authenticated read protocol [5] requires the use of a 160-bit nonce, which is a pseudo-random number. This creates the problem of introducing pseudo-randomness into SoPEC that is not readily determinable by OEM programs, especially given that SoPEC boots into a known state. One possibility is to use the same random number generator as in the QA Chip (a 160-bit maximal-lengthed linear feedback shift register) with the seed taken from the value in the WatchDogTimer register in SoPECs timer unit when the first page arrives.
Note that the procedure for verifying reads of data from PRINTERjQA does not rely on Manufacturer/owner's key K0. This means that precisely the same mechanism can be used to read and authenticate the OEM data also stored in PRINTERjQA. Of course this must be done by Manufacturer/owner supervisor code so that SoPECJd_key is not revealed.
If the OEM also requires upgradable parameters, we can add an extra key to PRINTERjQA, where that key is an OEM_key and has write permissions to the OEM part of M0.
In this way, K-i never needs to be known by anyone except the SoPEC and PRINTERjQA.
Each printing SoPEC in a multi-SoPEC system need access to a PRINTERjQA chip that contains the appropriate SoPEC Jd_key to validate ink useage and operating parameters. This can be accomplished by a separate PRINTERjQA for each SoPEC, or by adding extra keys (multiple SoPECJd_keys) to a single PRINTERjQA.
However, if ink usage is not being validated (e.g. if print speed were the only Manufacturer/owner upgradable parameter) then not all SoPECs require access to a PRINTERjQA chip that contains the appropriate SoPECJd_key. Assuming that OEM program code controls the physical motor speed (different motors per OEM), then the PHI within the first (or only) front-page SoPEC can be programmed to accept (or generate) line sync pulses no faster than a particular rate. If line syncs arrived faster than the particular rate, the PHI would simply print at the slower rate. If the motor speed was hacked to be fast, the print image will appear stretched.
3.6. δ.1 Floating operating parameters and dongles
As described in Section 2.1.2, Manufacturer/owner operating parameters include such items as print speed, print quality etc. and are tied to a license provided to an OEM. These parameters are under Manufacturer/owner control. The licensed Manufacturer/owner operating parameters are typically stored in the PRINTERjQA as described in Section 3.6.5.
However there are situations when it is desirable to have a floating upgrade to a license, for use on a printer of the user's choice. For example, OEMs may sell a speed-increase license upgrade that can be plugged into the printer of the user's choice. This form of upgrade can be considered a floating upgrade in that it upgrades whichever printer it is currently plugged into. This dongle is referred to as ADDITIONALjPRINTERjQA. The software checks for the existence of an ADDITIONALjPRINTERjQA, and if present the operating parameters are chosen from the values stored on both QA chips.
The basic problem of authenticating the additional operating parameters boils down to the problem that we don't trust ADDITIONALjPRINTERjQA. Therefore we need a system whereby a given SoPEC can perform an authenticated read of the data in ADDITIONALjPRINTERjQA.
We should not write the SoPECJd_key to a key in the ADDITIONALjPRINTERjQA because:
• then it will be tied specifically to that SoPEC, and the primary intention of the ADDITIONALjPRINTERjQA is that it be floatable;
• the ink cartridge would then not work in another printer since the other printer would not know the old SoPECJd_key (knowledge of the old key is required in order to change the old key to a new one).
• updating keys is not power-safe (i.e. if at the user's site, power is removed mid-update, the ADDITIONALjPRINTERjQA could be rendered useless) The proposed solution is to let ADDITIONALjPRINTERjQA have two keys:
• o = FloatingPrintEngineLicense_key. This key has the same function as the PrintEngineLicense_key in the PRINTERjQA4 in that Ko has write permissions to the Manufacturer/owner upgradeable region of M0 on ADDITIONAL_PRINTER_QA. • K-i = UseExtParmsLicense_key. This key is constant for all of the ADDITIONALjPRINTERjQAs for a given license agreement between an OEM and a Manufacturer/owner ComCo (this is not the same key as PrintEngineLicense_key which is stored as K0 in PRINTERjQA). | has no write permissions to anything.
Ko is used to allow writes to the various fields containing operating parameters in the
ADDITIONALjPRINTERjQA. These writes/upgrades are performed using the standard upgrade protocol described in [5], with ADDITIONALjPRINTERjQA acting as the ChipU, and the external upgrader acting as the ChipS. The upgrader (ChipS) also needs to check the appropriate licensing parameters such as OEM d for validity.
K-i is used to allow SoPEC to authenticate reads of the ink remaining and any other ink data. This is accomplished by having the same UseExtParmsLicense_key within PRINTERjQA (e.g. in K2), also with no write permissions, i.e:
• PRINTER_QA.K2 = UseExtParmsLicense_key. This key is constant for all of the PRINTERjQAs for a given license agreement between an OEM and a Manufacturer/owner ComCo. K2 has no write permissions to anything.
This means there are two shared keys, with PRINTERjQA sharing both, and thereby acting as a bridge between INKjQA and SoPEC. • UseExtParmsLicense_key is shared between PRINTERjQA and ADDITIONALjPRINTERjQA
• SoPECJd_key is shared between SoPEC and PRINTERjQA
All SoPEC has to do is do an authenticated read [6] from ADDITIONALjPRINTERjQA, pass the data / signature to PRINTERjQA, let PRINTERjQA validate the data / signature, and get
PRINTERjQA to produce a similar signature based on the shared SoPEC Jd_key. It can do so using the Translate function [6]. SoPEC can then compare PRINTERjQA's signature with its own calculated signature (i.e. implement a Test function [6] in software on SoPEC), and if the signatures match, the data from ADDITIONALjPRINTERjQA must be valid, and can therefore be trusted.
'This can be identical to PrintEngine icenseJey in the PRINTERJQA if it is desireable (unlikely) that upgraders can function on PRINTERjQAs as well as ADDITIONALjPRINTERjQAs Once the data from ADDITIONALjPRINTERjQA is known to be trusted, the various operating parameters such as OEMJd can be checked for validity.
The actual steps of read authentication as performed by SoPEC are: RPRINTER - PRINTE J2A. random ( ) RDONG E / MDONG E / SIGΠONG E ^ — DONGLE J2A . read ( KI , RPRINTER) RSOPEC <- random ( ) RPRINTER / SIGpEINTER <— PRINTERJ2A. translate (K2 , RDONG E J MDONGLE / SIGDONGLE / KI , RSOPEC) SIGSOPEC <- H AC 3HA_l ( SoPEC_id_key, MDONG E | RPRINTER | RSOPEC) If (SIG uiNTER = SIGSOPEC) // various parms inside MDONGLE (data read from ADDITIONAL_PRINTER_QA) is valid Else // the data read from ADDITIONAL_PRINTΞR_QA is not valid and cannot be trusted Endlf
3.6.5.2 Dongles tied to a given SoPEC Section 3.6.5.1 describes floating dongles i.e. dongles that can be used on any SoPEC. Sometimes it is desirable to tie a dongle to a specific SoPEC.
Tying a QAjQHIP to be used only on a specific SoPEC can be easily accomplished by writing the PRINTERjQA's chipld (unique serial number) into an appropriate M0 field on the ADDITIONALjPRINTERjQA. The system software can detect the match and function appropriately. If there is no match, the software can ignore the data read from the ADDITIONALjPRINTERjQA.
Although it is also possible to store the SoPECJd_key in one of the keys within the dongle, this must be done in an environment where power will not be removed partway through the key update process (if power is removed during the key update there is a possibility that the dongle QA Chip may be rendered unusable, although this can be checked for after the power failure). 3.6.6.3 OEM assembly-line test
Although an OEM should only be able sell the licensed operating parameters for a given Print Engine, they must be able to assembly-line test5 or service/test the Print Engine with a different set of operating parameters e.g. a maximally upgraded Print Engine. Several different mechanisms can be employed to allow OEMs to test the upgraded capabilities of the Print Engine. At present it is unclear exactly what kind of assembly-line tests would be performed.
The simplest solution is to use an ADDITIONALjPRINTERjQA (i..e. special dongle PRINTERjQA as described in Section 3.6.5.1 ). The ADDITIONALjPRINTERjQA would contain the operating parameters that maximally upgrade the printer as long as the dongle is connected to the SoPEC. The exact connection may be directly electrical (e.g. via the standard QA Chip connections) or may be over the USB connection to the printer test host depending on the nature of the test. The exact preferred connection is yet to be determined.
In the testing environment, the ADDITIONALjPRINTERjQA also requires a numberOflmpressions field inside M0, which is writeable by K0. Before the SoPEC prints a page at the higher speed, it decrements the numberOflmpressions counter, performs an authenticated read to ensure the count was decremented, and then prints the page. In this way, the total number of pages that can be printed at high speed is reduced in the event of someone stealing the ADDITIONALjPRINTERjQA device. It also means that multiple test machines can make use of the same ADDITIONALjPRINTERjQA.
3.6.6 Use of a PrintEngineLicense id Manufacturer/owner O/S program code contains the OEM's asymmetric public key to ensure that the subsequent OEM program code is authentic - i.e. from the OEM. However given that SoPEC only contains a single root key, it is theoretically possible for different OEM's applications to be run identically physical Print Engines i.e. printer driver for OEMT run on an identically physical Print Engine from OEM2.
To guard against this, the Manufacturer/owner O/S program code contains a PrintEngineLicenseJd code (e.g. 16 bits) that matches the same named value stored as a fixed operating parameter in the PRINTERjQA (i.e. in M +). As with all other operating parameters, the value of PrintEngineLicenseJd is stored in PRINTERjQA (and any ADDITIONALjPRINTERjQA devices)
5This section is referring to assembly-line testing rather than development testing. An OEM can maximally upgrade a given Print Engine to allow developmental testing of their own OEM program code & mechanics. at the same time as the other various PRINTERjQA custom izations are being applied, before being shipped to the OEM site.
In this way, the OEMs can be sure of differentiating themselves through software functionality.
3.6.7 Authentication of ink
The Manufacturer/owner O/S must perform ink authentication [6] during prints. Ink usage authentication makes use of counters in SoPEC that keep an accurate record of the exact number of dots printed for each ink.
The ink amount remaining in a given cartridge is stored in that cartridge's INKjQA chip. Other data stored on the INKjQA chip includes ink color, viscosity, Memjet firing pulse profile information, as well as licensing parameters such as OEMJd, inkType, InkUsageLicenseJd, etc. This information is typically constant, and is therefore likely to be stored in M-ι+ within INKjQA.
Just as the Print Engine operating parameters are validated by means of PRINTERjQA, a given Print Engine license may only be permitted to function with specifically licensed ink. Therefore the software on SoPEC could contain a valid set of ink types, colors, OEMJds, InkUsageLicenseJds etc. for subsequent matching against the data in the INKjQA.
SoPEC must be able to authenticate reads from the INKjQA, both in terms of ink parameters as well as ink remaining.
To authenticate ink a number of steps must be taken: • restrict access to dot counts
• authenticate ink usage and ink parameters via INKjQA and PRINTERjQA
• broadcast ink dot usage to all SoPECs in a multi-SoPEC system
3.6.7.1 restrict access to dot counts Since the dot counts are accessed via the PHI in the PEP section of SoPEC, access to these registers (and more generally all PEP registers) must be only available from supervisor mode, and not by OEM code (running in user mode). Otherwise it might be possible for OEM program code to clear dot counts before authentication has occurred.
3.6.7.2 authenticate ink usage and ink parameters via INKJQA and PRINTERjQA
The basic problem of authentication of ink remaining and other ink data boils down to the problem that we don't trust INKjQA. Therefore how can a SoPEC know the initial value of ink (or the ink parameters), and how can a SoPEC know that after a write to the INKjQA, the count has been correctly decremented.
Taking the first issue, which is determining the initial ink count or the ink parameters, we need a system whereby a given SoPEC can perform an authenticated read of the data in INKjQA.
We cannot write the SoPEC Jd_key to the INKjQA for two reasons:
• updating keys is not power-safe (i.e. if power is removed mid-update, the INKjQA could be rendered useless) • the ink cartridge would then not work in another printer since the other printer would not know the old SoPECJd_key (knowledge of the old key is required in order to change the old key to a new one).
The proposed solution is to let INKjQA have two keys: • Ko = Supply lnkLicense_key. This key is constant for all ink cartridges for a given ink supply agreement between an OEM and a Manufacturer/owner ComCo (this is not the same key as PrintEngineϋcense_key which is stored as K0 in PRINTERjQA). K0 has write permissions to the ink remaining regions of Mo on INKjQA.
• K-i = UselnkLicense_key. This key is constant for all ink cartridges for a given ink usage agreement between an OEM and a Manufacturer/owner ComCo (this is not the same key as PrintEngineϋcense_key which is stored as K0 in PRINTERjQA). K-i has no write permissions to anything. Ko is used to authenticate the actual upgrades of the amount of ink remaining (e.g. to fill and refill the amount of ink). Upgrades are performed using the standard upgrade protocol described in [5], with INKjQA acting as the ChipU, and the external upgrader acting as the ChipS. The fill and refill upgrader (ChipS) also needs to check the appropriate ink licensing parameters such as OEMJd, InkType and InkUsageLicenseJd for validity.
K-i is used to allow SoPEC to authenticate reads of the ink remaining and any other ink data. This is accomplished by having the same UselnkLicense_key within PRINTERjQA (e.g. in K2 or K3), also with no write permissions.
This means there are two shared keys, with PRINTERjQA sharing both, and thereby acting as a bridge between INKjQA and SoPEC. • UselnkLicense_key is shared between INKjQA and PRINTERjQA
• SoPEC Jd_key is shared between SoPEC and PRINTERjQA All SoPEC has to do is do an authenticated read [6] from INKjQA, pass the data / signature to PRINTERjQA, let PRINTERjQA validate the data / signature and get PRINTERjQA to produce a similar signature based on the shared SoPECJd_key (i.e. the Translate function [6]). SoPEC can then compare PRINTERjQA's signature with its own calculated signature (i.e. implement a Test 5 function [6] in software on the SoPEC), and if the signatures match, the data from INKjQA must be valid, and can therefore be trusted.
Once the data from INKjQA is known to be trusted, the amount of ink remaining can be checked, and the other ink licensing parameters such as OEMJd, InkType, InkUsageLicenseJd can be 10 checked for validity.
The actual steps of read authentication as performed by SoPEC are: RPRINTER <- PRINTER J2A. random ( ) RINK/ M1NK, SIGHJK <- INK_QA . read ( KI , RPRINTER) // read with keyl : 1 5 UseInkLicense_key RSOPEC ~ random ( ) RPRINTER / SIGPRINTER <- PRINTER _QA . translate (K2 , RINK, MINK, SIGINK, KI , RSOPEC) SIGSOPEC <- HMAC_SHA_1 (SoPEC_id_key, M^ | RPRINTER | RSOPEC) CX If ( SIG uijj ER = SIGSOPEC) // MINK ( data read from INKjDA) is valid // MINK could be ink parameters , such as InkϋsageLicense_Id, or ink remaining If (MINK . inkRemaining = expectedlnkRemaining) 25 // all is ok Else // the ink value is not what we wrote , so don' t print anything anymore Endlf 30 Else // the data read from INKjQA is not valid and cannot be trusted Endlf Strictly speaking, we don't need a nonce (RSOPEC) all the time because MA (containing the ink remaining) should be decrementing between authentications. However we do need one to retrieve 35 the initial amount of ink and the other ink parameters (at power up). This is why taking a random number from the WatchDogTimer at the receipt of the first page is acceptable. In summary, the SoPEC performs the non-authenticated write [6] of ink remaining to the INKjQA chip, and then performs an authenticated read of the data via the PRINTERjQA as per the pseudocode above. If the value is authenticated, and the INKjQA ink-remaining value matches the expected value, the count was correctly decremented and the printing can continue.
3.6.7.3 broadcast ink dot usage to all SoPECs in a multi-SoPEC system
In a multi-SoPEC system, each SoPEC attached to a printhead must broadcast its ink usage to all the SoPECs. In this way, each SoPEC will have its own version of the expected ink usage.
In the case of a man-in-the-middle attack, at worst the count in a given SoPEC is only its own count (i.e. all broadcasts are turned into 0 ink usage by the man-in-the-middle). We would also require the broadcast amount to be treated as an unsigned integer to prevent negative amounts from being substituted.
A single SoPEC performs the update of ink remaining to the INKjQA chip, and then all SoPECs perform an authenticated read of the data via the appropriate PRINTERjQA (the PRINTERjQA that contains their matching SoPECJd_key - remember that multiple SoPECJd_keys can be stored in a single PRINTERjQA). If the value is authenticated, and the INKjQA value matches the expected value, the count was correctly decremented and the printing can continue.
If any of the broadcasts are not received, or have been tampered with, the updated ink counts will not match. The only case this does not cater for is if each SoPEC is tricked (via a USB2 inter- SoPEC-comms man-in-the-middle attack) into a total that is the same, yet not the true total. Apart from the fact that this is not viable for general pages, at worst this is the maximum amount of ink printed by a single SoPEC. We don't care about protecting against this case.
Since a typical maximum is 4 printing SoPECs, it requires at most 4 authenticated reads. This should be completed within 0.5 seconds, well within the 1-2 seconds/page print time.
3.6.8 Example hierarchy
Adding an extra bootloader step to the example from Section 3.6.2, we can break up the contents of program space into logical sections, as shown in Table 227. Note that the ComCo does not provide any program code, merely operating parameters that is used by the O/S. Table 227. Sections of Program Space
Figure imgf000624_0001
Figure imgf000625_0001
The verification procedures will be required each time the CPU is woken up, since the RAM is not preserved.
3.6.9 What if the CPU is not fast enough?
In the example of Section 3.6.8, every time the CPU is woken up to print a document it needs to perform:
• SHA-1 on all program code and program data
• 4 sets of asymmetric decryption to load the program code and data • 1 HMAC-SHA1 generation per 512-bits of Manufacturer/owner and OEM printer and ink operating parameters
Although the SHA-1 and HMAC process will be fast enough on the embedded CPU (the program code will be executing from ROM), it may be that the asymmetric decryption will be slow. And this becomes more likely with each extra level of authentication. If this is the case (as is likely), hardware acceleration is required.
A cheap form of hardware acceleration takes advantage of the fact that in most cases the same program is loaded each time, with the first time likely to be at power-up. The hardware acceleration is simply data storage for the authorizedDigest which means that the boot procedure now is: slowCPUJoootloaderO (data, sig) localDigest <- SHA-1 (data) If (localDigest = previouslyStoredAuthorizedDigest) jump to program code at data-start address// will never return Else authorizedDigest <- decrypt (sig, bootOkey) expectedDigest = 0x00 | 0x01 | OxFF . . OxFF | OX003021300906052BOE03021A05000414 | localDigest) If (authorizedDigest == expectedDigest) previouslyStoredAuthorizedDigest <- localDigest jump to program code at data-start address// will never return Else // program code is unauthorized Endlf This procedure means that a reboot of the same authorized program code will only require SHA-1 processing. At power-up, or if new program code is loaded (e.g. an upgrade of a driver over the internet), then the full authorization via asymmetric decryption takes place. This is because the stored digest will not match at power-up and whenever a new program is loaded.
The question is how much preserved space is required.
Each digest requires 160 bits (20 bytes), and this is constant regardless of the asymmetric encryption scheme or the key length. While it is possible to reduce this number of bits, thereby sacrificing security, the cost is small enough to warrant keeping the full digest. However each level of boot loader requires its own digest to be preserved. This gives a maximum of 20 bytes per loader. Digests for operating parameters and ink levels may also be preserved in the same way, although these authentications should be fast enough not to require cached storage.
Assuming SoPEC provides for 12 digests (to be generous), this is a total of 240 bytes. These 240 bytes could easily be stored as 60 x 32-bit registers, or probably more conveniently as a small amount of RAM (eg 0.25 - 1 Kbyte). Providing something like 1 Kbyte of RAM has the advantage of allowing the CPU to store other useful data, although this is not a requirement.
In general, it is useful for the boot ROM to know whether it is being started up due to power-on reset, GPIO activity, or activity on the USB2. In the former case, it can ignore the previously stored values (either 0 for registers or garbage for RAM). In the latter cases, it can use the previously stored values. Even without this, a startup value of 0 (or garbage) means the digest won't match and therefore the authentication will occur implictly.
3.7 SOPEC PHSYICAL IDENTIFICATION
There must be a mapping of logical to physical since specific SoPECs are responsible for printing on particular physical parts of the page, and/or have particular devices attached to specific pins.
The identification process is mostly solved by general USB2 enumeration.
Each slave SoPEC will need to verify the boot broadcast messages received over USB2, and only execute the code if the signatures are valid. Several levels of authorization may occur. However, at some stage, this common program code (broadcast to all of the slave SoPECs and signed by the appropriate asymmetric private key) can, among other things, set the slave SoPECs id relating to the physical location. If there is only 1 slave, the id is easy to determine, but if there is more than 1 slave, the id must be determined in some fashion. For example, physical location/id determination may be:
• given by the physical USB2 port on the master • related to the physical wiring up of the USB2 interconnects
• based on GPIO wiring. On other systems, a particular physical arrangement of SoPECs may exist such that each slave SoPEC will have a different set of connections on GPIOs. For example, one SoPEC maybe in charge of motor control, while another may be driving the LEDs etc. The unused GPIO pins (not necessarily the same on each SoPEC) can be set as inputs and then tied to 0 or 1. As long as the connection settings are mutually exclusive, program code can determine which is which, and the id appropriately set. This scheme of slave SoPEC identification does not introduce a security breach. If an attacker rewires the pinouts to confuse identification, at best it will simply cause strange printouts (e.g. swapping of printout data) to occur, while at worst the Print Engine will simply not function.
3.8 SETTING UP QA CHIP KEYS
In use, each INKjQA chip needs the following keys:
• Ko = SupplylnkLicense_key
• Ki = UselnkLicense_key
Each PRINTERjQA chip tied to a specific SoPEC requires the following keys:
• Ko = PrintEngineLicense_key
• K-i = SoPECJa _key
• K2 = UseExtParmsLicense_key
• K3 = UselnkLicense_key Note that there may be more than one Ki depending on the number of PRINTER_QA chips and SoPECs in a system. These keys need to be appropriately set up in the QA Chips before they will function correctly together.
3.8.1 Original QA Chips as received by a ComCo When original QA Chips are shipped from QACo to a specific ComCo their keys are as follows:
• Ko = QACo_ComCo_Key0
• = QACo_ComCo_Key1
• K2 = QACo_ComCo_Key2
• K3 = QACo_ComCo_Key3 All 4 keys are only known to QACo. Note that these keys are different for each QA Chip.
3.8.2 Steps at the ComCo
The ComCo is responsible for making Print Engines out of Memjet printheads, QA Chips, PECs or SoPECs, PCBs etc.
In addition, the ComCo must customize the INKjQA chips and PRINTERjQA chip on-board the print engine before shipping to the OEM. There are two stages:
• replacing the keys in QA Chips with specific keys for the application (i.e. INKjQA and PRINTERjQA)
• setting operating parameters as per the license with the OEM 3.8.2.1 Replacing keys
The ComCo is issued QID hardware [4] by QACo that allows programming of the various keys (except for K-i) in a given QA Chip to the final values, following the standard ChipF/ChipP replace key (indirect version) protocol [6]. The indirect version of the protocol allows each QACojOomCojKey to be different for each SoPEC.
In the case of programming of PRINTERjQA's K-i to be SoPECJd_key, there is the additional step of transferring an asymmetrically encrypted SoPECJd_key (by the public-key) along with the nonce (RP) used in the replace key protocol to the device that is functioning as a ChipF. The ChipF must decrypt the SoPECJd_key so it can generate the standard replace key message for PRINTERjQA (functioning as a ChipP in the ChipF/ChipP protocol). The asymmetric key pair held in the ChipF equivalent should be unique to a ComCo (but still known only by QACo) to prevent damage in the case of a compromise.
Note that the various keys installed in the QA Chips (both INKjQA and PRINTERjQA) are only known to the QACo. The OEM only uses QIDs and QACo supplied ChipFs. The replace key protocol [6] allows the programming to occur without compromising the old or new key.
3.8.2.2 Setting operating parameters There are two sets of operating parameters stored in PRINTERjQA and INKjQA:
• fixed
• upgradable
The fixed operating parameters can be written to by means of a non-authenticated writes [6] to M1+ via a QID [4], and permission bits set such that they are Readonly.
The upgradable operating parameters can only be written to after the QA Chips have been programmed with the correct keys as per Section 3.8.2.1. Once they contain the correct keys they can be programmed with appropriate operating parameters by means of a QID and an appropriate ChipS (containing matching keys).
AUTHENTICATION PROTOCOLS
1 Introduction
The following describes authentication protocols for general authentication applications, but with specific reference to the QA Chip.
The intention is to show the broad form of possible protocols for use in different authentication situations, and can be used as a reference when subsequently defining an implementation specification for a particular application. As mentioned earlier, although the protocols are described in relation to a printing environment, many of them have wider application such as, but not limited to, those described at the end of this specification.
2 Nomenclature
The following symbolic nomenclature is used throughout this document: Table 228. Summary of symbolic nomenclature
Figure imgf000630_0001
3 PSEUDOCODE
3.1 Asynchronous The following pseudocode: var = expression means the var signal or output is equal to the evaluation of the expression.
3.2 Synchronous The following pseudocode: var <— expression means the var register is assigned the result of evaluating the expression during this cycle.
3.3 Expression
Expressions are defined using the nomenclature in Table 228 above. Therefore: var = (a = b) is interpreted as the var signal is 1 if a is equal to b, and 0 otherwise.
4. Intentionally blank
5 Basic Protocols 5.1 PROTOCOL BACKGROUND This protocol set is a restricted form of a more general case of a multiple key single memory vector protocol. It is a restricted form in that the memory vector M has been optimized for Flash memory utilization:
• M is broken into multiple memory vectors (semi-fixed and variable components) for the purposes of optimizing flash memory utilization. Typically M contains some parts that are fixed at some stage of the manufacturing process (eg a batch number, serial number etc.), and once set, are not ever updated. This information does not contain the amount of consumable remaining, and therefore is not read or written to with any great frequency.
• We therefore define M0 to be the M that contains the frequently updated sections, and the remaining Ms to be rarely written to. Authenticated writes only write to M0, and non- authenticated writes can be directed to a specific Mn. This reduces the size of permissions that are stored in the QA Chip (since key-based writes are not required for Ms other than M0). It also means that M0 and the remaining Ms can be manipulated in different ways, thereby increasing flash memory longevity.
5.2 REQUIREMENTS OF PROTOCOL
Each QA Chip contains the following values:
N The maximum number of keys known to the chip. T The number of vectors M is broken into.
KN Array of N secret keys used for calculating FKn[X] where Kn is the nth element of the array. R Current random number used to ensure time varying messages. Each chip instance must be seeded with a different initial value. Changes for each signature generation. Mτ Array of T memory vectors. Only M0 can be written to with an authorized write, while all Ms can be written to in an unauthorized write. Writes to M0 are optimized for Flash usage, while updates to any other Mι+ are expensive with regards to Flash utilization, and are expected to be only performed once per section of Mn. M-i contains T, N and f in Readonly form so users of the chip can know these two values. PT+N T+N element array of access permissions for each part of M. Entries n={0... T-1} hold access permissions for non-authenticated writes to Mπ (no key required). Entries n={T to T+N-1}hold access permissions for authenticated writes to M0 for Kn. Permission choices for each part of M are Read Only, Read/Write, and Decrement Only. C 3 constants used for generating signatures. C|, C2, and C3 are constants that pad out a sub- message to a hashing boundary, and all 3 must be different.
Each QA Chip contains the following private function:
n[N, j Internal function only. Returns S^fX], the result of applying a digital signature function S to X based upon the appropriate key Kn. The digital signature must be long enough to counter the chances of someone generating a random signature. The length depends on the signature scheme chosen, although the scheme chosen for the QA Chip is HMAC-SHA1 , and therefore the length of the signature is 160 bits. Additional functions are required in certain QA Chips, but these are described as required. 5.3 READ PROTOCOLS
The set of read protocols describe the means by which a System reads a specific data vector Mt from a QA Chip referred to as ChipR.
We assume that the communications link to ChipR (and therefore ChipR itself) is not trusted. If it were trusted, the System could simply read the data and there is no issue. Since the communications link to ChipR is not trusted and ChipR cannot be trusted, the System needs a way of authenticating the data as actually being from a real ChipR. Since the read protocol must be capable of being implemented in physical QA Chips, we cannot use asymmetric cryptography (for example the ChipR signs the data with a private key, and System validates the signature using a public key). This document describes two read protocols: • direct validation of reads • indirect validation of reads. 5.3.1 Direct Validation of Reads In a direct validation read protocol we require two QA Chips: ChipR is the QA Chip being read, and ChipT is the QA Chip we entrust to tell us whether or not the data read from ChipR is trustworthy. The basic idea is that system asks ChipR for data, and ChipR responds with the data and a signature based on a secret key. System then asks ChipT whether the signature supplied by ChipR is correct. If ChipT responds that it is, then System can trust that data just read from ChipR. Every time data is read from ChipR, the validation procedure must be carried out. Direct validation requires the System to trust the communication line to ChipT. This could be because ChipT is in physical proximity to the System, and both System and ChipT are in a trusted (e.g. Silverbrook secure) environment. However, since we need to validate the read, ChipR by definition must be in a non-trusted environment.
Each QA Chip protects its signature generation or verification mechanism by the use of a nonce.
The protocol requires the following publicly available functions in ChipT: RandomQ Returns R (does not advance R).
Test[n,X, Y, Z] Advances R and returns 1 if Sκπ[R|X|Cι|Y] = Z. Otherwise returns 0. The time taken to calculate and compare signatures must be independent of data content.
The protocol requires the following publicly available functions in ChipR: Read[n, t, X] Advances R, and returns R, Mt, SKn[X|R|Cι|Mt]. The time taken to calculate the signature must not be based on the contents of X, R, Mt, or K. If t is invalid, the function assumes t=0.
To read ChipR's memory Mt in a validated way, System performs the following tasks: a. System calls ChipT's Random function; b. ChipT returns Rτ to System; c. System calls ChipR's Read function, passing in some key number n1, the desired data vector number t, and Rτ (from b); d. ChipR updates RR, then calculates and returns RR, MRt, SKni[Rτ|RR|Cι|MRt]; e. System calls ChipT's Test function, passing in the key to use for signature verification n2, and the results from d (i.e. RR, MRt, SKnι[Rτ|RR|Cι|MRt]); f. System checks response from ChipT. If the response is 1 , then the Mt read from ChipR is considered to be valid. If 0, then the Mt read from ChipR is considered to be invalid.
The choice of n1 and n2 must be such that ChipR's Knι = ChipT's Kn2.
The data flow for this read protocol is shown in Figure 328. From the System's perspective, the protocol would take on a form like the following pseudocode: Rτ <- ChipT.Random ( ) RR, MR, SIGR <- ChipR.Read (keyNumOnChipR,desiredM, Rτ) ok - ChipT. est (keyNumOnChipT, RR, MR, SIGR) If (ok = 1) // MR is to be trusted Else // MR is not to be trusted Endlf With regards to security, if an attacker finds out ChipR's Kn1, they can replace the ChipR by a fake ChipR because they can create signatures. Likewise, if an attacker finds out ChipT's Kn2, they can replace the ChipR by a fake ChipR because ChipR's Kn1 = ChipT's Kn2. Moreover, they can use the ChipRs on any system that shares the same key.
The only way of restricting exposure due to key reveals is to restrict the number of systems that match ChipR and ChipT. i.e. vary the key as much as possible. The degree to which this can be done will depend on the application. In the case of a PRINTERjQA acting as a ChipT, and an INKjQA acting as a ChipR, the same key must be used on all systems where the particular INK QA data must be validated.
In all cases, ChipR must contain sufficient information to produce a signature. Knowing (or finding out) this information, whatever form it is in, allows clone ChipRs to be built.
5.3.2 Indirect Validation of Reads In a direct validation protocol (see Section 5.3.1 ), the System validates the correctness of data read from ChipR by means of a trusted chip ChipT. This is possible because ChipR and ChipT share some secret information.
However, it is possible to extend trust via indirect validation. This is required when we trust ChipT, but ChipT doesn't know how to validate data from ChipR. Instead, ChipT knows how to validate data from Chipl (some intermediate chip) which in turn knows how to validate data from either another Chipl (and so on up a chain) or ChipR. Thus we have a chain of validation.
The means of validation chains is translation of signatures. Chipln translates signatures from higher up the chain (either Chipln-ι or from ChipR at the start of the chain) into signatures capable of being passed to the next stage in the chain (either C ipln+1 or to ChipT at the end of the chain). A given Chipl can only translate signatures if it knows the key of the previous stage in the chain as well as the key of the next stage in the chain.
The protocol requires the following publicly available functions in Chipl: Randomrj Returns R (does not advance R).
Translate[n1 ,X, Y, Z,n2,A] Returns 1 , Sκr_-[A|R|C1|Y] and advances R if Z = SKnι[R|X|Cι|Y]. Otherwise returns 0, 0. The time taken to calculate and compare signatures must be independent of data content.
The data flow for this signature translation protocol is shown in Figure 329:
Note that Rprev is eventually RR, and Rπext is eventually Rτ. In the multiple Chipl case, Rprev is the R| of Chiplp-1 and Rnext is R| of Chipln+1. The Rprev of the first Chipl in the chain is RR, and the Rneχt of the last Chipl in the chain is Rτ.
Assuming at least 1 ChipT, the System would need to perform the following tasks in order to read ChipR's memory Mt in an indirectly validated way: a. System calls Chipln's Random function; b. Chiplo returns R|0 to System; c. System calls ChipR's Read function, passing in some key number nO, the desired data vector number t, and R|0 (from b); d. ChipR updates RR, then calculates and returns RR, MRt, SKno[Rin|RR|Cι|MRtl; e. System assigns RR to Rprev and SKno[Rin|RR|Ci|MRt] to SIGprev f. System calls the next-chip-in-the-chain's Random function (either Chipln+1 or ChipT) g. The next-chip-in-the-chain will return Rnext to System h. System calls Chipln's Translate function, passing in n1n (translation input key number), Rprev, MRt, SIGprev), n2n (translation output key number) and the results from g (Rneχt); i. Chipl returns testResult and SIG| to System j. If testResult = 0, then the validation has failed, and the Mt read from ChipR is considered to be invalid. Exit with failure. k. If the next chip in the chain is a Chipl, assign SIG| to SIGprev and go to step f
I. System calls ChipT's Test function, passing in nt, RpreV, MRt, and SIGprev; m. System calls System checks response from ChipT. If the response is 1 , then the Mt read from ChipR is considered to be valid. If 0, then the Mt read from ChipR is considered to be invalid.
For the Translate function to work, Chipln and Chipln+ι must share a key. The choice of n1 and n2 in the protocol described must be such that Chipln's Kn2 = Chipln+1's K„ι. Note that Translate is essentially a "Test plus resign" function. From an implementation point of view the first part of Translate is identical to Test.
Note that the use of Chipls and the translate function merely allows signatures to be transformed. At the end of the translation chain (if present) will be a ChipT requiring the use of a Test function. There can be any number of Chipls in the chain to ChipT as long as the Translate function is used to map signatures between Chipln and Chipln+1 and so on until arrival at the final destination (ChipT).
From the System's perspective, a read protocol using at least 1 Chipl would take on a form like the following pseudocode: next ~ Chipl [0] . Random ( ) prev; MR SIGprev -C— ChipR . Read (keyNumOnChipR, desiredM, Rnext ) ok = 1 i = 0 while ((i < iMax) AND ok) For i <— 0 to iMax If ( i = iMax) Rnext <- ChipT . Random ( ) Else Rnext - Chipl [i+1] . Random ( ) Endlf ok, SIGprev - Chipl [i] . Translate ( iKey [i] , Rprev, MR, SIGprev, oKey .i] , Rneχt) Rprev = Rnext If (ok = 0 ) // MR is not to be trusted Endlf EndFor ok - ChipT . Tes t (keyNumOnChipT , Rprev/ MR, SIGprev) If (ok = 1) // MR is to be trusted Else // MR is not to be trusted Endlf 5.3.3 Additional Comments on Reads
In the Memjet printing environment, certain implementations will exist where the operating parameters are stored in QA Chips. In this case, the system must read the data from the QA Chip using an appropriate read protocol.
If the connection is trusted (e.g. to a virtual QA Chip in software), a generic Read is sufficient. If the connection is not trusted, it is ideal that the System have a trusted ChipT in the form of software (if possible) or hardware (e.g. a QA Chip on board the same silicon package as the microcontroller and firmware). Whether implemented in software or hardware, the QA Chip should contain an appropriate key that is unique per print engine. Such a key setup would allow reads of print engine parameters and also allow indirect reads of consumables (from a consumable QA Chip).
If the ChipT is physically separate from System (e.g. ChipT is on a board connected to System) System must also occasionally (based on system clock for example) call ChipT's Test function with bad data, expecting a 0 response. This is to reduce the possibility of someone inserting a fake ChipT into the system that always returns 1 for the Test function.
5.4 UPGRADE PROTOCOLS
This set of protocols describe the means by which a System upgrades a specific data vector Mt within a QA Chip (ChipU). The data vector may contain information about the functioning of the device (e.g. the current maximum operating speed) or the amount of a consumable remaining.
The updating of Mt in ChipU falls into two categories:
• non-authenticated writes, where anyone is able to update the data vector • authenticated writes, where only authorized entities are able to upgrades data vectors
5.4.1 Non-authenticated writes
This is the most frequent type of write, and takes place between the System / consumable during normal everyday operation for M0, and during the manufacturing process for M +.
In this kind of write, the System wants to change Mt within ChipU subject to P. For example, the System could be decrementing the amount of consumable remaining. Although System does not need to know and of the Ks or even have access to a trusted chip to perform the write, the System must follow a non-authenticated write by an authenticated read if it needs to know that the write was successful. The protocol requires ChipU to contain the following publicly available function:
Write[t, X] Writes X over those parts of Mt subject to Pt and the existing value for M. To authenticate a write of Mnew to ChipA's memory M: a. System calls ChipU's Write function, passing in Mnew; b. The authentication procedure for a Read is carried out (see Section 5.3 on page 631 ); c. If the read succeeds in such a way that Mne = M returned in b, the write succeeded. If not, it failed.
Note that if these parameters are transmitted over an error-prone communications line (as opposed to internally or using an additional error-free transport layer), then an additional checksum would be required to prevent the wrong M from being updated or to prevent the correct M from being updated to the wrong value. For example, SHA-1 [t,X] should be additionally transferred across the communications line and checked (either by a wrapper function around Write or in a variant of Write that takes a hash as an extra parameter).
This is the most frequent type of write, and takes place between the System / consumable during normal everyday operation for M0, and during the manufacturing process for M-|+.
5.4.2 Authenticated writes In the QA Chip protocols, M0 is defined to be the only data vector that can be upgraded in an authenticated way. This decision was made primarily to simplify flash management, although it also helps to reduce the permissions storage requirements.
In this kind of write, System wants to change Chip U's Mo in an authorized way, without being subject to the permissions that apply during normal operation. For example, a consumable may be at a refilling station and the normally Decrement Only section of M0 should be updated to include the new valid consumable. In this case, the chip whose M0 is being updated must authenticate the writes being generated by the external System and in addition, apply the appropriate permission for the key to ensure that only the correct parts of Mo are updated. Having a different permission for each key is required as when multiple keys are involved, all keys should not necessarily be given open access to M0. For example, suppose M0 contains printer speed and a counter of money available for franking. A ChipS that updates printer speed should not be capable of updating the amount of money. Since Po...τ-ι is used for non-authenticated writes, each Kn has a corresponding permission PT+n that determines what can be updated in an authenticated write.
The basic principle of the authenticated write (or upgrade) protocol is that the new value for the Mt must be signed before ChipU accepts it. The QA Chip responsible for generating the signature (ChipS) must first validate that the ChipU is valid by reading the old value for Mt. Once the old value is seen as valid, a new value can be signed by ChipS and the resultant data plus signature passed to ChipU. Note that both chips distrust each other.
There are two forms of authenticated writes. The first form is when both ChipU and ChipS directly store the same key. The second is when both ChipU and ChipS store different versions of the key and a transforming procedure is used on the stored key to generate the required key - i.e. the key is indirectly stored. The second form is slightly more complicated, and only has value when the ChipS is not readily available to an attacker.
5.4.2.1 Direct authenticated writes
The direct form of the authenticated write protocol is used when the ChipS and ChipU are equally available to an attacker. For example, suppose that ChipU contains a printer's operating speed. Suppose that the speed can be increased by purchasing a ChipS and inserting it into the printer system. In this case, the ChipS and ChipU are equally available to an attacker. This is different from upgrading the printer over the internet where the effective ChipS is in a remote location, and thereby not as readily available to an attacker.
The direct authenticated write protocol requires ChipU to contain the following publicly available functions:
Read[n, t, X] Advances R, and returns R, Mt, Sκn[X|R|Cι|Mt]. The time taken to calculate the signature must not be based on the contents of X, R, Mt, or K.
WriteA[n, X, Y, Z] Advances R, replaces M0 by Y subject to Pτ+n, and returns 1 only if SKn[R|X|Ci|Y] = Z. Otherwise returns 0. The time taken to calculate and compare signatures must be independent of data content. This function is identical to ChipT's Test function except that it additionally writes Y subject to Pτ+n to its M when the signature matches. Authenticated writes require that the System has access to a ChipS that is capable of generating appropriate signatures.
In its basic form, ChipS requires the following variables and function:
SignM[n,V,W,X,Y,Z] Advances R, and returns R, Si WIRIdlZ] only if Y = SKnMWId q. Otherwise returns all 0s. The time taken to calculate and compare signatures must be independent of data content.
To update ChipU's M vector: a. System calls ChipU's Read function, passing in n1 , 0 (desired vector number) and 0 (the random value, but is a don't-care value) as the input parameters; b. ChipU produces Ru, Muo, SKni[0|Ru|Ci|Muo] and returns these to System; c. System calls ChipS's SignM function, passing in n2 (the key to be used in ChipS), 0 (the random value as used in a), Ru, Muo, Sκπι[0|Ru|Cι|Muo], and MD (the desired vector to be written to ChipU); d. ChipS produces Rs and SKn2-Ru|Rs|Cι|MD] if the inputs were valid, and 0 for all outputs if the inputs were not valid. e. If values returned in d are non zero, then ChipU is considered authentic. System can then call ChipU's WriteA function with these values from d. f. ChipU should return a 1 to indicate success. A 0 should only be returned if the data generated by ChipS is incorrect (e.g. a transmission error).
The choice of n1 and n2 must be such that ChipU's Knι = ChipS's Kn2.
The data flow for authenticated writes is shown in Figure 330.
Note that this protocol allows ChipS to generate a signature for any desired memory vector MD, and therefore a stolen ChipS has the ability to effectively render the particular keys for those parts of M0 in ChipU irrelevant.
It is therefore not recommended that the basic form of ChipS be ever implemented except in specifically controlled circumstances.
It is much more secure to limit the powers of ChipS. The following list covers some of the variants of limiting the power of ChipS: a. the ability to upgrade a limited number of times b. the ability to upgrade based on a credit value - i.e. the upgrade amount is decremented from the local value, and effectively transferred to the upgraded device c. the ability to upgrade to a fixed value or from a limited list d. the ability to upgrade to any value e. the ability to only upgrade certain data fields within M
In many of these variants, the ability to refresh the ChipS in some way (e.g. with a new count or credit value) would be a useful feature. In certain cases, the variant is in ChipS, while ChipU remains the same. It may also be desirable to create a ChipU variant, for example only allowing ChipU to only be upgraded a specific number of times.
5.4.2.1.1 Variant example
This section details the variant for the ability to upgrade a memory vector to any value a specific number of times, but the upgrade is only allowed to affect certain fields within the memory vector i.e. a combination of (a), (d), and (e) above.
In this example, ChipS requires the following variables and function:
CountRemaining Part of ChipS's M0 that contains the number of signatures that ChipS is allowed to generate. Decrements with each successful call to SignM and SignP. Permissions in ChipS's P0..τ-ι for this part of M0 needs to be Readonly once ChipS has been setup. Therefore CountRemaining can only be updated by another ChipS that will perform updates to that part of Mo (assuming ChipS's Ps allows that part of M0 to be updated). Q Part of M that contains the write permissions for updating ChipU's M. By adding Q to ChipS we allow different ChipSs that can update different parts of Mu. Permissions in ChipS's P0..τ-ι for this part of M needs to be Readonly once ChipS has been setup. Therefore Q can only be updated by another ChipS that will perform updates to that part of M. SignM[nN,W,X,Y,Z] Advances R, decrements CountRemaining and returns R, ZQX (Z applied to X with permissions Q), SKn[W|R|Cι|ZQχ] only if Y = SKnMWIdlX] and CountRemaining > 0. Otherwise returns all 0s. The time taken to calculate and compare signatures must be independent of data content.
To update ChipU's M vector: a. System calls ChipU's Read function, passing in n1 , 0 (desired vector number) and 0 (the random value, but is a don't-care value) as the input parameters; b. ChipU produces Ru, Muo, SKni[0|Ru|Ci|Muo] and returns these to System; c. System calls ChipS's SignM function, passing in n2 (the key to be used in ChipS), 0 (as used in a), Ru, Muo, SKnι[0|Ru|Cι|Muo], and MD (the desired vector to be written to ChipU); d. ChipS produces Rs, MQD (processed by running MD against Muo using Q) and SKΠ2[RU|RS|CI |MQ ] if the inputs were valid, and 0 for all outputs if the inputs were not valid. e. If values returned in d are non zero, then ChipU is considered authentic. System can then call ChipU's WriteA function with these values from d. f. ChipU should return a 1 to indicate success. A 0 should only be returned if the data generated by ChipS is incorrect (e.g. a transmission error).
The choice of n1 and n2 must be such that ChipU's Kπ1 = ChipS's Kn2.
The data flow for this variant of authenticated writes is shown in Figure 331.
Note that Q in ChipS is part of ChipS's M. This allows a user to set up ChipS with a permission set for upgrades. This should be done to ChipS and that part of M designated by Po..τ-ι set to Readonly before ChipS is programmed with Ku- If Ks is programmed with Ku first, there is a risk of someone obtaining a half-setup ChipS and changing all of Mu instead of only the sections specified by Q.
In addition, CountRemaining in ChipS needs to be setup (including making it Readonly in Ps) before ChipS is programmed with Ku. ChipS should therefore be programmed to only perform a limited number of SignM operations (thereby limiting compromise exposure if a ChipS is stolen). Thus ChipS would itself need to be upgraded with a new CountRemaining every so often.
5.4.2.2 Indirect authenticated writes
This section describes an alternative authenticated write protocol when ChipU is more readily available to an attacker and ChipS is less available to an attacker. We can store different keys on ChipU and ChipS, and implement a mapping between them in such a way that if the attacker is able to obtain a key from a given ChipU, they cannot upgrade all ChipUs.
In the general case, this is accomplished by storing key Ks on ChipS, and Ku and f on ChipU. The relationship is f(Ks) = Ku such that knowledge of Ku and f does not make it easy to determine Ks. This implies that a one-way function is desirable for f.
In the QA Chip domain, we define f as a number (e.g. 32-bits) such that SHA1(KS | f) = Ku. The value of f (random between chips) can be stored in a known location within Mi as a constant for the life of the QA Chip. It is possible to use the same f for multiple relationships if desired, since f is public and the protection lies in the fact that f varies between QA Chips (preferably in a non- predictable way).
The indirect protocol is the same as the direct protocol with the exception that f is additionally passed in to the SignM function so that ChipS is able to generate the correct key. The System obtains f by performing a Read of M^ Note that all other functions, including the WriteA function in ChipU, are identical to their direct authentication counterparts. SignM[f,nN,W,X,Y,Z] Advances R, and returns R, SφoήlWIRIdlZ] only if Y = Sf^rNIWIdlX] and CountRemaining > 0. Otherwise returns all 0s. The time taken to calculate and compare signatures must be independent of data content.
Before reading ChipU's memory M0 (the pre-upgrade value), the System must extract f from ChipU by performing the following tasks: a. System calls ChipU's Read function, passing in (dontCare, 1 , dontCare) b. ChipU returns M.,, from which System can extract fu c. System stores fu for future use
To update ChipU's M vector, the protocol is identical to that described in the basic authenticated write protocol with the exception of steps c and d: c. System calls ChipS's SignM function, passing in fu, n2 (the key to be used in ChipS), 0 (as used in a), Ru, Muo, Sκnι[0|Ruld|Muo], and MD (the desired vector to be written to ChipU); d. ChipS produces Rs and Saj(κn2)[Ru|Rs|Cι|MD] if the inputs were valid, and 0 for all outputs if the inputs were not valid.
In addition, the choice of n1 and n2 must be such that ChipU's Kπι = ChipS's fu(Kn2).
Note that fu is obtained from M-i without validation. This is because there is nothing to be gained by subverting the value of fu, (because then the signatures won't match).
From the System's perspective, the protocol would take on a form like the following pseudocode: dontCare, MR, dontCare <- ChipR. Read (dontCare, 1, dontCare) fR = extract from MR
R0, MTJ, SIGU <- ChipU.Read (keyNumOnChipU, 0, 0) Rs, SIGS = ChipS. SignM2 (fR, keyNumOnChipS , 0, RB, MU; SIGσ, MD) If (Rs = SIGS = 0) // ChipU and therefore Mσ is not to be trusted Else // ChipU and therefore Mπ can be trusted ok = ChipU. riteA(keyNumOnChipU, Rs, MD, SIGS) If (ok) // updating of data in ChipU was successful Else // transmission error during WriteA Endlf Endlf
5.4.2.2.1 variant example
The indirect form of the example from Section 5.4.2.1.1 is shown here.
SignM[f,nN,W,X,Y,Z] Advances R, decrements CountRemaining and returns R, ZQX (Z applied to X with permissions Q), Sφ<n)[W|R|Cι|ZQχ] only if Y = Sf(Kn)rviW|Cι|X] and CountRemaining > 0. Otherwise returns all 0s. The time taken to calculate and compare signatures must be independent of data content.
Before reading ChipU's memory M0 (the pre-upgrade value), the System must extract f from ChipU by performing the following tasks: a. System calls ChipU's Read function, passing in (dontCare, 1 , dontCare) b. ChipU returns M-i, from which System can extract fu c. System stores fu for future use
To update ChipU's M vector, the protocol is identical to that described in the basic authenticated write protocol with the exception of steps c and d: c. System calls ChipS's SignM function, passing in fu, n2 (the key to be used in ChipS), 0 (as used in a), Ru, Muo, SKnι[0|Ru|Cι|Muo], and MD (the desired vector to be written to ChipU); d. ChipS produces Rs, MQD (processed by running MD against Muo using Q) and Sflj(Kn2)[Ru|Rs|Ci|MQD] if the inputs were valid, and 0 for all outputs if the inputs were not valid.
In addition, the choice of n1 and n2 must be such that ChipU's Kπ1 = ChipS's fu(Kn2).
Note that fu is obtained from M-i without validation. This is because there is nothing to be gained by subverting the value of fu, (because then the signatures won't match).
From the System's perspective, the protocol would take on a form like the following pseudocode: dontCare, MR, dontCare <- ChipR. Read (dontCare, 1, dontCare) fR = extract from MR
Ru, Mu, SIGtj <- ChipU. Read (keyNumOnChipU, 0, 0) Rs, MQD, SIGS = ChipS. SignM2 (fR, keyNumOnChipS , 0, RΌ, Mα, SIGα, MD) If (Rs = MgD = SIGs = 0) // ChipU and therefore Mo is not to be trusted Else // ChipU and therefore Mα can be trusted ok = ChipU. riteA(keyNumOnChipU, Rs, MQΠ, SIGS) If (ok) // updating of data in ChipU was successful Else // transmission error during riteA Endlf Endlf
5.4.3 Updating permissions for future writes
In order to reduce exposure to accidental and malicious attacks on P (and certain parts of M), only authorized users are allowed to update P. Writes to P are the same as authorized writes to M, except that they update Pn instead of M. Initially (at manufacture), P is set to be Read/Write for all M. As different processes fill up different parts of M, they can be sealed against future change by updating the permissions. Updating a chip's P0..τ-ι changes permissions for unauthorized writes to Mn, and updating PT..T+N-I changes permissions for authorized writes with key Kn.
Pn is only allowed to change to be a more restrictive form of itself. For example, initially all parts of M have permissions of Read/Write. A permission of Read/Write can be updated to Decrement Only or Read Only. A permission of Decrement Only can be updated to become Read Only. A Read Only permission cannot be further restricted.
In this transaction protocol, the System's chip is referred to as ChipS, and the chip being updated is referred to as ChipU. Each chip distrusts the other.
The protocol requires the following publicly available functions in ChipU: Random □ Returns R (does not advance R).
SetPermission[n,p,X,Y,Z] Advances R, and updates Pp according to Y and returns 1 followed by the resultant Pp only if SKn[R|X|Y|C2] = Z. Otherwise returns 0. Pp can only become more restricted. Passing in 0 for any permission leaves it unchanged (passing in Y=0 returns the current Pp).
Authenticated writes of permissions require that the System has access to a ChipS that is capable of generating appropriate signatures. ChipS requires the following variable: CountRemaining Part of ChipS's M0 that contains the number of signatures that ChipS is allowed to generate. Decrements with each successful call to SignM and SignP. Permissions in ChipS's P0..τ-ι for this part of M0 needs to be Readonly once ChipS has been setup. Therefore CountRemaining can only be updated by another ChipS that will perform updates to that part of M0 (assuming ChipS's Pn allows that part of M0 to be updated). In addition, ChipS requires either of the following two SignP functions depending on whether direct or indirect key storage is used (see direct vs indirect authenticated write protocols in Section 5.4.2): SignP[n,X,Y] Used when the same key is directly stored in both ChipS and ChipU. Advances R, decrements CountRemaining and returns R and SKn[X|R|Y|C2] only if CountRemaining > 0. Otherwise returns all 0s. The time taken to calculate and compare signatures must be independent of data content. SignP[f,n,X,Y] Used when the same key is not directly stored in both ChipS and ChipU. In this case ChipU's Kn1 = ChipS's f(Kn2). The function is identical to the direct form of SignP, except that it additionally accepts f and returns Sf(κn)[X|R|Y|C2] instead of SKn[X|R|Y|C2].
5.4.3.1 Direct form of SignP When the direct form of SignP is used, ChipU's Pn is updated as follows: a. System calls ChipU's Random function; b. ChipU returns Ru to System; c. System calls ChipS's SignP function, passing in n2, Ru and PD (the desired P to be written to ChipU); d. ChipS produces Rs and SKΠ2[RU|RS|PD|C2] if it is still permitted to produce signatures. e. If values returned in d are non zero, then System can then call ChipU's SetPermission function with n1 , the desired permission entry p, Rs, PD and SKn2[Ru|Rs|PD|C2]. f. ChipU verifies the received signature against its own generated signature SI[RU|RS|PD|C2] and applies PD to Pn if the signature matches g. System checks 1st output parameter. 1 = success, 0 = failure.
The choice of n1 and n2 must be such that ChipU's Knι = ChipS's Kn2.
The data flow for basic authenticated writes to permissions is shown in Figure 332.
5.4.3.2 Indirect form of SignP When the indirect form of SignP is used in ChipS, the System must extract f from ChipU (so it knows how to generate the correct key) by performing the following tasks: a. System calls ChipU's Read function, passing in (dontCare, 1 , dontCare) b. ChipU returns M-i, from which System can extract fu c. System stores fu for future use
ChipU's Pn is updated as follows: a. System calls ChipU's Random function; b. ChipU returns Ry to System; c. System calls ChipS's SignP function, passing in fu, n2, Ru and PD (the desired P to be written to ChipU); d. ChipS produces Rs and SfU(κn2)[ u|Rs|PD|C2] if it is still permitted to produce signatures. e. If values returned in d are non zero, then System can then call ChipU's SetPermission function with n1 , the desired permission entry p, Rs, PD and SfU(κn2)[Ru| s|PD|C2]. f. ChipU verifies the received signature against SI[RU|RS|PD|C2] and applies PD to Pn if the signature matches g. System checks 1st output parameter. 1 = success, 0 = failure.
In addition, the choice of n1 and n2 must be such that ChipU's Knι = ChipS's fu(Kn2). 5.4.4 Protecting memory vectors
To protect the appropriate part of Mn against unauthorized writes, call SetPermissionsfn] for n = 0 to
T-1. To protect the appropriate part of M0 against authorized writes with key n, call
SetPermissions[T+n] for n=0 to N-1.
Note that only M0 can be written in an authenticated fashion. Note that the SetPermission function must be called after the part of M has been set to the desired value.
For example, if adding a serial number to an area of M-i that is currently ReadWrite so that noone is permitted to update the number again:
• the Write function is called to write the serial number to M-\ • SetPermission(1 ) is called for to set that part of M to be Readonly for non-authorized writes. If adding a consumable value to M0 such that only keys 1-2 can update it, and keys 0, and 3-N cannot:
• the Write function is called to write the amount of consumable to M
• SetPermission is called for 0 to set that part of M0 to be DecrementOnly for non-authorized writes. This allows the amount of consumable to decrement.
• SetPermission is called for n = {T, T+3, T+4 ..., T+N-1} to set that part of M0 to be Readonly for authorized writes using all but keys 1 and 2. This leaves keys 1 and 2 with ReadWrite permissions to M0.
It is possible for someone who knows a key to further restrict other keys, but it is not in anyone's interest to do so.
5.5 PROGRAMMING K In this case, we have a factory chip (ChipF) connected to a System. The System wants to program the key in another chip (ChipP). System wants to avoid passing the new key to ChipP in the clear, and also wants to avoid the possibility of the key-upgrade message being replayed on another ChipP (even if the user doesn't know the key).
The protocol assumes that ChipF and ChipP already share (directly or indirectly) a secret key K0|d. This key is used to ensure that only a chip that knows K0|d can set Kw
Although the example shows a ChipF that is only allowed to program a specific number of ChipPs, the key-upgrade protocol can be easily altered (similar to the way the write protocols have variants) to provide other means of limiting the ability to update ChipPs.
The protocol requires the following publicly available functions in ChipP: Randomrj Returns R (does not advance R). ReplaceKey[n, X, Y, Z] Replaces Kn by SKn[R|X|C3]θY, advances R, and returns 1 only if SKn[X|Y|C3] = Z. Otherwise returns 0. The time taken to calculate signatures and compare values must be identical for all inputs.
And the following data and functions in ChipF: CountRemaining Part of M0 with contains the number of signatures that ChipF is allowed to generate. Decrements with each successful call to GetProgramKey. Permissions in P for this part of M0 needs to be Readonly once ChipF has been setup. Therefore can only be updated by a ChipS that has authority to perform updates to that part of M0. Knew The new key to be transferred from ChipF to ChipP. Must not be visible. After manufacture, Knew is 0.
SetPartialKey[X] Updates Knew to be KnewΘX. This function allows Kπew to be programmed in any number of steps, thereby allowing different people or systems to know different parts of the key (but not the whole Knew). Knew is stored in ChipF's flash memory.
In addition, ChipF requires either of the following GetProgramKey functions depending on whether direct or indirect key storage is used on the input key and/or output key (see direct vs indirect authenticated write protocols in Section 5.4.2): GetProgramKeyl [n, X] Direct to direct. Used when the same key (Kn) is directly stored in both ChipF and ChipP and we want to store Knew in ChipP. Advances RF, decrements CountRemaining, outputs RF, the encrypted key Sκn[X|RF|C3]ΘKnew and a signature of the first two outputs plus C3 if CountRemaining>0. Otherwise outputs 0. The time to calculate the encrypted key & signature must be identical for all inputs. GetProgramKey2[f, n, X] Direct to indirect. Used when the same key (Kn) is directly stored in both ChipF and ChipP but we want to store fp(Knew) in ChipP instead of simply Knew (i.e. we want to keep the key in ChipP to be different in all ChipPs). In this case ChipP's Kn-ι = ChipF's fP(Kn2). The function is identical to GetProgramKeyl , except that it additionally accepts fP, and returns SKn[X|RF|C3]0fp(Knew) instead of SKΠ[X|RFIC3] ΦKnew Note that the produced signature is produced using Kn since that is what is already stored in ChipP.
GetProgramKey3[f, n, X] Indirect to direct. Used when the same key is not directly stored in both ChipF and ChipP but we want to store Knew in ChipP. In this case ChipP's Kn1 = ChipF's fP(Kn2). The function is identical to GetProgramKeyl , except that it additionally accepts fP, and returns Sfp(κn)[X|RF|C3]ΘKnew instead of Sκn[X|RF|C3]®Knew. The produced signature is produced using fP(Kn) instead of Kn since that is what is already stored in ChipP. GetProgramKey4[f, n, X] Indirect to indirect. Used when the same key is not directly stored in both ChipF and ChipP but we want to store fP(Kne ) in ChipP instead of simply Knew(i-e. we want to keep the key in ChipP to be different in all ChipPs). In this case ChipP's Kn1 = ChipF's fP(Kn2). The function is identical to GetProgramKey3, except that it returns SfP(κn)[X|RF|C3]θfp(Kne ) instead of SfP(Kn)[X|RF|C3]ΘKnew The produced signature is produced using fP(Kn) since that is what is already stored in ChipP.
Since there are likely to be few ChipFs, and many ChipPs, the indirect forms of GetProgramKey can be usefully employed.
5.5.1 GetProgramKeyl - direct to direct
With the "old key = direct, new key = direct" form of GetProgramKey, to update P's key : a. System calls ChipP's Random function; b. ChipP returns RP to System; c. System calls ChipF's GetProgramKey function, passing in n2 (the desired key to use) and the result from b; d. ChipF updates RF, then calculates and returns RF, Sκn2[RP|RF|C3]ΘKπew, and Sκn2-RF|Sκn2[Rp|RF|C3]ΘKπew|C3]; e. If the response from d is not 0, System calls ChipP's ReplaceKey function, passing in n1 (the key to use in ChipP) and the response from d; f. System checks response from ChipP. If the response is 1 , then ChipP's Knι has been correctly updated to Knew. If the response is 0, ChipP's Kn1 has not been updated.
The choice of n1 and n2 must be such that ChipP's Kn1 = ChipF's Kn2.
The data flow for key updates is shown in Figure 333:
Note that Knew is never passed in the open. An attacker could send its own RP, but cannot produce SKΠ2[RP|RF[C3] without Kπ2. The signature based on Knew is sent to ensure that ChipP will be able to determine if either of the first two parameters have been changed en route.
CountRemaining needs to be setup in MF0 (including making it Readonly in P) before ChipF is programmed with KP. ChipF should therefore be programmed to only perform a limited number of GetProgramKey operations (thereby limiting compromise exposure if a ChipF is stolen). An authorized ChipS can be used to update this counter if necessary (see Section 5.4.2 on page 637).
5.5.2 GetProgramKey2 - direct to indirect
With the "old key = direct, new key = indirect" form of GetProgramKey, to update P's key, the System must extract f from ChipP (so it can tell ChipF how to generate the correct key) by performing the following tasks: a. System calls ChipP's Read function, passing in (dontCare, 1 , dontCare) b. ChipP returns M-i, from which System can extract fP c. System stores fP for future use
ChipP's key is updated as follows: a. System calls ChipP's Random function; b. ChipP returns RP to System; c. System calls ChipF's GetProgramKey function, passing in fP, n2 (the desired key to use) and the result from b; d. ChipF updates RF, then calculates and returns RF, Sκn2[RP|RF|C3]θfP(Knew), and SKπ2[RF|Sκn2[RP|RF|C3]θfP(Knew)|C3]; e. If the response from d is not 0, System calls ChipP's ReplaceKey function, passing in n1 (the key to use in ChipP) and the response from d; f. System checks response from ChipP. If the response is 1 , then ChipP's Knι has been correctly updated to fP(Knew). If the response is 0, ChipP's Kn has not been updated.
The choice of n1 and n2 must be such that ChipP's Kn1 = ChipF's Kn2. 5.5.3 GetProgramKey3 - indirect to direct
With the "old key = indirect, new key = direct" form of GetProgramKey, to update P's key, the System must extract f from ChipP (so it can tell ChipF how to generate the correct key) by performing the following tasks: a. System calls ChipP's Read function, passing in (dontCare, 1 , dontCare) b. ChipP returns Mi, from which System can extract fP c. System stores fP for future use
ChipP's key is updated as follows: a. System calls ChipP's Random function; b. ChipP returns RP to System; c. System calls ChipF's GetProgramKey function, passing in fP, n2 (the desired key to use) and the result from b; d. ChipF updates RF, then calculates and returns RF,
Figure imgf000651_0001
and SfP(Kn2)[ F|SfP(Kn2)[Rp| F|C3]ΘKπew|C3]; e. If the response from d is not 0, System calls ChipP's ReplaceKey function, passing in n1 (the key to use in ChipP) and the response from d; f. System checks response from ChipP. If the response is 1 , then ChipP's Knι has been correctly updated to Knew- If the response is 0, ChipP's Kn1 has not been updated. The choice of n1 and n2 must be such that ChipP's Knι = ChipF's fP(Kn2).
5.5.4 GetProgramKey4 - indirect to indirect
With the "old key = indirect, new key = indirect" form of GetProgramKey, to update P's key, the System must extract f from ChipP (so it can tell ChipF how to generate the correct key) by performing the following tasks: a. System calls ChipP's Read function, passing in (dontCare, 1 , dontCare) b. ChipP returns Mi, from which System can extract fP c. System stores fP for future use
ChipP's key is updated as follows: a. System calls ChipP's Random function; b. ChipP returns RP to System; c. System calls ChipF's GetProgramKey function, passing in fP, n2 (the desired key to use) and the result from b; d. ChipF updates RF, then calculates and returns RF, Sfp(κn2)[Rp|RF|C3]Θfp(Knew), and SfP(Kn2)[RF|SfP(Kn2)[Rp|RF|C3]®fP(Knew)|C3]; e. If the response from d is not 0, System calls ChipP's ReplaceKey function, passing in n1 (the key to use in ChipP) and the response from d; f. System checks response from ChipP. If the response is 1 , then ChipP's Knι has been correctly updated to fP(Knew)- If the response is 0, ChipP's Kπι has not been updated. The choice of n1 and n2 must be such that ChipP's Knι = ChipF's fP(Kn2).
5.5.5 Chicken and Egg
The Program Key protocol requires both ChipF and ChipP to know K0|d (either directly or indirectly). Obviously both chips had to be programmed in some way with K0|d, and thus K0id can be thought of as an older Knew: Koid can be placed in chips if another ChipF knows K0|der, and so on.
Although this process allows a chain of reprogramming of keys, with each stage secure, at some stage the very first key (Kflrst) must be placed in the chips. Kfirst is in fact programmed with the chip's microcode at the manufacturing test station as the last step in manufacturing test. Kflrst can be a manufacturing batch key, changed for each batch or for each customer etc., and can have as short a life as desired. Compromising Kfirst need not result in a complete compromise of the chain of Ks. This is especially true if Kflrst is indirectly stored in ChipPs (i.e. each ChipP holds an f and f(Kfjrst) instead of Kflrst directly). One example is where Kflrst (the key stored in each chip after manufacture/test) is a batch key, and can be different per chip. Kflrst may advance to a ComCo specific KseCond etc. but still remain indirect. A direct form (e.g. Kflπaι) only needs to go in if it is actually required at the end of the programming chain.
Depending on reprogramming requirements, Kflrst can be the same or different for all Kn.
6 Memjet forms of Protocols •
Physical QA Chips are used in Memjet printer systems to store printer operating parameters as well as consumable parameters.
6.1 PRINTER_QA A PRINTER_QA is stored within each print engine to perform two primary tasks:
• storage and protection of operating parameters
• a means of indirect read validation of other QA Chip data vectors Each PRINTER_QA contains the following keys: Table 229. Keys in PrinterQA
Figure imgf000653_0001
Note that if multiple Print Engine Controllers are used (e.g. a multiple SoPEC system), then multiple PnntEngineController Read Validation Keys are required. These keys can be stored within a single PRINTER_QA (e.g. in K3 and beyond), or can be stored in separate PRINTER_QAs (for example each SoPEC (or group of SoPECs) has an individual PRINTER_QA).
The functions required in the PRINTER_QA are:
• Random, ReplaceKey, to allow key programming & substitution
• Read, to allow reads of data
• Write, to allow updates of Mι+ during manufacture
• WriteAuth, to provide a means of updating the M0 data (operating parameters) • SetPermissions, to provide a means of updating write permissions
• Test, to provide a means of checking if consumable reads are valid
• Translate, to provide a means of indirect reading of consumable data
6.2 CONSUMABLE_QA
A CONSUMABLE_QA is stored with each consumable (e.g. ink cartridge) to perform two primary tasks:
• storage of consumable related data
• protection of consumable amount remaining
Each CONSUMABLE_QA contains the following keys: Table 230. Keys in CONSUMABLE_QA
Figure imgf000654_0001
The functions required in the CONSUMABLE_QA are: Random, ReplaceKey, to allow key programming & substitution Read, to allow reads of data Write, to allow updates of Mι+ during manufacture WriteAuth, to provide a means of updating the M0 data (consumable remaining) SetPermissions, to provide a means of updating write permissions AUTHENTICATION OF CONSUMABLES
1 Introduction
Manufacturers of systems that require consumables (such as a laser printer that requires toner cartridges) have struggled with the problem of authenticating consumables, to varying levels of success. Most have resorted to specialized packaging that involves a patent. However this does not stop home refill operations or clone manufacture in countries with weak industrial property protection. The prevention of copying is important to prevent poorly manufactured substitute consumables from damaging the base system. For example, poorly filtered ink may clog print nozzles in an ink jet printer, causing the consumer to blame the system manufacturer and not admit the use of non-authorized consumables.
To solve the authentication problem, this document describes an QA Chip that contains authentication keys and circuitry specially designed to prevent copying. The chip is manufactured using the standard Flash memory manufacturing process, and is low cost enough to be included in consumables such as ink and toner cartridges. The implementation is approximately 1mm2 in a 0.25 micron flash process, and has an expected manufacturing cost of approximately 10 cents in 2003.
2 NSA
Once programmed, the QA Chips as described here are compliant with the NSA export guidelines since they do not constitute a strong encryption device. They can therefore be practically manufactured in the USA (and exported) or anywhere else in the world.
3 Nomenclature
The following symbolic nomenclature is used throughout this document: Table 231. Summary of symbolic nomenclature
Figure imgf000655_0001
Figure imgf000656_0001
4 PSEUDOCODE
4.1.1 Asynchronous The following pseudocode: var = expression means the var signal or output is equal to the evaluation of the expression.
4.1.2 Synchronous The following pseudocode: var <— expression means the var register is assigned the result of evaluating the expression during this cycle. 4.1.3 Expression
Expressions are defined using the nomenclature in Table 231 above. Therefore: var = (a = b) is interpreted as the var signal is 1 if a is equal to b, and 0 otherwise.
4.2 DIAGRAMS Black is used to denote data, and red to denote 1-bit control-signal lines.
4.3 QA CHIP TERMINOLOGY
This document refers to QA Chips by their function in particular protocols:
• For authenticated reads, ChipA is the QA Chip being authenticated, and ChipT is the QA Chip that is trusted. • For replacement of keys, ChipP is the QA Chip being programmed with the new key, and ChipF is the factory QA Chip that generates the message to program the new key.
• For upgrades of data in a QA Chip, ChipU is the QA Chip being upgraded, and ChipS is the QA Chip that signs the upgrade value.
Any given physical QA Chip will contain functionality that allows it to operate as an entity in some number of these protocols. Therefore, wherever the terms ChipA, ChipT, ChipP, ChipF, ChipU and ChipS are used in this document, they are referring to logical entities involved in an authentication protocol as defined in subsequent sections.
Physical QA Chips are referred to by their location. For example, each ink cartridge may contain a QA Chip referred to as an INK_QA, with all INK_QA chips being on the same physical bus. In the same way, the QA Chip inside a printer is referred to as PRINTER_QA, and will be on a separate bus to the INK_QA chips.
5 Concepts and Terms
This chapter provides a background to the problem of authenticating consumables. For more in- depth introductory texts, see [12], [78], and [56].
5.1 BASIC TERMS A message, denoted by M, is plaintext. The process of transforming M into ciphertext C, where the substance of M is hidden, is called encryption. The process of transforming C back into M is called decryption. Referring to the encryption function as E, and the decryption function as D, we have the following identities:
E[M = C D[C] = M
Therefore the following identity is true: D[E[M]] = M
5.2 SYMMETRIC CRYPTOGRAPHY
A symmetric encryption algorithm is one where: • the encryption function E relies on key Ki,
• the decryption function D relies on key K2,
• K2 can be derived from Ki, and
• Ki can be derived from K2.
In most symmetric algorithms, Ki equals K2. However, even if Kt does not equal K2, given that one key can be derived from the other, a single key K can suffice for the mathematical definition. Thus:
EK[M\ = C DK[C] = M The security of these algorithms rests very much in the key K. Knowledge of K allows anyone to encrypt or decrypt. Consequently K must remain a secret for the duration of the value of M. For example, M may be a wartime message "My current position is grid position 123-456". Once the war is over the value of M is greatly reduced, and if K is made public, the knowledge of the combat unit's position may be of no relevance whatsoever. Of course if it is politically sensitive for the combat unit's position to be known even after the war, K may have to remain secret for a very long time.
An enormous variety of symmetric algorithms exist, from the textbooks of ancient history through to sophisticated modern algorithms. Many of these are insecure, in that modern cryptanalysis techniques (see Section 5.7 on page 673) can successfully attack the algorithm to the extent that K can be derived.
The security of the particular symmetric algorithm is a function of two things: the strength of the algorithm and the length of the key [78].
The strength of an algorithm is difficult to quantify, relying on its resistance to cryptographic attacks (see Section 5.7 on page 673). In addition, the longer that an algorithm has remained in the public eye, and yet remained unbroken in the midst of intense scrutiny, the more secure the algorithm is likely to be. By contrast, a secret algorithm that has not been scrutinized by cryptographic experts is unlikely to be secure.
Even if the algorithm is "perfectly" strong (the only way to break it is to try every key - see Section 5.7.1.5 on page 674), eventually the right key will be found. However, the more keys there are, the more keys have to be tried. If there are N keys, it will take a maximum of N tries. If the key is N bits long, it will take a maximum of 2N tries, with a 50% chance of finding the key after only half the attempts (2N"1). The longer N becomes, the longer it will take to find the key, and hence the more secure it is. What makes a good key length depends on the value of the secret and the time for which the secret must remain secret as well as available computing resources.
In 1996, an ad hoc group of world-renowned cryptographers and computer scientists released a report [9] describing minimal key lengths for symmetric ciphers to provide adequate commercial security. They suggest an absolute minimum key length of 90 bits in order to protect data for 20 years, and stress that increasingly, as cryptosystems succumb to smarter attacks than brute-force key search, even more bits may be required to account for future surprises in cryptanalysis techniques. We will ignore most historical symmetric algorithms on the grounds that they are insecure, especially given modern computing technology. Instead, we will discuss the following algorithms: DES • Blowfish • RC5 IDEA 5.2.1 DES
DES (Data Encryption Standard) [26] is a US and international standard, where the same key is used to encrypt and decrypt. The key length is 56 bits. It has been implemented in hardware and software, although the original design was for hardware only. The original algorithm used in DES was patented in 1976 (US patent number 3,962,539) and has since expired. During the design of DES, the NSA (National Security Agency) provided secret S-boxes to perform the key-dependent nonlinear transformations of the data block. After differential cryptanalysis was discovered outside the NSA, it was revealed that the DES S-boxes were specifically designed to be resistant to differential cryptanalysis.
As described in [95], using 1993 technology, a 56-bit DES key can be recovered by a custom- designed $1 million machine performing a brute force attack in only 35 minutes. For $10 million, the key can be recovered in only 3.5 minutes. DES is clearly not secure now, and will become less so in the future. A variant of DES, called triple-DES is more secure, but requires 3 keys: K,, K2, and K3. The keys are used in the following manner:
EK3[DK2[EKl[M]]l = C DK3[EK2[DKl[C]]] = M
The main advantage of triple-DES is that existing DES implementations can be used to give more security than single key DES. Specifically, triple-DES gives protection of equivalent key length of
112 bits [78]. Triple-DES does not give the equivalent protection of a 168-bit key (3 x 56) as one might naively expect.
Equipment that performs triple-DES decoding and/or encoding cannot be exported from the United
States. 5.2.2 Blowfish
Blowfish is a symmetric block cipher first presented by Schneier in 1994 [76]. It takes a variable length key, from 32 bits to 448 bits, is unpatented, and is both license and royalty free. In addition, it is much faster than DES.
The Blowfish algorithm consists of two parts: a key-expansion part and a data-encryption part. Key expansion converts a key of at most 448 bits into several subkey arrays totaling 4168 bytes. Data encryption occurs via a 16-round Feistel network. All operations are XORs and additions on 32-bit words, with four index array lookups per round.
It should be noted that decryption is the same as encryption except that the subkey arrays are used in the reverse order. Complexity of implementation is therefore reduced compared to other algorithms that do not have such symmetry.
[77] describes the published attacks which have been mounted on Blowfish, although the algorithm remains secure as of February 1998 [79]. The major finding with these attacks has been the discovery of certain weak keys. These weak keys can be tested for during key generation. For more information, refer to [77] and [79]. 5.2.3 RC5
Designed by Ron Rivest in 1995, RC5 [74] has a variable block size, key size, and number of rounds. Typically, however, it uses a 64-bit block size and a 128-bit key.
The RC5 algorithm consists of two parts: a key-expansion part and a data-encryption part. Key expansion converts a key into 2r+2 subkeys (where r = the number of rounds), each subkey being w bits. For a 64-bit blocksize with 16 rounds (w=32, r=16), the subkey arrays total 136 bytes. Data encryption uses addition mod 2W, XOR and bitwise rotation.
An initial examination by Kaliski and Yin [43] suggested that standard linear and differential cryptanalysis appeared impractical for the 64-bit blocksize version of the algorithm. Their differential attacks on 9 and 12 round RC5 require 245 and 262 chosen plaintexts respectively, while the linear attacks on 4, 5, and 6 round RC5 requires 237, 247 and 257 known plaintexts). These two attacks are independent of key size.
More recently however, Knudsen and Meier [47] described a new type of differential attack on RC5 that improved the earlier results by a factor of 128, showing that RC5 has certain weak keys.
RC5 is protected by multiple patents owned by RSA Laboratories. A license must be obtained to use it.
5.2.4 IDEA
Developed in 1990 by Lai and Massey [53], the first incarnation of the IDEA cipher was called PES.
After differential cryptanalysis was discovered by Biham and Shamir in 1991 , the algorithm was strengthened, with the result being published in 1992 as IDEA [52]. IDEA uses 128-bit keys to operate on 64-bit plaintext blocks. The same algorithm is used for encryption and decryption. It is generally regarded as the most secure block algorithm available today [78][78].
The biggest drawback of IDEA is the fact that it is patented (US patent number 5,214,703, issued in
1993), and a license must be obtained from Ascom Tech AG (Bern) to use it.
5.3 ASYMMETRIC CRYPTOGRAPHY
An asymmetric encryption algorithm is one where: the encryption function E relies on key Ki
• the decryption function D relies on key K2,
• K2 cannot be derived from Ki in a reasonable amount of time, and
• Ki cannot be derived from K2 in a reasonable amount of time. Thus:
EKl [M = C DK2[C] = M
These algorithms are also called public-key because one key Ki can be made public. Thus anyone can encrypt a message (using K|) but only the person with the corresponding decryption key (K2) can decrypt and thus read the message. In most cases, the following identity also holds:
EK2[M\ = C DKl[C] = M
This identity is very important because it implies that anyone with the public key K, can see M and know that it came from the owner of K2. No-one else could have generated C because to do so would imply knowledge of K2. This gives rise to a different application, unrelated to encryption - digital signatures.
The property of not being able to derive Ki from K2 and vice versa in a reasonable time is of course clouded by the concept of reasonable time. What has been demonstrated time after time, is that a calculation that was thought to require a long time has been made possible by the introduction of faster computers, new algorithms etc. The security of asymmetric algorithms is based on the difficulty of one of two problems: factoring large numbers (more specifically large numbers that are the product of two large primes), and the difficulty of calculating discrete logarithms in a finite field. Factoring large numbers is conjectured to be a hard problem given today's understanding of mathematics. The problem however, is that factoring is getting easier much faster than anticipated. Ron Rivest in 1977 said that factoring a 125-digit number would take 40 quadrillion years [30]. In 1994 a 129-digit number was factored [3]. According to Schneier, you need a 1024-bit number to get the level of security today that you got from a 512-bit number in the 1980s [78]. If the key is to last for some years then 1024 bits may not even be enough. Rivest revised his key length estimates in 1990: he suggests 1628 bits for high security lasting until 2005, and 1884 bits for high security lasting until 2015 [69]. Schneier suggests 2048 bits are required in order to protect against corporations and governments until 2015 [80]. Public key cryptography was invented in 1976 by Diffie and Hellman [15][15], and independently by
Merkle [57]. Although Diffie, Hellman and Merkle patented the concepts (US patent numbers
4,200,770 and 4,218,582), these patents expired in 1997.
A number of public key cryptographic algorithms exist. Most are impractical to implement, and many generate a very large C for a given M or require enormous keys. Still others, while secure, are far too slow to be practical for several years. Because of this, many public key systems are hybrid - a public key mechanism is used to transmit a symmetric session key, and then the session key is used for the actual messages.
All of the algorithms have a problem in terms of key selection. A random number is simply not secure enough. The two large primes p and q must be chosen carefully - there are certain weak combinations that can be factored more easily (some of the weak keys can be tested for). But nonetheless, key selection is not a simple matter of randomly selecting 1024 bits for example.
Consequently the key selection process must also be secure.
Of the practical algorithms in use under public scrutiny, the following are discussed: • RSA DSA
• EIGamal
5.3.1 RSA
The RSA cryptosystem [75], named after Rivest, Shamir, and Adleman, is the most widely used public key cryptosystem, and is a de facto standard in much of the world [78].
The security of RSA depends on the conjectured difficulty of factoring large numbers that are the product of two primes (p and q). There are a number of restrictions on the generation of p and q.
They should both be large, with a similar number of bits, yet not be close to one another (otherwise p ≡ q ≡ Vpq). In addition, many authors have suggested that p and q should be strong primes [56]. The Hellman-Bach patent (US patent number 4,633,036) covers a method for generating strong
RSA primes p and q such that n = pq and factoring n is believed to be computationally infeasible.
The RSA algorithm patent was issued in 1983 (US patent number 4,405,829). The patent expires on September 20, 2000.
5.3.2 DSA DSA (Digital Signature Algorithm) is an algorithm designed as part of the Digital Signature Standard (DSS) [29]. As defined, it cannot be used for generalized encryption. In addition, compared to RSA, DSA is 10 to 40 times slower for signature verification [40]. DSA explicitly uses the SHA-1 hashing algorithm (see Section 5.5.3.3 on page 667). DSA key generation relies on finding two primes p and q such that qf divides p-1. According to Schneier [78], a 1024-bit p value is required for long term DSA security. However the DSA standard [29] does not permit values of p larger than 1024 bits (p must also be a multiple of 64 bits). The US Government owns the DSA algorithm and has at least one relevant patent (US patent 5,231 ,688 granted in 1993). However, according to NIST [61]: 'Tne DSA patent and any foreign counterparts that may issue are available for use without any written permission from or any payment of royalties to the U.S. government. "
In a much stronger declaration, NIST states in the same document [61] that DSA does not infringe third party's rights: "NIST reviewed all of the asserted patents and concluded that none of them would be infringed by DSS. Extra protection will be written into the PK1 pilot project that will prevent an organization or individual from suing anyone except the government for patent infringement during the course of the project. " It must however, be noted that the Schnorr authentication algorithm [81] (US patent 4,995,082) patent holder claims that DSA infringes his patent. The Schnorr patent is not due to expire until 2008. 5.3.3 EIGamal
The EIGamal scheme [22][22] is used for both encryption and digital signatures. The security is based on the conjectured difficulty of calculating discrete logarithms in a finite field. Key selection involves the selection of a prime p, and two random numbers g and x such that both g and x are less than p. Then calculate y = gx mod p. The public key is y, g, and p. The private key is x.
EIGamal is unpatented. Although it uses the patented Diffie-Hellman public key algorithm [15][15], those patents expired in 1997. EIGamal public key encryption and digital signatures can now be safely used without infringing third party patents.
5.4 CRYPTOGRAPHIC CHALLENGE-RESPONSE PROTOCOLS AND ZERO KNOWLEDGE PROOFS The general principle of a challenge-response protocol is to provide identity authentication. The simplest form of challenge-response takes the form of a secret password. A asks B for the secret password, and if B responds with the correct password, A declares B authentic.
There are three main problems with this kind of simplistic protocol. Firstly, once B has responded with the password, any observer C will know what the password is. Secondly, A must know the password in order to verify it. Thirdly, if C impersonates A, then B will give the password to C (thinking C was A), thus compromising the password.
Using a copyright text (such as a haiku) as the password is not sufficient, because we are assuming that anyone is able to copy the password (for example in a country where intellectual property is not respected). The idea of cryptographic challenge-response protocols is that one entity (the claimant) proves its identity to another (the verifier) by demonstrating knowledge of a secret known to be associated with that entity, without revealing the secret itself to the verifier during the protocol [56]. In the generalized case of cryptographic challenge-response protocols, with some schemes the verifier knows the secret, while in others the secret is not even known by the verifier. A good overview of these protocols can be found in [25], [78], and [56].
Since this documentation specifically concerns Authentication, the actual cryptographic challenge- response protocols used for authentication are detailed in the appropriate sections. However the concept of Zero Knowledge Proofs bears mentioning here.
The Zero Knowledge Proof protocol, first described by Feige, Fiat and Shamir in [24] is extensively used in Smart Cards for the purpose of authentication [34][34][34]. The protocol's effectiveness is based on the assumption that it is computationally infeasible to compute square roots modulo a large composite integer with unknown factorization. This is provably equivalent to the assumption that factoring large integers is difficult.
It should be noted that there is no need for the claimant to have significant computing power. Smart cards implement this kind of authentication using only a few modulo multiplications [34][34].
Finally, it should be noted that the Zero Knowledge Proof protocol is patented [82] (US patent 4,748,668, issued May 31 , 1988).
5.5 ONE-WAY FUNCTIONS
A one-way function F operates on an input X, and returns F[X] such that X cannot be determined from F[X]. When there is no restriction on the format of X, and F[X] contains fewer bits than X, then collisions must exist. A collision is defined as two different X input values producing the same F[X] value - i.e. Xi and X2 exist such that Xi ≠ X2 yet F[Xι] = F[X2].
When X contains more bits than F[X], the input must be compressed in some way to create the output. In many cases, X is broken into blocks of a particular size, and compressed over a number of rounds, with the output of one round being the input to the next. The output of the hash function is the last output once X has been consumed. A pseudo-collision of the compression function CF is defined as two different initial values Vi and V2 and two inputs Xi and X2 (possibly identical) are given such that CF(Vι, Xi) = CF(V2, X2). Note that the existence of a pseudo-collision does not mean that it is easy to compute an X2 for a given Xn. We are only interested in one-way functions that are fast to compute. In addition, we are only interested in deterministic one-way functions that are repeatable in different implementations. Consider an example F where F[X] is the time between calls to F. For a given F[X] X cannot be determined because X is not even used by F. However the output from F will be different for different implementations. This kind of F is therefore not of interest.
In the scope of this document, we are interested in the following forms of one-way functions:
• Encryption using an unknown key
• Random number sequences • Hash Functions
• Message Authentication Codes
5.5.1 Encryption using an unknown key
When a message is encrypted using an unknown key K, the encryption function E is effectively one-way. Without the key, it is computationally infeasible to obtain M from EK[M] without K. An encryption function is only one-way for as long as the key remains hidden.
An encryption algorithm does not create collisions, since E creates EK[M] such that it is possible to reconstruct M using function D. Consequently F[X] contains at least as many bits as X (no information is lost) if the one-way function F is E.
Symmetric encryption algorithms (see Section 5.2 on page 656) have the advantage over asymmetric algorithms (see Section 5.3 on page 659) for producing one-way functions based on encryption for the following reasons: • The key for a given strength encryption algorithm is shorter for a symmetric algorithm than an asymmetric algorithm
• Symmetric algorithms are faster to compute and require less software or silicon Note however, that the selection of a good key depends on the encryption algorithm chosen. Certain keys are not strong for particular encryption algorithms, so any key needs to be tested for strength. The more tests that need to be performed for key selection, the less likely the key will remain hidden.
5.5.2 Random number sequences
Consider a random number sequence R0, Ri, ..., R,-, RM. We define the one-way function F such that F[X] returns the Xth random number in the random sequence. However we must ensure that F[X] is repeatable for a given X on different implementations. The random number sequence therefore cannot be truly random. Instead, it must be pseudo-random, with the generator making use of a specific seed.
There are a large number of issues concerned with defining good random number generators. Knuth, in [48] describes what makes a generator "good" (including statistical tests), and the general problems associated with constructing them. Moreau gives a high level survey of the current state of the field in [60].
The majority of random number generators produce the h random number from the th state - the only way to determine the h number is to iterate from the 0th number to the h. If / is large, it may not be practical to wait for / iterations.
However there is a type of random number generator that does allow random access. In [10], Blum, Blum and Shub define the ideal generator as follows: "... we would like a pseudo-random sequence generator to quickly produce, from short seeds, long sequences (of bits) that appear in every way to be generated by successive flips of a fair coin". They defined the x2 mod n generator [10], more commonly referred to as the BBS generator. They showed that given certain assumptions upon which modern cryptography relies, a BBS generator passes extremely stringent statistical tests.
The BBS generator relies on selecting n which is a Blum integer (n = pq where p and q are large prime numbers, p ≠ q, p mod 4 = 3, and q mod 4 = 3). The initial state of the generator is given by o where x0 = x2 mod n, and x is a random integer relatively prime to n. The /h pseudo-random bit is the least significant bit of x where:
*/ - l mod n
As an extra property, knowledge of p and q allows a direct calculation of the h number in the sequence as follows: xt = XQ mod n where y = 2' mod ((p - l)(q - 1 ))
Without knowledge of p and q, the generator must iterate (the security of calculation relies on the conjectured difficulty of factoring large numbers).
When first defined, the primary problem with the BBS generator was the amount of work required for a single output bit. The algorithm was considered too slow for most applications. However the advent of Montgomery reduction arithmetic [58] has given rise to more practical implementations, such as [59]. In addition, Vazirani and Vazirani have shown in [93] that depending on the size of n, more bits can safely be taken from Xj without compromising the security of the generator.
Assuming we only take 1 bit per x;, N bits (and hence N iterations of the bit generator function) are needed in order to generate an N-bit random number. To the outside observer, given a particular set of bits, there is no way to determine the next bit other than a 50/50 probability. If the x, p and q are hidden, they act as a key, and it is computationally infeasible to take an output bit stream and compute x, p, and q. It is also computationally infeasible to determine the value of / used to generate a given set of pseudo-random bits. This last feature makes the generator one-way.
Different values of /can produce identical bit sequences of a given length (e.g. 32 bits of random bits). Even if x, p and q are known, for a given F[/], / can only be derived as a set of possibilities, not as a certain value (of course if the domain of / is known, then the set of possibilities is reduced further).
However, there are problems in selecting a good p and q, and a good seed x. In particular, Ritter in [68] describes a problem in selecting x. The nature of the problem is that a BBS generator does not create a single cycle of known length. Instead, it creates cycles of various lengths, including degenerate (zero-length) cycles. Thus a BBS generator cannot be initialized with a random state - it might be on a short cycle. Specific algorithms exist in section 9 of [10] to determine the length of the period for a given seed given certain strenuous conditions for n.
5.5.3 Hash functions
Special one-way functions, known as Hash functions, map arbitrary length messages to fixed- length hash values. Hash functions are referred to as H[M]. Since the input is of arbitrary length, a hash function has a compression component in order to produce a fixed length output. Hash functions also have an obfuscation component in order to make it difficult to find collisions and to determine information about M from H[M].
Because collisions do exist, most applications require that the hash algorithm is preimage resistant, in that for a given Xi it is difficult to find X2 such that H[Xι] = H[X2]. In addition, most applications also require the hash algorithm to be collision resistant (i.e. it should be hard to find two messages Xi and X2 such that HfX,] = H[X2]). However, as described in [20], it is an open problem whether a collision-resistant hash function, in the ideal sense, can exist at all. The primary application for hash functions is in the reduction of an input message into a digital "fingerprint" before the application of a digital signature algorithm. One problem of collisions with digital signatures can be seen in the following example. A has a long message Mi that says "I owe B $10". A signs HfM^ using his private key. B, being greedy, then searches for a collision message M2 where H[M2] = H[Mι] but where M2 is favorable to B, for example "I owe B $1 million". Clearly it is in A's interest to ensure that it is difficult to find such an M2.
Examples of collision resistant one-way hash functions are SHA-1 [28], MD5 [73] and RIPEMD-160 [66], all derived from MD4 [70][70].
δ.δ.3.1 MD4
Ron Rivest introduced MD4 [70][70] in 1990. It is only mentioned here because all other one-way hash functions are derived in some way from MD4.
MD4 is now considered completely broken [18][18] in that collisions can be calculated instead of searched for. In the example above, B could trivially generate a substitute message M2 with the same hash value as the original message Mi.
δ.δ.3.2 MDδ
Ron Rivest introduced MD5 [73] in 1991 as a more secure MD4. Like MD4, MD5 produces a 128- bit hash value. MD5 is not patented [80].
Dobbertin describes the status of MD5 after recent attacks [20]. He describes how pseudo- collisions have been found in MD5, indicating a weakness in the compression function, and more recently, collisions have been found. This means that MD5 should not be used for compression in digital signature schemes where the existence of collisions may have dire consequences. However MD5 can still be used as a one-way function. In addition, the HMAC-MD5 construct (see Section 5.5.4.1 on page 670) is not affected by these recent attacks.
δ.δ.3.3 SHA-1
SHA-1 [28] is very similar to MD5, but has a 160-bit hash value (MD5 only has 128 bits of hash value). SHA-1 was designed and introduced by the NIST and NSA for use in the Digital Signature Standard (DSS). The original published description was called SHA [27], but very soon afterwards, was revised to become SHA-1 [28], supposedly to correct a security flaw in SHA (although the NSA has not released the mathematical reasoning behind the change). There are no known cryptographic attacks against SHA-1 [78]. It is also more resistant to brute force attacks than MD4 or MD5 simply because of the longer hash result.
The US Government owns the SHA-1 and DSA algorithms (a digital signature authentication algorithm defined as part of DSS [29]) and has at least one relevant patent (US patent 5,231,688 granted in 1993). However, according to NIST [61]: "The DSA patent and any foreign counterparts that may issue are available for use without any written permission from or any payment of royalties to the U.S. government. "
In a much stronger declaration, NIST states in the same document [61] that DSA and SHA-1 do not infringe third party's rights: "NIST reviewed all of the asserted patents and concluded that none of them would be infringed by DSS. Extra protection will be written into the PK1 pilot project that will prevent an organization or individual from suing anyone except the government for patent infringement during the course of the project. "
It must however, be noted that the Schnorr authentication algorithm [81] (US patent number 4,995,082) patent holder claims that DSA infringes his patent. The Schnorr patent is not due to expire until 2008. Fortunately this does not affect SHA-1.
δ.δ.3.4 RIPEMD-160
RIPEMD-160 [66] is a hash function derived from its predecessor RIPEMD [11] (developed for the European Community's RIPE project in 1992). As its name suggests, RIPEMD-160 produces a 160-bit hash result. Tuned for software implementations on 32-bit architectures, RIPEMD-160 is intended to provide a high level of security for 10 years or more.
Although there have been no successful attacks on RIPEMD-160, it is comparatively new and has not been extensively cryptanalyzed. The original RIPEMD algorithm [11] was specifically designed to resist known cryptographic attacks on MD4. The recent attacks on MD5 (detailed in [20]) showed similar weaknesses in the RIPEMD 128-bit hash function. Although the attacks showed only theoretical weaknesses, Dobbertin, Preneel and Bosselaers further strengthened RIPEMD into a new algorithm RIPEMD-160.
RIPEMD-160 is in the public domain, and requires no licensing or royalty payments.
5.5.4 Message authentication codes The problem of message authentication can be summed up as follows: How can A be sure that a message supposedly from B is in fact from B?
Message authentication is different from entity authentication (described in the section on cryptographic challenge-response protocols). With entity authentication, one entity (the claimant) proves its identity to another (the verifier). With message authentication, we are concerned with making sure that a given message is from who we think it is from i.e. it has not been tampered with en route from the source to its destination. While this section has a brief overview of message authentication, a more detailed survey can be found in [88].
A one-way hash function is not sufficient protection for a message. Hash functions such as MD5 rely on generating a hash value that is representative of the original input, and the original input cannot be derived from the hash value. A simple attack by E, who is in-between A and B, is to intercept the message from B, and substitute his own. Even if A also sends a hash of the original message, E can simply substitute the hash of his new message. Using a one-way hash function alone, A has no way of knowing that B's message has been changed.
One solution to the problem of message authentication is the Message Authentication Code, or MAC.
When B sends message M, it also sends MAC[M] so that the receiver will know that M is actually from B. For this to be possible, only B must be able to produce a MAC of M, and in addition, A should be able to verify M against MAC[M]. Notice that this is different from encryption of M - MACs are useful when M does not have to be secret.
The simplest method of constructing a MAC from a hash function is to encrypt the hash value with a symmetric algorithm:
1. Hash the input message H[M]
2. Encrypt the hash EK[H[M]]
This is more secure than first encrypting the message and then hashing the encrypted message. Any symmetric or asymmetric cryptographic function can be used, with the appropriate advantages and disadvantage of each type described in Section 5.2 on page 656 and Section 5.3 on page 659.
However, there are advantages to using a key-dependent one-way hash function instead of techniques that use encryption (such as that shown above): • Speed, because one-way hash functions in general work much faster than encryption; • Message size, because Eκ[M] is at least the same size as M, while H[M] is a fixed size (usually considerably smaller than M);
• Hardware/software requirements - keyed one-way hash functions are typically far less complex than their encryption-based counterparts; and • One-way hash function implementations are not considered to be encryption or decryption devices and therefore are not subject to US export controls. It should be noted that hash functions were never originally designed to contain a key or to support message authentication. As a result, some ad hoc methods of using hash functions to perform message authentication, including various functions that concatenate messages with secret prefixes, suffixes, or both have been proposed [56][56]. Most of these ad hoc methods have been successfully attacked by sophisticated means [42][42][42]. Additional MACs have been suggested based on XOR schemes [8] and Toeplitz matrices [49] (including the special case of LFSR-based (Linear Feed Shift Register) constructions).
δ.δ.4.1 HMAC
The HMAC construction [6][6] in particular is gaining acceptance as a solution for Internet message authentication security protocols. The HMAC construction acts as a wrapper, using the underlying hash function in a black-box way. Replacement of the hash function is straightforward if desired due to security or performance reasons. However, the major advantage of the HMAC construct is that it can be proven secure provided the underlying hash function has some reasonable cryptographic strengths - that is, HMAC's strengths are directly connected to the strength of the hash function [6].
Since the HMAC construct is a wrapper, any iterative hash function can be used in an HMAC. Examples include HMAC-MD5, HMAC-SHA1 , HMAC-RIPEMD160 etc.
Given the following definitions: H = the hash function (e.g. MD5 or SHA-1 ) n = number of bits output from H (e.g. 160 for SHA-1 , 128 bits for MD5) M = the data to which the MAC function is to be applied K = the secret key shared by the two parties ipad = 0x36 repeated 64 times opad = 0x5C repeated 64 times
The HMAC algorithm is as follows: 1. Extend K to 64 bytes by appending 0x00 bytes to the end of K
2. XOR the 64 byte string created in (1 ) with ipad
3. append data stream M to the 64 byte string created in (2) 4. Apply H to the stream generated in (3)
5. XOR the 64 byte string created in (1 ) with opad
6. Append the H result from (4) to the 64 byte string resulting from (5)
7. Apply H to the output of (6) and output the result
Thus:
HMAC[M] = H[(K ® opad) | H[(K Θ ipad) | M]]
The recommended key length is at least n bits, although it should not be longer than 64 bytes (the length of the hashing block). A key longer than n bits does not add to the security of the function.
HMAC optionally allows truncation of the final output e.g. truncation to 128 bits from 160 bits.
The HMAC designers' Request for Comments [51] was issued in 1997, one year after the algorithm was first introduced. The designers claimed that the strongest known attack against HMAC is based on the frequency of collisions for the hash function H (see Section 14.10 on page 727), and is totally impractical for minimally reasonable hash functions: As an example, if we consider a hash function like MDδ where the output length is 128 bits, the attacker needs to acquire the correct message authentication tags computed (with the same secret key K) on about 264 known plaintexts. This would require the processing of at least 264 blocks under H, an impossible task in any realistic scenario (for a block length of 64 bytes this would take 260,000 years in a continuous 1 Gbps link, and without changing the secret key K all this time). This attack could become realistic only if serious flaws in the collision behavior of the function H are discovered (e.g. Collisions found after 230 messages). Such a discovery would determine the immediate replacement of function H (the effects of such a failure would be far more severe for the traditional uses ofH in the context of digital signatures, public key certificates etc).
Of course, if a 160-bit hash function is used, then 264 should be replaced with 280.
This should be contrasted with a regular collision attack on cryptographic hash functions where no secret key is involved and 264 off-line parallelizable operations suffice to find collisions.
More recently, HMAC protocols with replay prevention components [62] have been defined in order to prevent the capture and replay of any M, HMAC[M] combination within a given time period. Finally, it should be noted that HMAC is in the public domain [50], and incurs no licensing fees. There are no known patents infringed by HMAC.
5.6 RANDOM NUMBERS AND TIME VARYING MESSAGES The use of a random number generator as a one-way function has already been examined.
However, random number generator theory is very much intertwined with cryptography, security, and authentication.
There are a large number of issues concerned with defining good random number generators. Knuth, in [48] describes what makes a generator good (including statistical tests), and the general problems associated with constructing them. Moreau gives a high level survey of the current state of the field in [60].
One of the uses for random numbers is to ensure that messages vary over time. Consider a system where A encrypts commands and sends them to B. If the encryption algorithm produces the same output for a given input, an attacker could simply record the messages and play them back to fool B. There is no need for the attacker to crack the encryption mechanism other than to know which message to play to B (while pretending to be A). Consequently messages often include a random number and a time stamp to ensure that the message (and hence its encrypted counterpart) varies each time.
Random number generators are also often used to generate keys. Although Klapper has recently shown [45] that a family of secure feedback registers for the purposes of building key-streams does exist, he does not give any practical construction. It is therefore best to say at the moment that all generators are insecure for this purpose. For example, the Berlekamp-Massey algorithm [54], is a classic attack on an LFSR random number generator. If the LFSR is of length n, then only 2n bits of the sequence suffice to determine the LFSR, compromising the key generator.
If, however, the only role of tηe random number generator is to make sure that messages vary over time, the security of the generator and seed is not as important as it is for session key generation. If however, the random number seed generator is compromised, and an attacker is able to calculate future "random" numbers, it can leave some protocols open to attack. Any new protocol should be examined with respect to this situation.
The actual type of random number generator required will depend upon the implementation and the purposes for which the generator is used. Generators include Blum, Blum, and Shub [10], stream ciphers such as RC4 by Ron Rivest [71], hash functions such as SHA-1 [28] and RIPEMD-160 [66], and traditional generators such LFSRs (Linear Feedback Shift Registers) [48] and their more recent counterpart FCSRs (Feedback with Carry Shift Registers) [44].
5.7 ATTACKS This section describes the various types of attacks that can be undertaken to break an authentication cryptosystem. The attacks are grouped into physical and logical attacks.
Logical attacks work on the protocols or algorithms rather than their physical implementation, and attempt to do one of three things: • Bypass the authentication process altogether
• Obtain the secret key by force or deduction, so that any question can be answered
• Find enough about the nature of the authenticating questions and answers in order to, without the key, give the right answer to each question.
Regardless of the algorithms and protocol used by a security chip, the circuitry of the authentication part of the chip can come under physical attack. Physical attacks come in four main ways, although the form of the attack can vary:
• Bypassing the security chip altogether
• Physical examination of the chip while in operation (destructive and non-destructive) • Physical decomposition of chip
• Physical alteration of chip
The attack styles and the forms they take are detailed below.
This section does not suggest solutions to these attacks. It merely describes each attack type. The examination is restricted to the context of an authentication chip (as opposed to some other kind of system, such as Internet authentication) attached to some System.
5.7.1 Logical attacks These attacks are those which do not depend on the physical implementation of the cryptosystem. They work against the protocols and the security of the algorithms and random number generators.
δ.7.1.1 Ciphertext only attack
This is where an attacker has one or more encrypted messages, all encrypted using the same algorithm. The aim of the attacker is to obtain the plaintext messages from the encrypted messages. Ideally, the key can be recovered so that all messages in the future can also be recovered. δ.7.1.2 Known plaintext attack
This is where an attacker has both the plaintext and the encrypted form of the plaintext. In the case of an authentication chip, a known-plaintext attack is one where the attacker can see the data flow between the system and the authentication chip. The inputs and outputs are observed (not chosen by the attacker), and can be analyzed for weaknesses (such as birthday attacks or by a search for differentially interesting input/output pairs).
A known plaintext attack can be carried out by connecting a logic analyzer to the connection between the system and the authentication chip.
δ.7.1.3 Chosen plaintext attacks
A chosen plaintext attack describes one where a cryptanalyst has the ability to send any chosen message to the cryptosystem, and observe the response. If the cryptanalyst knows the algorithm, there may be a relationship between inputs and outputs that can be exploited by feeding a specific output to the input of another function.
The chosen plaintext attack is much stronger than the known plaintext attack since the attacker can choose the messages rather than simply observe the data flow.
On a system using an embedded authentication chip, it is generally very difficult to prevent chosen plaintext attacks since the cryptanalyst can logically pretend he/she is the system, and thus send any chosen bit-pattern streams to the authentication chip.
δ.7.1.4 Adaptive chosen plaintext attacks
This type of attack is similar to the chosen plaintext attacks except that the attacker has the added ability to modify subsequent chosen plaintexts based upon the results of previous experiments. This is certainly the case with any system / authentication chip scenario described for consumables such as photocopiers and toner cartridges, especially since both systems and consumables are made available to the public.
δ.7.1.6 Brute force attack
A guaranteed way to break any key-based cryptosystem algorithm is simply to try every key. Eventually the right one will be found. This is known as a brute force attack. However, the more key possibilities there are, the more keys must be tried, and hence the longer it takes (on average) to find the right one. If there are N keys, it will take a maximum of N tries. If the key is N bits long, it will take a maximum of 2N tries, with a 50% chance of finding the key after only half the attempts (2N"1). τ^e |onger f^j becomeSj the longer it will take to find the key, and hence the more secure the key is. Of course, an attack may guess the key on the first try, but this is more unlikely the longer the key is.
Consider a key length of 56 bits. In the worst case, all 256 tests (7.2 x 1016 tests) must be made to find the key. In 1977, Diffie and Hellman described a specialized machine for cracking DES, consisting of one million processors, each capable of running one million tests per second [17]. Such a machine would take 20 hours to break any DES code.
Consider a key length of 128 bits. In the worst case, all 2128 tests (3.4 x 1038 tests) must be made to find the key. This would take ten billion years on an array of a trillion processors each running 1 billion tests per second.
With a long enough key length, a brute force attack takes too long to be worth the attacker's efforts.
5.7.1.6 Guessing attack
This type of attack is where an attacker attempts to simply "guess" the key. As an attack it is identical to the brute force attack (see Section 5.7.1.5 on page 674) where the odds of success depend on the length of the key.
5.7.1.7 Quantum computer attack
To break an n-bit key, a quantum computer [83] (NMR, Optical, or Caged Atom) containing n qubits embedded in an appropriate algorithm must be built. The quantum computer effectively exists in 2" simultaneous coherent states. The trick is to extract the right coherent state without causing any decoherence. To date this has been achieved with a 2 qubit system (which exists in 4 coherent states). It is thought possible to extend this to 6 qubits (with 64 simultaneous coherent states) within a few years.
Unfortunately, every additional qubit halves the relative strength of the signal representing the key. This rapidly becomes a serious impediment to key retrieval, especially with the long keys used in cryptographically secure systems.
As a result, attacks on a cryptographically secure key (e.g. 160 bits) using a Quantum Computer are likely not to be feasible and it is extremely unlikely that quantum computers will have achieved more than 50 or so qubits within the commercial lifetime of the authentication chips. Even using a 50 qubit quantum computer, 2110 tests are required to crack a 160 bit key. δ.7.1.8 Purposeful error attack
With certain algorithms, attackers can gather valuable information from the results of a bad input.
This can range from the error message text to the time taken for the error to be generated.
A simple example is that of a userid/password scheme. If the error message usually says "Bad userid", then when an attacker gets a message saying "Bad password" instead, then they know that the userid is correct. If the message always says "Bad userid/password" then much less information is given to the attacker. A more complex example is that of the recent published method of cracking encryption codes from secure web sites [41]. The attack involves sending particular messages to a server and observing the error message responses. The responses give enough information to learn the keys - even the lack of a response gives some information.
An example of algorithmic time can be seen with an algorithm that returns an error as soon as an erroneous bit is detected in the input message. Depending on hardware implementation, it may be a simple method for the attacker to time the response and alter each bit one by one depending on the time taken for the error response, and thus obtain the key. Certainly in a chip implementation the time taken can be observed with far greater accuracy than over the Internet.
5.7.19 Birthday attack This attack is named after the famous "birthday paradox" (which is not actually a paradox at all). The odds of one person sharing a birthday with another, is 1 in 365 (not counting leap years). Therefore there must be 183 people in a room for the odds to be more than 50% that one of them shares your birthday. However, there only needs to be 23 people in a room for there to be more than a 50% chance that any two share a birthday, as shown in the following relation:
P z rob - ι 1 nPr - = ι1 365 j23" w 0.-5.0.7_ n 365
Birthday attacks are common attacks against hashing algorithms, especially those algorithms that combine hashing with digital signatures.
If a message has been generated and already signed, an attacker must search for a collision message that hashes to the same value (analogous to finding one person who shares your birthday). However, if the attacker can generate the message, the birthday attack comes into play. The attacker searches for two messages that share the same hash value (analogous to any two people sharing a birthday), only one message is acceptable to the person signing it, and the other is beneficial for the attacker. Once the person has signed the original message the attacker simply claims now that the person signed the alternative message - mathematically there is no way to tell which message was the original, since they both hash to the same value.
Assuming a brute force attack is the only way to determine a match, the weakening of an n-bit key by the birthday attack is 2π/2. A key length of 128 bits that is susceptible to the birthday attack has an effective length of only 64 bits.
δ.7.1.10 Chaining attack
These are attacks made against the chaining nature of hash functions. They focus on the compression function of a hash function. The idea is based on the fact that a hash function generally takes arbitrary length input and produces a constant length output by processing the input n bits at a time. The output from one block is used as the chaining variable set into the next block. Rather than finding a collision against an entire input, the idea is that given an input chaining variable set, to find a substitute block that will result in the same output chaining variables as the proper message.
The number of choices for a particular block is based on the length of the block. If the chaining variable is c bits, the hashing function behaves like a random mapping, and the block length is b bits, the number of such ό-bit blocks is approximately 2b / 2°. The challenge for finding a substitution block is that such blocks are a sparse subset of all possible blocks.
For SHA-1 , the number of 512 bit blocks is approximately 2512/2160, or 2352. The chance of finding a block by brute force search is about 1 in 2160.
δ.7.1.11 Substitution with a complete lookup table
If the number of potential messages sent to the chip is small, then there is no need for a clone manufacturer to crack the key. Instead, the clone manufacturer could incorporate a ROM in their chip that had a record of all of the responses from a genuine chip to the codes sent by the system. The larger the key, and the larger the response, the more space is required for such a lookup table.
δ.7.1.12 Substitution with a sparse lookup table
If the messages sent to the chip are somehow predictable, rather than effectively random, then the clone manufacturer need not provide a complete lookup table. For example:
• If the message is simply a serial number, the clone manufacturer need simply provide a lookup table that contains values for past and predicted future serial numbers. There are unlikely to be more than 109 of these. • If the test code is simply the date, then the clone manufacturer can produce a lookup table using the date as the address.
• If the test code is a pseudo-random number using either the serial number or the date as a seed, then the clone manufacturer just needs to crack the pseudo-random number generator in the system. This is probably not difficult, as they have access to the object code of the system. The clone manufacturer would then produce a content addressable memory (or other sparse array lookup) using these codes to access stored authentication codes.
5.7.1.13 Differential cryptanalysis Differential cryptanalysis describes an attack where pairs of input streams are generated with known differences, and the differences in the encoded streams are analyzed.
Existing differential attacks are heavily dependent on the structure of S boxes, as used in DES and other similar algorithms. Although other algorithms such as HMAC-SHA1 have no S boxes, an attacker can undertake a differential-like attack by undertaking statistical analysis of:
• Minimal-difference inputs, and their corresponding outputs
• Minimal-difference outputs, and their corresponding inputs
Most algorithms were strengthened against differential cryptanalysis once the process was described. This is covered in the specific sections devoted to each cryptographic algorithm.
However some recent algorithms developed in secret have been broken because the developers had not considered certain styles of differential attacks [94] and did not subject their algorithms to public scrutiny.
δ.7.1.14 Message substitution attacks
In certain protocols, a man-in-the-middle can substitute part or all of a message. This is where a real authentication chip is plugged into a reusable clone chip within the consumable. The clone chip intercepts all messages between the system and the authentication chip, and can perform a number of substitution attacks.
Consider a message containing a header followed by content. An attacker may not be able to generate a valid header, but may be able to substitute their own content, especially if the valid response is something along the lines of "Yes, I received your message". Even if the return message is "Yes, I received the following message ...", the attacker may be able to substitute the original message before sending the acknowledgment back to the original sender.
Message Authentication Codes were developed to combat message substitution attacks. 5.7.1.16 Reverse engineering the key generator
If a pseudo-random number generator is used to generate keys, there is the potential for a clone manufacture to obtain the generator program or to deduce the random seed used. This was the way in which the security layer of the Netscape browser program was initially broken [33].
5.7.1.16 Bypassing the authentication process
It may be that there are problems in the authentication protocols that can allow a bypass of the authentication process altogether. With these kinds of attacks the key is completely irrelevant, and the attacker has no need to recover it or deduce it.
Consider an example of a system that authenticates at power-up, but does not authenticate at any other time. A reusable consumable with a clone authentication chip may make use of a real authentication chip. The clone authentication chip uses the real chip for the authentication call, and then simulates the real authentication chip's state data after that.
Another example of bypassing authentication is if the system authenticates only after the consumable has been used. A clone authentication chip can accomplish a simple authentication bypass by simulating a loss of connection after the use of the consumable but before the authentication protocol has completed (or even started).
One infamous attack known as the "Kentucky Fried Chip" hack [2] involved replacing a microcontroller chip for a satellite TV system. When a subscriber stopped paying the subscription fee, the system would send out a "disable" message. However the new micro-controller would simply detect this message and not pass it on to the consumer's satellite TV system.
δ.7.1.17 Garrote/bribe attack
If people know the key, there is the possibility that they could tell someone else. The telling may be due to coercion (bribe, garrote etc.), revenge (e.g. a disgruntled employee), or simply for principle. These attacks are usually cheaper and easier than other efforts at deducing the key. As an example, a number of people claiming to be involved with the development of the (now defunct) Divx standard for DVD claimed (before the standard was rejected by consumers) that they would like to help develop Divx specific cracking devices - out of principle.
5.7.2 Physical attacks
The following attacks assume implementation of an authentication mechanism in a silicon chip that the attacker has physical access to. The first attack, Reading ROM, describes an attack when keys are stored in ROM, while the remaining attacks assume that a secret key is stored in Flash memory.
δ.7.2.1 Reading ROM
If a key is stored in ROM it can be read directly. A ROM can thus be safely used to hold a public key (for use in asymmetric cryptography), but not to hold a private key. In symmetric cryptography, a ROM is completely insecure. Using a copyright text (such as a haiku) as the key is not sufficient, because we are assuming that the cloning of the chip is occurring in a country where intellectual property is not respected.
5.7.2.2 Reverse engineering of chip
Reverse engineering of the chip is where an attacker opens the chip and analyzes the circuitry. Once the circuitry has been analyzed the inner workings of the chip's algorithm can be recovered. Lucent Technologies have developed an active method [4] known as TOBIC (Two photon OBIC, where OBIC stands for Optical Beam Induced Current), to image circuits. Developed primarily for static RAM analysis, the process involves removing any back materials, polishing the back surface to a mirror finish, and then focusing light on the surface. The excitation wavelength is specifically chosen not to induce a current in the IC.
A Kerckhoffs in the nineteenth century made a fundamental assumption about cryptanalysis: if the algorithm's inner workings are the sole secret of the scheme, the scheme is as good as broken [39]. He stipulated that the secrecy must reside entirely in the key. As a result, the best way to protect against reverse engineering of the chip is to make the inner workings irrelevant.
δ.7.2.3 Usurping the authentication process
It must be assumed that any clone manufacturer has access to both the system and consumable designs.
If the same channel is used for communication between the system and a trusted system authentication chip, and a non-trusted consumable authentication chip, it may be possible for the non-trusted chip to interrogate a trusted authentication chip in order to obtain the "correct answer". If this is so, a clone manufacturer would not have to determine the key. They would only have to trick the system into using the responses from the system authentication chip.
The alternative method of usurping the authentication process follows the same method as the logical attack described in Section 5.7.1.16 on page 679, involving simulated loss of contact with the system whenever authentication processes take place, simulating power-down etc. δ.7.2.4 Modification of system
This kind of attack is where the system itself is modified to accept clone consumables. The attack may be a change of system ROM, a rewiring of the consumable, or, taken to the extreme case, a completely clone system.
Note that this kind of attack requires each individual system to be modified, and would most likely require the owner's consent. There would usually have to be a clear advantage for the consumer to undertake such a modification, since it would typically void warranty and would most likely be costly. An example of such a modification with a clear advantage to the consumer is a software patch to change fixed-region DVD players into region-free DVD players (although it should be noted that this is not to use clone consumables, but rather originals from the same companies simply targeted for sale in other countries).
5.7.2.5 Direct viewing of chip operation by conventional probing
If chip operation could be directly viewed using an STM (Scanning Tunnelling Microscope) or an electron beam, the keys could be recorded as they are read from the internal non-volatile memory and loaded into work registers.
These forms of conventional probing require direct access to the top or front sides of the IC while it is powered.
5.7.2.6 Direct viewing of the non-volatile memory
If the chip were sliced so that the floating gates of the Flash memory were exposed, without discharging them, then the key could probably be viewed directly using an STM or SKM (Scanning Kelvin Microscope).
However, slicing the chip to this level without discharging the gates is probably impossible. Using wet etching, plasma etching, ion milling (focused ion beam etching), or chemical mechanical polishing will almost certainly discharge the small charges present on the floating gates.
5.7.2.7 Viewing the light bursts caused by state changes
Whenever a gate changes state, a small amount of infrared energy is emitted. Since silicon is transparent to infrared, these changes can be observed by looking at the circuitry from the underside of a chip. While the emission process is weak, it is bright enough to be detected by highly sensitive equipment developed for use in astronomy. The technique [92], developed by IBM, is called PICA (Picosecond Imaging Circuit Analyzer). If the state of a register is known at time t, then watching that register change over time will reveal the exact value at time t+n, and if the data is part of the key, then that part is compromised.
5.7.2.8 Viewing the keys using an SEPM A non-invasive testing device, known as a Scanning Electric Potential Microscope (SEPM), allows the direct viewing of charges within a chip [37]. The SEPM has a tungsten probe that is placed a few micrometers above the chip, with the probe and circuit forming a capacitor. Any AC signal flowing beneath the probe causes displacement current to flow through this capacitor. Since the value of the current change depends on the amplitude and phase of the AC signal, the signal can be imaged. If the signal is part of the key, then that part is compromised.
5. .2.9 Monitoring EMI
Whenever electronic circuitry operates, faint electromagnetic signals are given off. Relatively inexpensive equipment can monitor these signals and could give enough information to allow an attacker to deduce the keys.
5.7.2.10 Viewing /dd fluctuations
Even if keys cannot be viewed, there is a fluctuation in current whenever registers change state. If there is a high enough signal to noise ratio, an attacker can monitor the difference in ldd that may occur when programming over either a high or a low bit. The change in l d can reveal information about the key. Attacks such as these have already been used to break smart cards [46].
5.7.2.11 Differential Fault Analysis
This attack assumes introduction of a bit error by ionization, microwave radiation, or environmental stress. In most cases such an error is more likely to adversely affect the chip (e.g. cause the program code to crash) rather than cause beneficial changes which would reveal the key. Targeted faults such as ROM overwrite, gate destruction etc. are far more likely to produce useful results.
5.7.2.12 Clock glitch attacks Chips are typically designed to properly operate "within a certain clock speed range. Some attackers attempt to introduce faults in logic by running the chip at extremely high clock speeds or introduce a clock glitch at a particular time for a particular duration [1]. The idea is to create race conditions where the circuitry does not function properly. An example could be an AND gate that (because of race conditions) gates through Input] all the time instead of the AND of Input] and lnput2. If an attacker knows the internal structure of the chip, they can attempt to introduce race conditions at the correct moment in the algorithm execution, thereby revealing information about the key (or in the worst case, the key itself).
δ.7.2.13 Power supply attacks
Instead of creating a glitch in the clock signal, attackers can also produce glitches in the power supply where the power is increased or decreased to be outside the working operating voltage range. The net effect is the same as a clock glitch - introduction of error in the execution of a particular instruction. The idea is to stop the CPU from XORing the key, or from shifting the data one bit-position etc. Specific instructions are targeted so that information about the key is revealed.
5.7.2.14 Overwriting ROM
Single bits in a ROM can be overwritten using a laser cutter microscope [1], to either 1 or 0 depending on the sense of the logic. If the ROM contains instructions, it may be a simple matter for an attacker to change a conditional jump to a non-conditional jump, or perhaps change the destination of a register transfer. If the target instruction is chosen carefully, it may result in the key being revealed.
5.7.2.16 Modifying EEPROM/Flash These attacks fall into two categories:
• those similar to the ROM attacks except that the laser cutter microscope technique can be used to both set and reset individual bits. This gives much greater scope in terms of modification of algorithms.
• Electron beam programming of floating gates. As described in [89] and [32], a focused electron beam can change a gate by depositing electrons onto it. Damage to the rest of the circuit can be avoided, as described in [31].
δ.7.2.16 Gate destruction
Anderson and Kuhn described the rump session of the 1997 workshop on Fast Software Encryption [1], where Biham and Shamir presented an attack on DES. The attack was to use a laser cutter to destroy an individual gate in the hardware implementation of a known block cipher (DES). The net effect of the attack was to force a particular bit of a register to be "stuck". Biham and Shamir described the effect of forcing a particular register to be affected in this way - the least significant bit of the output from the round function is set to 0. Comparing the 6 least significant bits of the left half and the right half can recover several bits of the key. Damaging a number of chips in this way can reveal enough information about the key to make complete key recovery easy. An encryption chip modified in this way will have the property that encryption and decryption will no longer be inverses.
5.7.2.17 Overwrite attacks Instead of trying to read the Flash memory, an attacker may simply set a single bit by use of a laser cutter microscope. Although the attacker doesn't know the previous value, they know the new value. If the chip still works, the bit's original state must be the same as the new state. If the chip doesn't work any longer, the bit's original state must be the logical NOT of the current state. An attacker can perform this attack on each bit of the key and obtain the n-bit key using at most n chips (if the new bit matched the old bit, a new chip is not required for determining the next bit).
5.7.2.18 Test circuitry attack
Most chips contain test circuitry specifically designed to check for manufacturing defects. This includes BIST (Built In Self Test) and scan paths. Quite often the scan paths and test circuitry includes access and readout mechanisms for all the embedded latches. In some cases the test circuitry could potentially be used to give information about the contents of particular registers.
Test circuitry is often disabled once the chip has passed all manufacturing tests, in some cases by blowing a specific connection within the chip. A determined attacker, however, can reconnect the test circuitry and hence enable it.
5.7.2.19 Memory remnants
Values remain in RAM long after the power has been removed [35], although they do not remain long enough to be considered non-volatile. An attacker can remove power once sensitive information has been moved into RAM (for example working registers), and then attempt to read the value from RAM. This attack is most useful against security systems that have regular RAM chips. A classic example is cited by [1], where a security system was designed with an automatic power-shut-off that is triggered when the computer case is opened. The attacker was able to simply open the case, remove the RAM chips, and retrieve the key because the values persisted.
6.7.2.20 Chip theft attack
If there are a number of stages in the lifetime of an authentication chip, each of these stages must be examined in terms of ramifications for security should chips be stolen. For example, if information is programmed into the chip in stages, theft of a chip between stages may allow an attacker to have access to key information or reduced efforts for attack. Similarly, if a chip is stolen directly after manufacture but before programming, does it give an attacker any logical or physical advantage? 6.7.2.21 Trojan horse attack
At some stage the authentication chips must be programmed with a secret key. Suppose an attacker builds a clone authentication chip and adds it to the pile of chips to be programmed. The attacker has especially built the clone chip so that it looks and behaves just like a real authentication chip, but will give the key out to the attacker when a special attacker-known command is issued to the chip. Of course the attacker must have access to the chip after the programming has taken place, as well as physical access to add the Trojan horse authentication chip to the genuine chips.
6 Requirements
Existing solutions to the problem of authenticating consumables have typically relied on patents covering physical packaging. However this does not stop home refill operations or clone manufacture in countries with weak industrial property protection. Consequently a much higher level of protection is required.
The authentication mechanism is therefore built into an authentication chip that is embedded in the consumable and allows a system to authenticate that consumable securely and easily. Limiting ourselves to the system authenticating consumables (we don't consider the consumable authenticating the system), two levels of protection can be considered:
Presence Only Authentication: This is where only the presence of an authentication chip is tested. The authentication chip can be removed and used in other consumables as long as be used indefinitely.
Consumable Lifetime Authentication: This is where not only is the presence of the authentication chip tested for, but also the authentication chip must only last the lifetime of the consumable. For the chip to be reused it must be completely erased and reprogrammed.
The two levels of protection address different requirements. We are primarily concerned with Consumable Lifetime authentication in order to prevent cloned versions of high volume consumables. In this case, each chip should hold secure state information about the consumable being authenticated. It should be noted that a Consumable Lifetime authentication chip could be used in any situation requiring a Presence Only authentication chip.
Requirements for authentication, data storage integrity and manufacture are considered separately. The following sections summarize requirements of each. 6.1 AUTHENTICATION
The authentication requirements for both Presence Only and Consumable Lifetime authentication are restricted to the case of a system authenticating a consumable. We do not consider bi- directional authentication where the consumable also authenticates the system. For example, it is not necessary for a valid toner cartridge to ensure it is being used in a valid photocopier.
For Presence Only authentication, we must be assured that an authentication chip is physically present. For Consumable Lifetime authentication we also need to be assured that state data actually came from the authentication chip, and that it has not been altered en route. These issues cannot be separated - data that has been altered has a new source, and if the source cannot be determined, the question of alteration cannot be settled.
It is not enough to provide an authentication method that is secret, relying on a home-brew security method that has not been scrutinized by security experts. The primary requirement therefore is to provide authentication by means that have withstood the scrutiny of experts.
The authentication scheme used by the authentication chip should be resistant to defeat by logical means. Logical types of attack are extensive, and attempt to do one of three things: • Bypass the authentication process altogether
• Obtain the secret key by force or deduction, so that any question can be answered
• Find enough about the nature of the authenticating questions and answers in order to, without the key, give the right answer to each question.
The logical attack styles and the forms they take are detailed in Section 5.7.1 on page 673.
The algorithm should have a flat keyspace, allowing any random bit string of the required length to be a possible key. There should be no weak keys.
6.2 DATA STORAGE INTEGRITY
Although authentication protocols take care of ensuring data integrity in communicated messages, data storage integrity is also required. Two kinds of data must be stored within the authentication chip:
• Authentication data, such as secret keys • Consumable state data, such as serial numbers, and media remaining etc. The access requirements of these two data types differ greatly. The authentication chip therefore requires a storage/access control mechanism that allows for the integrity requirements of each type.
6.2.1 Authentication data
Authentication data must remain confidential. It needs to be stored in the chip during a manufacturing/programming stage of the chip's life, but from then on must not be permitted to leave the chip. It must be resistant to being read from non-volatile memory. The authentication scheme is responsible for ensuring the key cannot be obtained by deduction, and the manufacturing process is responsible for ensuring that the key cannot be obtained by physical means.
The size of the authentication data memory area must be large enough to hold the necessary keys and secret information as mandated by the authentication protocols.
6.2.2 Consumable state data
Consumable state data can be divided into the following types. Depending on the application, there will be different numbers of each of these types of data items.
• Read Only
• ReadWrite • Decrement Only
Read Only data needs to be stored in the chip during a manufacturing/programming stage of the chip's life, but from then on should not be allowed to change. Examples of Read Only data items are consumable batch numbers and serial numbers. ReadWrite data is changeable state information, for example, the last time the particular consumable was used. ReadWrite data items can be read and written an unlimited number of times during the lifetime of the consumable. They can be used to store any state information about the consumable. The only requirement for this data is that it needs to be kept in nonvolatile memory. Since an attacker can obtain access to a system (which can write to ReadWrite data), any attacker can potentially change data fields of this type. This data type should not be used for secret information, and must be considered insecure. Decrement Only data is used to count down the availability of consumable resources. A photocopier's toner cartridge, for example, may store the amount of toner remaining as a Decrement Only data item. An ink cartridge for a color printer may store the amount of each ink color as a Decrement Only data item, requiring 3 (one for each of Cyan, Magenta, and Yellow), or even as many as 5 or 6 Decrement Only data items. The requirement for this kind of data item is that once programmed with an initial value at the manufacturing/programming stage, it can only reduce in value. Once it reaches the minimum value, it cannot decrement any further. The Decrement Only data item is only required by Consumable Lifetime authentication.
Note that the size of the consumable state data storage required is only for that information required to be authenticated. Information which would be of no use to an attacker, such as ink color-curve characteristics or ink viscosity do not have to be stored in the secure state data memory area of the authentication chip.
6.3 MANUFACTURE
The authentication chip must have a low manufacturing cost in order to be included as the authentication mechanism for low cost consumables.
The authentication chip should use a standard manufacturing process, such as Flash. This is necessary to:
• Allow a great range of manufacturing location options
• Use well-defined and well-behaved technology
• Reduce cost
Regardless of the authentication scheme used, the circuitry of the authentication part of the chip must be resistant to physical attack. Physical attack comes in four main ways, although the form of the attack can vary:
• Bypassing the authentication chip altogether
• Physical examination of chip while in operation (destructive and non-destructive) • Physical decomposition of chip
• Physical alteration of chip
The physical attack styles and the forms they take are detailed in Section 5.7.2 on page 679. Ideally, the chip should be exportable from the USA, so it should not be possible to use an authentication chip as a secure encryption device. This is low priority requirement since there are many companies in other countries able to manufacture the authentication chips. In any case, the export restrictions from the USA may change.
AUTHENTICATION 7 Introduction
Existing solutions to the problem of authenticating consumables have typically relied on physical patents on packaging. However this does not stop home refill operations or clone manufacture in countries with weak industrial property protection. Consequently a much higher level of protection is required.
It is not enough to provide an authentication method that is secret, relying on a home-brew security method that has not been scrutinized by security experts. Security systems such as Netscape's original proprietary system and the GSM Fraud Prevention Network used by cellular phones are examples where design secrecy caused the vulnerability of the security [33][33]. Both security systems were broken by conventional means that would have been detected if the companies had followed an open design process. The solution is to provide authentication by means that have withstood the scrutiny of experts.
In this section, we examine a number of protocols that can be used for consumables authentication. We only use security methods that are publicly described, using known behaviors in this new way. Readers should be familiar with the concepts and terms described in Section 5 on page 656. We avoid the Zero Knowledge Proof protocol since it is patented.
For all protocols, the security of the scheme relies on a secret key, not a secret algorithm. In the nineteenth century, A Kerckhoffs made a fundamental assumption about cryptanalysis: if the algorithm's inner workings are the sole secret of the scheme, the scheme is as good as broken [39]. He stipulated that the secrecy must reside entirely in the key. As a result, the best way to protect against reverse engineering of any authentication chip is to make the algorithmic inner workings irrelevant (the algorithm of the inner workings must still be must be valid, but not the actual secret).
The QA Chip is a programmable device, and can therefore be setup with an application-specific program together with an application-specific set of protocols. This section describes the following sets of protocols:
• single key single memory vector
• multiple key single memory vector
• multiple key multiple memory vector
These protocols refer to the number of valid keys that an QA Chip knows about, and the size of data required to be stored in the chip.
From these protocols it is straightforward to construct protocol sets for the single key multiple memory vector case (of course the multiple memory vector can be considered to be . and multiple key single memory vector. Other protocol sets can also be defined as necessary. Of course multiple memory vector can be conveniently All the protocols rely on a time-variant challenge (i.e. the challenge is different each time), where the response depends on the challenge and the secret. The challenge involves a random number so that any observer will not be able to gather useful information about a subsequent identification.
8 Single Key Single Memory Vector
8.1 PROTOCOL BACKGROUND
This protocol set is provided for two reasons:
• the other protocol sets defined in this document are simply extensions of this one; and • it is useful in its own right
The single key protocol set is useful for applications where only a single key is required. Note that there can be many consumables and systems, but there is only a single key that connects them all. Examples include: • car and keys. A car and the car-key share a single key. There can be multiple sets of car- keys, each effectively cut to the same key. A company could have a set of cars, each with the same key. Any of the car-keys could then be used to drive any of the cars.
• printer and ink cartridge. All printers of a certain model use the same ink cartridge, with printer and cartridge sharing only a single key. Note that to introduce a new printer model that accepts the old ink cartridge the new model would need the same key as the old model. See the multiple-key protocols for alternative solutions to this problem.
8.2 REQUIREMENTS OF PROTOCOL Each QA Chip contains the following values: K The secret key for calculating Fκ[X]. K must not be stored directly in the QA Chip. Instead, each chip needs to store a random number R« (different for each chip), KΘRK, and ->KΘRK. The stored KΘRK can be XORed with Rκ to obtain the real K. Although -HK®RK must be stored to protect against differential attacks, it is not used. R Current random number used to ensure time varying messages. Each chip instance must be seeded with a different initial value. Changes for each signature generation. M Memory vector of QA Chip. P 2 element array of access permissions for each part of M. Entry 0 holds access permissions for non-authenticated writes to M (no key required). Entry 1 holds access permissions for authenticated writes to M (key required). Permission choices for each part of M are Read Only, Read/Write, and Decrement Only. C 3 constants used for generating signatures. Ci, C2, and C3 are constants that pad out a submessage to a hashing boundary, and all 3 must be different. Each QA Chip contains the following private function: SK[X] Internal function only. Returns SK[X], the result of applying a digital signature function S to X based upon key K. The digital signature must be long enough to counter the chances of someone generating a random signature. The length depends on the signature scheme chosen, although the scheme chosen for the QA Chip is HMAC-SHA1 (see Section 13 on page 718), and therefore the length of the signature is 160 bits.
Additional functions are required in certain QA Chips, but these are described as required.
8.3 READS OF M
In this case, we have a trusted chip (ChipT) connected to a System. The System wants to authenticate an object that contains a non-trusted chip (ChipA). In effect, the System wants to know that it can securely read a memory vector (M) from ChipA: to be sure that ChipA is valid and that M has not been altered.
The protocol requires the following publicly available function in ChipA: ReadfX] Advances R, and returns R, M, Sκ[X|R|Cι|M]. The time taken to calculate the signature must not be based on the contents of X, R, M, or K.
The protocol requires the following publicly available functions in ChipT: Random □ Returns R (does not advance R). Test[X, Y, Z] Advances R and returns 1 if Sκ[R|X|Cι|Y] = Z. Otherwise returns 0. The time taken to calculate and compare signatures must be independent of data content.
To authenticate ChipA and read ChipA's memory M: a. System calls ChipT's Random function; b. ChipT returns Rτ to System; c. System calls ChipA's Read function, passing in the result from b; d. ChipA updates RA, then calculates and returns RA, MA, SK[RT|RA|CI |MA]; e. System calls ChipT's Test function, passing in RA, MA, SK[RT|RA|CI|MA]; f. System checks response from ChipT. If the response is 1 , then ChipA is considered authentic. If 0, ChipA is considered invalid.
The data flow for read authentication is shown in Figure 334. The protocol allows System to simply pass data from one chip to another, with no special processing. The protection relies on ChipT being trusted, even though System does not know K.
When ChipT is physically separate from System (eg is chip on a board connected to System) System must also occassionally (based on system clock for example) call ChipT's Test function with bad data, expecting a 0 response. This is to prevent someone from inserting a fake ChipT into the system that always returns 1 for the Test function.
8.4 WRITES In this case, the System wants to update M in some chip referred to as ChipU. This can be non- authenticated (for example, anyone is allowed to count down the amount of consumable remaining), or authenticated (for example, replenishing the amount of consumable remaining).
8.4.1 Non-authenticated writes This is the most frequent type of write, and takes place between the System / consumable during normal everyday operation. In this kind of write, System wants to change M in a way that doesn't require special authorization. For example, the System could be decrementing the amount of consumable remaining. Although System does not need to know K or even have access to a trusted chip, System must follow a non-authenticated write by an authenticated read if it needs to know that the write was successful.
The protocol requires the following publicly available function: Write[X] Writes X over those parts of M subject to Po and the existing value for M.
To authenticate a write of Mnew to ChipA's memory M: a. System calls ChipU's Write function, passing in Mnew; b. The authentication procedure for a Read is carried out (see Section 8.3 on page 691); c. If ChipU is authentic and Mnew = M returned in b, the write succeeded. If not, it failed.
8.4.2 Authenticated writes
In this kind of write, System wants to change Chip U's M in an authorized way, without being subject to the permissions that apply during normal operation (P0). For example, the consumable may be at a refilling station and the normally Decrement Only section of M should be updated to include the new valid consumable. In this case, the chip whose M is being updated must authenticate the writes being generated by the external System and in addition, apply permissions Pi to ensure that only the correct parts of M are updated. In this transaction protocol, the System's chip is referred to as ChipS, and the chip being updated is referred to as ChipU. Each chip distrusts the other.
The protocol requires the following publicly available functions in ChipU: ReadfX] Advances R, and returns R, M, Sκ[X|R|Cι|M]. The time taken to calculate the signature must be identical for all inputs. WriteA[X, Y, Z]Returns 1, advances R, and replaces M by Y subject to P1 only if SK[R|X|C||Y] = Z. Otherwise returns 0. The time taken to calculate and compare signatures must be independent of data content. This function is identical to ChipT's Test function except that it additionally writes Y over those parts of M subject to P-i when the signature matches.
Authenticated writes require that the System has access to a ChipS that is capable of generating appropriate signatures. ChipS requires the following variables and function: CountRemaining Part of M that contains the number of signatures that ChipS is allowed to generate. Decrements with each successful call to SignM and SignP. Permissions in ChipS's P0 for this part of M needs to be Readonly once ChipS has been setup. Therefore CountRemaining can only be updated by another ChipS that will perform updates to that part of M (assuming ChipS's Pi allows that part of M to be updated). Q Part of M that contains the write permissions for updating ChipU's M. By adding Q to ChipS we allow different ChipSs that can update different parts of My. Permissions in ChipS's P0 for this part of M needs to be Readonly once ChipS has been setup. Therefore Q can only be updated by another ChipS that will perform updates to that part of M. SignM[V,W,X,Y,Z] Advances R, decrements CountRemaining and returns R, ZQX (Z applied to X with permissions Q), followed by SK[W|R|CI|ZQX] only if Sκ[V|W|Cι|X] = Y and CountRemaining > 0. Otherwise returns all 0s. The time taken to calculate and compare signatures must be independent of data content.
To update ChipU's M vector: a. System calls ChipU's Read function, passing in 0 as the input parameter; b. ChipU produces Ru, Mu, Sκ[0|Ru|Cι|Mu] and returns these to System; c. System calls ChipS's SignM function, passing in 0 (as used in a), Ru, Mu, Sκ[0|Ru|Cι|Mu], and MD (the desired vector to be written to ChipU); d. ChipS produces Rs, MQD (processed by running MD against Mu using Q) and Sκ[Ru|Rs|Cι|MQD] if the inputs were valid, and 0 for all outputs if the inputs were not valid. e. If values returned in d are non zero, then ChipU is considered authentic. System can then call ChipU's WriteA function with these values. f. ChipU should return a 1 to indicate success. A 0 should only be returned if the data generated by ChipS is incorrect (e.g. a transmission error). The data flow for authenticated writes is shown in Figure 335.
Note that Q in ChipS is part of ChipS's M. This allows a user to set up ChipS with a permission set for upgrades. This should be done to ChipS and that part of M designated by P0 set to Readonly before ChipS is programmed with Ku. If Ks is programmed with Ku first, there is a risk of someone obtaining a half-setup ChipS and changing all of Mu instead of only the sections specified by Q.
The same is true of CountRemaining. The CountRemaining value needs to be setup (including making it Readonly in P0) before ChipS is programmed with Ku. ChipS is therefore programmed to only perform a limited number of SignM operations (thereby limiting compromise exposure if a ChipS is stolen). Thus ChipS would itself need to be upgraded with a new CountRemaining every so often.
8.4.3 Updating permissions for future writes
In order to reduce exposure to accidental and malicious attacks on P and certain parts of M, only authorized users are allowed to update P. Writes to P are the same as authorized writes to M, except that they update Pn instead of M. Initially (at manufacture), P is set to be Read/Write for all parts of M. As different processes fill up different parts of M, they can be sealed against future change by updating the permissions. Updating a chip's P0 changes permissions for unauthorized writes, and updating Pi changes permissions for authorized writes.
Pn is only allowed to change to be a more restrictive form of itself. For example, initially all parts of M have permissions of Read/Write. A permission of Read/Write can be updated to Decrement Only or Read Only. A permission of Decrement Only can be updated to become Read Only. A Read Only permission cannot be further restricted.
In this transaction protocol, the System's chip is referred to as ChipS, and the chip being updated is referred to as ChipU. Each chip distrusts the other.
The protocol requires the following publicly available functions in ChipU: Random □ Returns R (does not advance R). SetPermission[n,X,Y,Z] Advances R, and updates Pn according to Y and returns 1 followed by the resultant Pπ only if SK[R|X|Y|C2] = Z. Otherwise returns 0. Pn can only become more restricted. Passing in 0 for any permission leaves it unchanged (passing in Y=0 returns the current Pn).
Authenticated writes of permissions require that the System has access to a ChipS that is capable of generating appropriate signatures. ChipS requires the following variables and function: CountRemaining Part of M that contains the number of signatures that ChipS is allowed to generate. Decrements with each successful call to SignM and SignP. Permissions in ChipS's P0 for this part of M needs to be Readonly once ChipS has been setup. Therefore CountRemaining can only be updated by another ChipS that will perform updates to that part of M (assuming ChipS's P-i allows that part of M to be updated). SignP[X,Y] Advances R, decrements CountRemaining and returns R and SK[X|R|Y|C2] only if CountRemaining > 0. Otherwise returns all 0s. The time taken to calculate and compare signatures must be independent of data content.
To update ChipU's Pn: a. System calls ChipU's Random function; b. ChipU returns Ru to System; c. System calls ChipS's SignP function, passing in Ru and PD (the desired P to be written to ChipU); d. ChipS produces Rs and SK[RU|RS|PD|C2] if it is still permitted to produce signatures. e. If values returned in d are non zero, then System can then call ChipU's SetPermission function with the desired n, Rs, PD and SK[RU|RSPD|C2]. f. ChipU verifies the received signature against SK[RU|RS|PD|C2] and applies PD to Pn if the signature matches g. System checks 1st output parameter. 1 = success, 0 = failure.
The data flow for authenticated writes to permissions is shown in Figure 336 below.
8.5 PROGRAMMING K In this case, we have a factory chip (ChipF) connected to a System. The System wants to program the key in another chip (ChipP). System wants to avoid passing the new key to ChipP in the clear, and also wants to avoid the possibility of the key-upgrade message being replayed on another
ChipP (even if the user doesn't know the key).
The protocol assumes that ChipF and ChipP already share a secret key K0|d. This key is used to ensure that only a chip that knows K0|d can set Knew-
The protocol requires the following publicly available functions in ChipP: Randomf] Returns R (does not advance R). ReplaceKey[X, Y, Z] Replaces K by SKoid[R|X|C3]®Y, advances R, and returns 1 only if Sκoid[X|Y|C3] = Z. Otherwise returns 0. The time taken to calculate signatures and compare values must be identical for all inputs. And the following data and function in ChipF: CountRemaining Part of M with contains the number of signatures that ChipF is allowed to generate. Decrements with each successful call to GetProgramKey. Permissions in P for this part of M needs to be Readonly once ChipF has been setup. Therefore can only be updated by a ChipS that has authority to perform updates to that part of M. Knew The new key to be transferred from ChipF to ChipP. Must not be visible. SetPartialKey[X,Y] If word X of Kπew has not yet been set, set word X of Kπew to Y and return 1. Otherwise return 0. This function allows Knew to be pro- grammed in multiple steps, thereby allowing different people or systems to know different parts of the key (but not the whole Knew)- Knew is stored in ChipF's flash memory. Since there is a small number of ChipFs, it is theoretically not necessary to store the inverse of Knew, but it is stronger protection to do so. GetProgramKey[X] Advances RF, decrements CountRemaining, outputs RF, the encrypted key Sκoid[X F|C3]ΘKnew and a signature of the first two outputs plus C3 if CountRemaining>0. Otherwise outputs 0. The time to calculate the encrypted key & signature must be identical for all inputs. To update P's key : a. System calls ChipP's Random function; b. ChipP returns RP to System; c. System calls ChipF's GetProgramKey function, passing in the result from b; d. ChipF updates RF, then calculates and returns RF, Sκoid[RP|RF|C3]®Knew, and Sκold[RF|Sκold[Rp|RF|C3]®Knew|C3]; e. If the response from d is not 0, System calls ChipP's ReplaceKey function, passing in the response from d; f. System checks response from ChipP. If the response is 1 , then KP has been correctly updated to Knew- If the response is 0, KP has not been updated. The data flow for key updates is shown in Figure 337. Note that Knew is never passed in the open. An attacker could send its own RP, but cannot produce Sκoid[Rp|RF|C3] without K0|d. The third parameter, a signature, is sent to ensure that ChipP can determine if either of the first two parameters have been changed en route.
CountRemaining needs to be setup in MF (including making it Readonly in P) before ChipF is programmed with KP. ChipF should therefore be programmed to only perform a limited number of GetProgramKey operations (thereby limiting compromise exposure if a ChipF is stolen). An authorized ChipS can be used to update this counter if neccesary (see Section 8.4 on page 692).
8.5.1 Chicken and Egg
Of course, for the Program Key protocol to work, both ChipF and ChipP must both know K^. Obviously both chips had to be programmed with Kold, and thus K^ can be thought of as an older Knew: K0|d can be placed in chips if another ChipF knows K0|der, and so on.
Although this process allows a chain of reprogramming of keys, with each stage secure, at some stage the very first key (Kflrst) must be placed in the chips. Kfirst is in fact programmed with the chip's microcode at the manufacturing test station as the last step in manufacturing test. Kfirst can be a manufacturing batch key, changed for each batch or for each customer etc, and can have as short a life as desired. Compromising Kfirsr, need not result in a complete compromise of the chain of Ks.
9 Multiple Key Single Memory Vector
9.1 PROTOCOL BACKGROUND
This protocol set is an extension to the single key single memory vector protocol set, and is provided for two reasons: • the multiple key multiple memory vector protocol set defined in this document is simply extensions of this one; and
• it is useful in its own right
The multiple key protocol set is typically useful for applications where there are multiple types of systems and consumables, and they need to work with each other in various ways. This is typically in the following situations:
• when different systems want to share some consumables, but not others. For example printer models may share some ink cartridges and not share others.
• when there are different owners of data in M. Part of the memory vector may be owned by one company (eg the speed of the printer) and another may be owned by another (eg the serial number of the chip). In this case a given key Kn needs to be able to write to a given part of M, and other keys Kn need to be disallowed from writing to these same areas.
9.2 REQUIREMENTS OF PROTOCOL Each QA Chip contains the following values:
N The maximum number of keys known to the chip.
KN Array of N secret keys used for calculating FKn[X] where Kn is the nth element of the array. Each Kn must not be stored directly in the QA Chip . Instead, each chip needs to store a single random number Rκ (different for each chip), Kn®Rκ, and -ιKnΘRκ. The stored KnΘRκ can be XORed with Rκ to obtain the real Kn. Although -,KnΘRκ must be stored to protect against differential attacks, it is not used. R Current random number used to ensure time varying messages. Each chip instance must be seeded with a different initial value. Changes for each signature generation. M Memory vector of QA Chip. A fixed part of M contains N in Readonly form so users of the chip can know the number of keys known by the chip. P N+1 element array of access permissions for each part of M. Entry 0 holds access permissions for non-authenticated writes to M (no key required). Entries 1 to N+1 hold access permissions for authenticated writes to M, one for each K. Permission choices for each part of M are Read Only, Read/Write, and Decrement Only.
C 3 constants used for generating signatures. C|, C2, and C3 are constants that pad out a submessage to a hashing boundary, and all 3 must be different.
Each QA Chip contains the following private function: SKn[N,X] Internal function only. Returns SKn[X], the result of applying a digital signature function S to X based upon the appropriate key Kn. The digital signature must be long enough to counter the chances of someone generating a random signature. The length depends on the signature scheme chosen, although the scheme chosen for the QA Chip is HMAC-SHA1 (see Section 13 on page 718), and therefore the length of the signature is 160 bits.
Additional functions are required in certain QA Chips, but these are described as required.
9.3 READS
As with the single key scenario, we have a trusted chip (ChipT) connected to a System. The System wants to authenticate an object that contains a non-trusted chip (ChipA). In effect, the System wants to know that it can securely read a memory vector (M) from ChipA: to be sure that ChipA is valid and that M has not been altered. The protocol requires the following publicly available functions: RandomQ Returns R (does not advance R). Readfn, X] Advances R, and returns R, M, SKn[XIR|C |M]. The time taken to calculate the signature must not be based on the contents of X, R, M, or K. Test[n,X, Y, Z] Advances R and returns 1 if SKn[R|X|Cι|Y] = Z. Otherwise returns 0. The time taken to calculate and compare signatures must be independent of data content.
To authenticate ChipA and read ChipA's memory M: a. System calls ChipT's Random function; b. ChipT returns Rτ to System; c. System calls ChipA's Read function, passing in some key number n1 and the result from b; d. ChipA updates RA, then calculates and returns RA, MA, S Λnι[Rτ| A|Cι|MA]; e. System calls ChipT's Test function, passing in n2, RA, MA, SκΛni[Rτ|RA|Cι|MA]; f. System checks response from ChipT. If the response is 1 , then ChipA is considered authentic. If 0, ChipA is considered invalid.
The choice of n1 and n2 must be such that ChipA's Knι = ChipT's Kn2.
The data flow for read authentication is shown in Figure 338.
The protocol allows System to simply pass data from one chip to another, with no special processing. The protection relies on ChipT being trusted, even though System does not know K.
When ChipT is physically separate from System (eg is chip on a board connected to System) System must also occassionally (based on system clock for example) call ChipT's Test function with bad data, expecting a 0 response. This is to prevent someone from inserting a fake ChipT into the system that always returns 1 for the Test function.
It is important that n1 is chosen by System. Otherwise ChipA would need to return NA sets of signatures for each read, since ChipA does not know which of the keys will satisfy ChipT. Similarly, system must also choose n2, so it can potentially restrict the number of keys in ChipT that are matched against (otherwise ChipT would have to match against all its keys). This is important in order to restrict how different keys are used. For example, say that ChipT contains 6 keys, keys 0-2 are for various printer-related upgrades, and keys 3-6 are for inks. ChipA contains say 4 keys, one key for each printer model. At power-up, System goes through each of chipA's keys 0-3, trying each out against ChipT's keys 3-6. System doesn't try to match against ChipT's keys 0-2. Otherwise knowledge of a speed-upgrade key could be used to provide ink QA Chip chips. This matching needs to be done only once (eg at power up). Once matching keys are found, System can continue to use those key numbers. Since System needs to know Nτ and NA, part of M is used to hold N (eg in Read Only form), and the system can obtain it by calling the Read function, passing in key 0.
9.4 WRITES As with the single key scenario, the System wants to update M in ChipU. As before, this can be done in a non-authenticated and authenticated way.
9.4.1 Non-authenticated writes
This is the most frequent type of write, and takes place between the System / consumable during normal everyday operation. In this kind of write, System wants to change M subject to P. For example, the System could be decrementing the amount of consumable remaining. Although System does not need to know any of the Ks or even have access to a trusted chip to perform the write, System must follow a non-authenticated write by an authenticated read if it needs to know that the write was successful. The protocol requires the following publicly available function:
WritefX] Writes X over those parts of M subject to P0 and the existing value for M.
To authenticate a write of Mne to ChipA's memory M: a. System calls ChipU's Write function, passing in Mnew,' b. The authentication procedure for a Read is carried out (see Section 9.3 on page 698); c. If ChipU is authentic and Mnew = M returned in b, the write succeeded. If not, it failed.
9.4.2 Authenticated writes
In this kind of write, System wants to change Chip U's M in an authorized way, without being subject to the permissions that apply during normal operation (P0). For example, the consumable may be at a refilling station and the normally Decrement Only section of M should be updated to include the new valid consumable. In this case, the chip whose M is being updated must authenticate the writes being generated by the external System and in addition, apply the appropriate permission for the key to ensure that only the correct parts of M are updated. Having a different permission for each key is required as when multiple keys are involved, all keys should not necessarily be given open access to M. For example, suppose M contains printer speed and a counter of money available for franking. A ChipS that updates printer speed should not be capable of updating the amount of money. Since P0 is used for non-authenticated writes, each Kn has a corresponding permission Pn+ι that determines what can be updated in an authenticated write.
In this transaction protocol, the System's chip is referred to as ChipS, and the chip being updated is referred to as ChipU. Each chip distrusts the other. The protocol requires the following publicly available functions in ChipU: Read[n, X] Advances R, and returns R, M, SKn[X|R|Cι|M]. The time taken to calculate the signature must not be based on the contents of X, R, M, or K. WriteA[n, X, Y, Z] Advances R, replaces M by Y subject to Pn+ι, and returns 1 only if SKn[R|X|Cι|Y] = Z. Otherwise returns 0. The time taken to calculate and compare signatures must be independent of data content. This function is identical to ChipT's Test function except that it additionally writes Y subject to Pn+ι to its M when the signature matches. Authenticated writes require that the System has access to a ChipS that is capable of gen- erating appropriate signatures. ChipS requires the following variables and function: CountRemaining Part of M that contains the number of signatures that ChipS is allowed to generate. Decrements with each successful call to SignM and SignP. Permissions in ChipS's P0 for this part of M needs to be Readonly once ChipS has been setup. Therefore CountRemaining can only be updated by another ChipS that will perform updates to that part of M (assuming ChipS's P allows that part of M to be updated). Q Part of M that contains the write permissions for updating ChipU's M. By adding Q to ChipS we allow different ChipSs that can update different parts of Mu. Permissions in ChipS's P0 for this part of M needs to be Readonly once ChipS has been setup. Therefore Q can only be updated by another ChipS that will perform updates to that part of M. SignM[nN,W,X,Y,Z] Advances R, decrements CountRemaining and returns R, ZQX (Z applied to X with permissions Q), Sκn[W|R|Cι|ZQχ] only if Y= S^W^IX] and CountRemaining > 0. Otherwise returns all 0s. The time taken to calculate and compare signatures must be independent of data content.
To update ChipU's M vector: a. System calls ChipU's Read function, passing in n1 and 0 as the input parameters; b. ChipU produces Ru, Mu, SKnι[0|Ru|Cι|Mu] and returns these to System; c. System calls ChipS's SignM function, passing in n2 (the key to be used in ChipS), 0 (as used in a), Ru, Mu, SKnι[0|Ru|Cι|M|j], and MD (the desired vector to be written to ChipU); d. ChipS produces Rs, MQD (processed by running MD against Mu using Q) and Sκri2[Ru|Rs|Cι|MQD] if the inputs were valid, and 0 for all outputs if the inputs were not valid. e. If values returned in d are non zero, then ChipU is considered authentic. System can then call ChipU's WriteA function with these values from d. f. ChipU should return a 1 to indicate success. A 0 should only be returned if the data generated by ChipS is incorrect (e.g. a transmission error). The choice of n1 and n2 must be such that ChipU's Km = ChipS's Kn2.
The data flow for authenticated writes is shown in Figure 339 below.
Note that Q in ChipS is part of ChipS's M. This allows a user to set up ChipS with a permission set for upgrades. This should be done to ChipS and that part of M designated by P0 set to Readonly before ChipS is programmed with Ku. If Ks is programmed with Ku first, there is a risk of someone obtaining a half-setup ChipS and changing all of Mu instead of only the sections specified by Q.
In addition, CountRemaining in ChipS needs to be setup (including making it Readonly in Ps) before ChipS is programmed with Ku. ChipS should therefore be programmed to only perform a limited number of SignM operations (thereby limiting compromise exposure if a ChipS is stolen). Thus ChipS would itself need to be upgraded with a new CountRemaining every so often.
9.4.3 Updating permissions for future writes
In order to reduce exposure to accidental and malicious attacks on P (and certain parts of M), only authorized users are allowed to update P. Writes to P are the same as authorized writes to M, except that they update Pn instead of M. Initially (at manufacture), P is set to be Read/Write for all parts of M. As different processes fill up different parts of M, they can be sealed against future change by updating the permissions. Updating a chip's P0 changes permissions for unauthorized writes, and updating Pn+ι changes permissions for authorized writes with key Kπ.
Pn is only allowed to change to be a more restrictive form of itself. For example, initially all parts of M have permissions of Read/Write. A permission of Read/Write can be updated to Decrement Only or Read Only. A permission of Decrement Only can be updated to become Read Only. A Read Only permission cannot be further restricted.
In this transaction protocol, the System's chip is referred to as ChipS, and the chip being updated is referred to as ChipU. Each chip distrusts the other.
The protocol requires the following publicly available functions in ChipU: Randomf] Returns R (does not advance R).
SetPermission[n,p,X,Y,Z] Advances R, and updates Pp according to Y and returns 1 followed by the resultant Pp only if SKn[R|X|Y|C2] = Z. Otherwise returns 0. Pp can only become more restricted. Passing in 0 for any permission leaves it unchanged (passing in Y=0 returns the current Pp). Authenticated writes of permissions require that the System has access to a ChipS that is capable of generating appropriate signatures. ChipS requires the following variables and function: CountRemaining Part of M that contains the number of signatures that ChipS is allowed to generate. Decrements with each successful call to SignM and SignP. Permissions in ChipS's P0 for this part of M needs to be Readonly once ChipS has been setup. Therefore CountRemaining can only be updated by another ChipS that will perform updates to that part of M (assuming ChipS's Pn allows that part of M to be updated). SignP[n,X,Y] Advances R, decrements CountRemaining and returns R and SKn[X|R|Y|C2] only if CountRemaining > 0. Otherwise returns all 0s. The time taken to calculate and compare signatures must be independent of data content.
To update ChipU's Pn: a. System calls ChipU's Random function; b. ChipU returns Ru to System; c. System calls ChipS's SignP function, passing in n1 , Ru and PD (the desired P to be written to ChipU); d. ChipS produces Rs and SKΠI[RU|RS|PD|C2] if it is still permitted to produce signatures. e. If values returned in d are non zero, then System can then call ChipU's SetPermission function with n2, the desired permission entry p, Rs, PD and SKΠI[RU|RS|PD|C2]. f. ChipU verifies the received signature against S Π2[RU|RS|PD|C2] and applies PD to Pn if the signature matches g. System checks 1st output parameter. 1 = success, 0 = failure.
The choice of n1 and n2 must be such that ChipU's Knι = ChipS's Kn2.
The data flow for authenticated writes to permissions is shown in Figure 340 below.
9.4.4 Protecting M in a multiple key system To protect the appropriate part of M, the SetPermission function must be called after the part of M has been set to the desired value.
For example, if adding a serial number to an area of M that is currently ReadWrite so that noone is permitted to update the number again: • the Write function is called to write the serial number to M
• SetPermission is called for n = {1 , ..., N} to set that part of M to be Readonly for authorized writes using key n-1. • SetPermission is called for 0 to set that part of M to be Readonly for non-authorized writes
For example, adding a consumable value to M such that only keys 1-2 can update it, and keys 0, and 3-N cannot:
• the Write function is called to write the amount of consumable to M
• SetPermission is called for n = {1 , 4, 5, ..., N-1} to set that part of M to be Readonly for authorized writes using key n-1. This leaves keys 1 and 2 with ReadWrite permissions.
• SetPermission is called for 0 to set that part of M to be DecrementOnly for non-authorized writes. This allows the amount of consumable to decrement.
It is possible for someone who knows a key to further restrict other keys, but it is not in anyone's interest to do so.
9.5 PROGRAMMING K In this case, we have a factory chip (ChipF) connected to a System. The System wants to program the key in another chip (ChipP). System wants to avoid passing the new key to ChipP in the clear, and also wants to avoid the possibility of the key-upgrade message being replayed on another ChipP (even if the user doesn't know the key).
The protocol is a simple extension of the single key protocol in that it assumes that ChipF and ChipP already share a secret key K0|d. This key is used to ensure that only a chip that knows K0|d can set Knew.
The protocol requires the following publicly available functions in ChipP: Random" Returns R (does not advance R). ReplaceKeyfn, X, Y, Z] Replaces Kn by SKn[R|X|C3]ΘY, advances R, and returns 1 only if Sκn[ |Y|C3] = Z. Otherwise returns 0. The time taken to calculate signatures and compare values must be identical for all inputs.
And the following data and functions in ChipF: CountRemaining Part of M with contains the number of signatures that ChipF is allowed to generate. Decrements with each successful call to GetProgramKey. Permissions in P for this part of M needs to be Readonly once ChipF has been setup. Therefore can only be updated by a ChipS that has authority to perform updates to that part of M. Kne The new key to be transferred from ChipF to ChipP. Must not be visible. SetPartialKey[X,Y] If word X of Knew has not yet been set, set word X of Knew to Y and return 1. Otherwise return 0. This function allows Knew to be programmed in multiple steps, thereby allowing different people or systems to know different parts of the key (but not the whole Knew)- Knew is stored in ChipF's flash memory. Since there is a small number of ChipFs, it is theoretically not necessary to store the inverse of Knew, but it is stronger protection to do so. GetProgramKey[n, X] Advances RF, decrements CountRemaining, outputs RF, the encrypted key SKn[X|RF|C3]®Knew and a signature of the first two outputs plus C3 if CountRemaining>0. Otherwise outputs 0. The time to calculate the encrypted key & signature must be identical for all inputs.
To update P's key : a. System calls ChipP's Random function; b. ChipP returns RP to System; c. System calls ChipF's GetProgramKey function, passing in n1 (the desired key to use) and the result from b; d. ChipF updates RF, then calculates and returns RF, Sκni[Rp|RF|C3]ΦKnew, and Sκπl[RF|Sκnl[Rp|RF|C3]θKnew|C3]; e. If the response from d is not 0, System calls ChipP's ReplaceKey function, passing in n2 (the key to use in ChipP) and the response from d; f. System checks response from ChipP. If the response is 1 , then KPn2 has been correctly updated to Knew- I the response is 0, KPn2 has not been updated.
The choice of n1 and n2 must be such that ChipF's Knι = ChipP's Kn2.
The data flow for key updates is shown in Figure 341 below.
Note that Kπew is never passed in the open. An attacker could send its own RP, but cannot produce SKni[Rp|RF|C3] without Knι. The signature based on Kne is sent to ensure that ChipP will be able to determine if either of the first two parameters have been changed en route. CountRemaining needs to be setup in MF (including making it Readonly in P) before ChipF is programmed with KP. ChipF should therefore be programmed to only perform a limited number of GetProgramKey operations (thereby limiting compromise exposure if a ChipF is stolen). An authorized ChipS can be used to update this counter if neccesary (see Section 9.4 on page 700).
9.5.1 Chicken and Egg As with the single key protocol, for the Program Key protocol to work, both ChipF and ChipP must both know K0|d. Obviously both chips had to be programmed with K0|d, and thus K0|d can be thought of as an older Knew: K0|d can be placed in chips if another ChipF knows Koir, and so on.
Although this process allows a chain of reprogramming of keys, with each stage secure, at some stage the very first key (Kflrst) must be placed in the chips. Kfirst is in fact programmed with the chip's microcode at the manufacturing test station as the last step in manufacturing test. Kflrst can be a manufacturing batch key, changed for each batch or for each customer etc, and can have as short a life as desired. Compromising Kflrst need not result in a complete compromise of the chain of Ks.
Depending on the reprogramming requirements, Kflrst can be the same or different for all Kn.
10 Multiple Keys Multiple Memory Vectors
10.1 PROTOCOL BACKGROUND This protocol set is a slight restriction of the multiple key single memory vector protocol set, and is the expected protocol. It is a restriction in that M has been optimized for Flash memory utilization.
M is broken into multiple memory vectors (semi-fixed and variable components) for the purposes of optimizing flash memory utilization. Typically M contains some parts that are fixed at some stage of the manufacturing process (eg a batch number, serial number etc), and once set, are not ever updated. This information does not contain the amount of consumable remaining, and therefore is not read or written to with any great frequency.
We therefore define M0 to be the M that contains the frequently updated sections, and the remaining Ms to be rarely written to. Authenticated writes only write to M0, and non-authenticated writes can be directed to a specific Mn. This reduces the size of permissions that are stored in the QA Chip (since key-based writes are not required for Ms other than M0). It also means that Mo and the remaining Ms can be manipulated in different ways, thereby increasing flash memory longevity.
10.2 REQUIREMENTS OF PROTOCOL
Each QA Chip contains the following values:
N The maximum number of keys known to the chip.
T The number of vectors M is broken into.
KN Array of N secret keys used for calculating F[X] where Kn is the nth element of the array. Each Kπ must not be stored directly in the QA Chip . Instead, each chip needs to store a single random number Rκ (different for each chip), KnΘRκ, and -,Kn®Rκ- The stored KnΘRκ can be XORed with Rκ to obtain the real Kn. Although -,KnΘRκ must be stored to protect against differential attacks, it is not used.
R Current random number used to ensure time varying messages. Each chip instance must be seeded with a different initial value. Changes for each signature generation. Mτ Array of T memory vectors. Only M0 can be written to with an authorized write, while all Ms can be written to in an unauthorized write. Writes to M0 are optimized for Flash usage, while updates to any other Mn are expensive with regards to Flash utilization, and are expected to be only performed once per section of Mn. Mi contains T and N in Readonly form so users of the chip can know these two values. Pτ+N T+N element array of access permissions for each part of M. Entries n={0... T-1} hold access permissions for non-authenticated writes to Mn (no key required). Entries n={T to T+N-1}hold access permissions for authenticated writes to M0 for Kπ. Permission choices for each part of M are Read Only, Read/Write, and Decrement Only. C 3 constants used for generating signatures. C|, C2, and C3 are constants that pad out a submessage to a hashing boundary, and all 3 must be different.
Each QA Chip contains the following private function:
n[N,X] Internal function only. Returns SKn[X], the result of applying a digital signature function S to X based upon the appropriate key Kn. The digital signature must be long enough to counter the chances of someone generating a random signature. The length depends on the signature scheme chosen, although the scheme chosen for the QA Chip is HMAC-SHA1 , and therefore the length of the signature is 160 bits.
Additional functions are required in certain QA Chips, but these are described as required.
10.3 READS
As with the previous scenarios, we have a trusted chip (ChipT) connected to a System. The System wants to authenticate an object that contains a non-trusted chip (ChipA). In effect, the System wants to know that it can securely read a memory vector (Mt) from ChipA: to be sure that ChipA is valid and that M has not been altered. The protocol requires the following publicly available functions: Randomjr Returns R (does not advance R). Read[n, t, X] Advances R, and returns R, Mt, S n[X|R|Cι|Mt]. The time taken to calculate the signature must not be based on the contents of X, R, Mt, or K. If t is invalid, the function assumes t=0. Test[n,X, Y, Z] Advances R and returns 1 if SKn[R|X|Cι|Y] = Z. Otherwise returns 0. The time taken to calculate and compare signatures must be independent of data content.
To authenticate ChipA and read ChipA's memory M: a. System calls ChipT's Random function; b. ChipT returns Rτ to System; c. System calls ChipA's Read function, passing in some key number n1 , the desired M number t, and the result from b; d. ChipA updates RA, then calculates and returns RA, MAt, SκΛni[ τ|RA|Cι|MAt]; e. System calls ChipT's Test function, passing in n2, RA, MAt, SκAn [Rτ|RA|Cι|MAt]; f. System checks response from ChipT. If the response is 1 , then ChipA is considered authentic. If 0, ChipA is considered invalid.
The choice of n1 and n2 must be such that ChipA's Knι = ChipT's Kn2.
The data flow for read authentication is shown in Figure 342 below.
The protocol allows System to simply pass data from one chip to another, with no special processing. The protection relies on ChipT being trusted, even though System does not know K.
When ChipT is physically separate from System (eg is chip on a board connected to System) System must also occassionally (based on system clock for example) call ChipT's Test function with bad data, expecting a 0 response. This is to prevent someone from inserting a fake ChipT into the system that always returns 1 for the Test function.
It is important that n1 is chosen by System. Otherwise ChipA would need to return NA sets of signatures for each read, since ChipA does not know which of the keys will satisfy ChipT. Similarly, system must also choose n2, so it can potentially restrict the number of keys in ChipT that are matched against (otherwise ChipT would have to match against all its keys). This is important in order to restrict how different keys are used. For example, say that ChipT contains 6 keys, keys 0-2 are for various printer-related upgrades, and keys 3-6 are for inks. ChipA contains say 4 keys, one key for each printer model. At power-up, System goes through each of chipA's keys 0-3, trying each out against ChipT's keys 3-6. System doesn't try to match against ChipT's keys 0-2. Otherwise knowledge of a speed-upgrade key could be used to provide ink QA Chip chips. This matching needs to be done only once (eg at power up). Once matching keys are found, System can continue to use those key numbers. Since System needs to know Nτ, NA, and TA, part of Mi is used to hold N (eg in Read Only form), and the system can obtain it by calling the Read function, passing in key 0 and t=1.
10.4 WRITES As with the previous scenarios, the System wants to update Mt in ChipU. As before, this can be done in a non-authenticated and authenticated way.
10.4.1 Non-authenticated writes
This is the most frequent type of write, and takes place between the System / consumable during normal everyday operation for M0, and during the manufacturing process for Mt.
In this kind of write, System wants to change M subject to P. For example, the System could be decrementing the amount of consumable remaining. Although System does not need to know and of the Ks or even have access to a trusted chip to perform the write, System must follow a non- authenticated write by an authenticated read if it needs to know that the write was successful.
The protocol requires the following publicly available function: Writeft, X] Writes X over those parts of Mt subject to Pt and the existing value for M.
To authenticate a write of Mnew to ChipA's memory M: a. System calls ChipU's Write function, passing in Mnew,' b. The authentication procedure for a Read is carried out (see Section 9.3 on page 698); c. If ChipU is authentic and Mnew = M returned in b, the write succeeded. If not, it failed.
10.4.2 Authenticated writes
In the multiple memory vectors protocol, only M0 can be written to an an authenticated way. This is because only M0 is considered to have components that need to be upgraded.
In this kind of write, System wants to change Chip U's M0 in an authorized way, without being subject to the permissions that apply during normal operation. For example, the consumable may be at a refilling station and the normally Decrement Only section of M0 should be updated to include the new valid consumable. In this case, the chip whose M0 is being updated must authenticate the writes being generated by the external System and in addition, apply the appropriate permission for the key to ensure that only the correct parts of M0 are updated. Having a different permission for each key is required as when multiple keys are involved, all keys should not necessarily be given open access to M0. For example, suppose Mo contains printer speed and a counter of money available for franking. A ChipS that updates printer speed should not be capable of updating the amount of money. Since P0...T-1 is used for non-authenticated writes, each Kn has a corresponding permission Pτ+n that determines what can be updated in an authenticated write.
In this transaction protocol, the System's chip is referred to as ChipS, and the chip being updated is referred to as ChipU. Each chip distrusts the other.
The protocol requires the following publicly available functions in ChipU: Read[n, t, X] Advances R, and returns R, Mt, SKn[X|R|Cι|Mt]. The time taken to calculate the signature must not be based on the contents of X, R, Mt, or K. WriteA[n, X, Y, Z] Advances R, replaces M0 by Y subject to Pτ+π, and returns 1 only if Sκπ[R|X|Cι|Y] = Z. Otherwise returns 0. The time taken to calculate and compare signatures must be independent of data content. This function is identical to ChipT's Test function except that it additionally writes Y subject to PT+n to its M when the signature matches.
Authenticated writes require that the System has access to a ChipS that is capable of generating appropriate signatures. ChipS requires the following variables and function: CountRemaining Part of M that contains the number of signatures that ChipS is allowed to generate. Decrements with each successful call to SignM and SignP. Permissions in ChipS's P0..τ-1 for this part of M needs to be Readonly once ChipS has been setup. Therefore CountRemaining can only be updated by another ChipS that will perform updates to that part of M (assuming ChipS's P allows that part of M to be updated). Q Part of M that contains the write permissions for updating ChipU's M. By adding Q to ChipS we allow different ChipSs that can update different parts of Mu- Permissions in ChipS's P0..τ-ι for this part of M needs to be Readonly once ChipS has been setup. Therefore Q can only be updated by another ChipS that will perform updates to that part of M. SignM[nN,W,X,Y,Z] Advances R, decrements CountRemaining and returns R, ZQX (Z applied to X with permissions Q), Sκn[W|R|Cι|ZQχ] only if Y =
Figure imgf000711_0001
and CountRemaining > 0. Otherwise returns all 0s. The time taken to calculate and compare signatures must be independent of data content. To update ChipU's M vector: a. System calls ChipU's Read function, passing in n1, 0 and 0 as the input parameters; b. ChipU produces Ru, Muo, SKni[0|Ru|Ci|Muo] and returns these to System; c. System calls ChipS's SignM function, passing in n2 (the key to be used in ChipS), 0 (as used in a), Ru, Muo, SKni[0|Ru|Ci|Muo], and MD (the desired vector to be written to ChipU); d. ChipS produces Rs, MQD (processed by running MD against Muo using Q) and SKΠ2[ U|RS|CI |MQD] if the inputs were valid, and 0 for all outputs if the inputs were not valid. e. If values returned in d are non zero, then ChipU is considered authentic. System can then call ChipU's WriteA function with these values from d. f. ChipU should return a 1 to indicate success. A 0 should only be returned if the data generated by ChipS is incorrect (e.g. a transmission error).
The choice of n1 and n2 must be such that ChipU's Knι = ChipS's Kn2.
The data flow for authenticated writes is shown in Figure 343 below.
Note that Q in ChipS is part of ChipS's M. This allows a user to set up ChipS with a permission set for upgrades. This should be done to ChipS and that part of M designated by P0..τ-ι set to Readonly before ChipS is programmed with Ku. If Ks is programmed with Ku first, there is a risk of someone obtaining a half-setup ChipS and changing all of Mu instead of only the sections specified by Q.
In addition, CountRemaining in ChipS needs to be setup (including making it Readonly in Ps) before ChipS is programmed with Ku. ChipS should therefore be programmed to only perform a limited number of SignM operations (thereby limiting compromise exposure if a ChipS is stolen). Thus ChipS would itself need to be upgraded with a new CountRemaining every so often.
10.4.3 Updating permissions for future writes
In order to reduce exposure to accidental and malicious attacks on P (and certain parts of M), only authorized users are allowed to update P. Writes to P are the same as authorized writes to M, except that they update Pn instead of M. Initially (at manufacture), P is set to be Read/Write for all M. As different processes fill up different parts of M, they can be sealed against future change by updating the permissions. Updating a chip's P0..τ-ι changes permissions for unauthorized writes to Mn, and updating PJ..T+N-I changes permissions for authorized writes with key Kn.
Pn is only allowed to change to be a more restrictive form of itself. For example, initially all parts of M have permissions of Read/Write. A permission of Read/Write can be updated to Decrement Only or Read Only. A permission of Decrement Only can be updated to become Read Only. A Read Only permission cannot be further restricted.
In this transaction protocol, the System's chip is referred to as ChipS, and the chip being updated is referred to as ChipU. Each chip distrusts the other.
The protocol requires the following publicly available functions in ChipU: RandomQ Returns R (does not advance R). SetPermission[n,p,X,Y,Z] Advances R, and updates Pp according to Y and returns 1 followed by the resultant Pp only if SKn[R|X|Y|C2] = Z. Otherwise returns 0. Pp can only become more restricted. Passing in 0 for any permission leaves it unchanged (passing in Y=0 returns the current Pp). Authenticated writes of permissions require that the System has access to a ChipS that is capable of generating appropriate signatures. ChipS requires the following variables and function:
CountRemaining Part of ChipS's M0 that contains the number of signatures that ChipS is allowed to generate. Decrements with each successful call to SignM and SignP. Permissions in ChipS's P0..τ-ι for this part of M0 needs to be Readonly once ChipS has been setup. Therefore CountRemaining can only be updated by another ChipS that will perform updates to that part of M0 (assuming ChipS's Pn allows that part of Mo to be updated). SignP[n,X,Y] Advances R, decrements CountRemaining and returns R and SKn[X|R|Y|C2] only if CountRemaining > 0. Otherwise returns all 0s. The time taken to calculate and compare signatures must be independent of data content.
To update ChipU's Pn: a. System calls ChipU's Random function; b. ChipU returns Ru to System; c. System calls ChipS's SignP function, passing in n1 , Ru and PD (the desired P to be written to ChipU); d. ChipS produces Rs and SKni[Ru| s| D|C ] if it is still permitted to produce signatures. e. If values returned in d are non zero, then System can then call ChipU's SetPermission function with n2, the desired permission entry p, Rs, PD and SKnι[Ru|Rs|PD|C2]. f. ChipU verifies the received signature against SKΠ2[RU|RS|PD|C2] and applies PD to Pn if the signature matches g. System checks 1st output parameter. 1 = success, 0 = failure.
The choice of n1 and n2 must be such that ChipU's Knι = ChipS's Kn2.
The data flow for authenticated writes to permissions is shown in Figure 344 below.
10.4.4 Protecting M in a multiple key multiple M system
To protect the appropriate part of Mn against unauthorized writes, call SetPermissionsfn] for n = 0 to T-1. To protect the appropriate part of M0 against authorized writes with key n, call SetPermissions[T+n] for n=0 to N-1.
Note that only M0 can be written in an authenticated fashion.
Note that the SetPermission function must be called after the part of M has been set to the desired value.
For example, if adding a serial number to an area of Mi that is currently ReadWrite so that noone is permitted to update the number again:
• the Write function is called to write the serial number to Mi • SetPermission(1 ) is called for to set that part of M to be Readonly for non-authorized writes.
If adding a consumable value to M0 such that only keys 1-2 can update it, and keys 0, and 3-N cannot:
• the Write function is called to write the amount of consumable to M • SetPermission is called for 0 to set that part of M0 to be DecrementOnly for non-authorized writes. This allows the amount of consumable to decrement.
• SetPermission is called for n = {T, T+3, T+4 ..., T+N-1} to set that part of M0 to be Readonly for authorized writes using all but keys 1 and 2. This leaves keys 1 and 2 with ReadWrite permissions to M0.
It is possible for someone who knows a key to further restrict other keys, but it is not in anyone's interest to do so.
10.5 PROGRAMMING K This section is identical to the multiple key single memory vector ( Section 9.5 on page 704). It is repeated here with mention to M0 instead of M for CountRemaining. In this case, we have a factory chip (ChipF) connected to a System. The System wants to program the key in another chip (ChipP). System wants to avoid passing the new key to ChipP in the clear, and also wants to avoid the possibility of the key-upgrade message being replayed on another ChipP (even if the user doesn't know the key).
The protocol is a simple extension of the single key protocol in that it assumes that ChipF and ChipP already share a secret key K0|d. This key is used to ensure that only a chip that knows K0|d can set Knew
The protocol requires the following publicly available functions in ChipP: Random D Returns R (does not advance R). ReplaceKey[n, X, Y, Z] Replaces Kn by SKn[R|X|C3]®Y, advances R, and returns 1 only if Sκn[X|Y|C3] = Z. Otherwise returns 0. The time taken to calculate signatures and compare values must be identical for all inputs. And the following data and functions in ChipF: CountRemaining Part of M0 with contains the number of signatures that ChipF is allowed to generate. Decrements with each successful call to GetProgramKey. Permissions in P for this part of M0 needs to be Readonly once ChipF has been setup. Therefore can only be updated by a ChipS that has authority to perform updates to that part of M0. Kn The new key to be transferred from ChipF to ChipP. Must not be visible. SetPartialKey[X,Y] If word X of Knew has not yet been set, set word X of Knew to Y and return 1. Otherwise return 0. This function allows Knew to be programmed in multiple steps, thereby allowing different people or systems to know different parts of the key (but not the whole Knew). Knew is stored in ChipF's flash memory. Since there is a small number of ChipFs, it is theoretically not necessary to store the inverse of Kw, but it is stronger protection to do so. GetProgramKey[n, X] Advances RF, decrements CountRemaining, outputs RF, the encrypted key SKn[X| F|C3]0Kne and a signature of the first two outputs plus C-3 if CountRemaining>0. Otherwise outputs 0. The time to calculate the encrypted key & signature must be identical for all inputs.
To update P's key : a. System calls ChipP's Random function; b. ChipP returns RP to System; c. System calls ChipF's GetProgramKey function, passing in n1 (the desired key to use) and the result from b; d. ChipF updates RF, then calculates and returns RF, SKni[RP|RF|C3]ΘKnew, and SKnl[RF|Sκnl[Rp|RF|C3]θKnew|C3]; e. If the response from d is not 0, System calls ChipP's ReplaceKey function, passing in n2 (the key to use in ChipP) and the response from d; f. System checks response from ChipP. If the response is 1, then KPn2 has been correctly updated to Knew- If the response is 0, KPn2 has not been updated.
The choice of n1 and n2 must be such that ChipF's Kn1 = ChipP's Kn2. The data flow for key updates is shown in Figure 345below.
Note that Kns is never passed in the open. An attacker could send its own RP, but cannot produce SKΠI[RP|RF|C3] without Kn-]. The signature based on Knew is sent to ensure that ChipP will be able to determine if either of the first two parameters have been changed en route.
CountRemaining needs to be setup in MF0 (including making it Readonly in P) before ChipF is programmed with KP. ChipF should therefore be programmed to only perform a limited number of GetProgramKey operations (thereby limiting compromise exposure if a ChipF is stolen). An authorized ChipS can be used to update this counter if neccesary (see Section 9.4 on page 700).
10.5.1 Chicken and Egg
As with the single key protocol, for the Program Key protocol to work, both ChipF and ChipP must both know Koid. Obviously both chips had to be programmed with Koid, and thus K0|d can be thought of as an older Knew: K0|d can be placed in chips if another ChipF knows K0|der, and so on.
Although this process allows a chain of reprogramming of keys, with each stage secure, at some stage the very first key (Kflrst) must be placed in the chips. Kfirst is in fact programmed with the chip's microcode at the manufacturing test station as the last step in manufacturing test. Kfirs can be a manufacturing batch key, changed for each batch or for each customer etc, and can have as short a life as desired. Compromising Kfirst need not result in a complete compromise of the chain of Ks. Depending on reprogramming requirements, Kfirst can be the same or different for all Kn.
10.5.2 Security Note
Different ChipFs should have different RF values to prevent Knew from being determined as follows: The attacker needs 2 ChipFs, both with the same RF and Kn but different values for KneW- By knowing Kπewι the attacker can determine KneW2- The size of RF is 2160, and assuming a lifespan of approximately 232 Rs, an attacker needs about 260 ChipFs with the same Kn to locate the correct chip. Given that there are likely to be only hundreds of ChipFs with the same Kn, this is not a likely attack. The attack can be eliminated completely by making C3 different per chip and transmitting it with the new signature.
11 Summary of functions for all protocols
All protocol sets, whether single key, multiple key, single M or multiple M, all rely on the same set of functions. The function set is listed here:
11.1 ALL CHIPS Since every chip must act as ChipP, ChipA and potentially ChipU, all chips require the following functions:
• Random
• ReplaceKey
• Read • Write
• WriteA
• SetPermissions
11.2 CHIPT Chips that are to be used as ChipT also require:
• Test
11.3 CHIPS
Chips that are to be used as ChipS also require either or both of: • SignM
• SignP
11.4 CHIPF
Chips that are to be used as ChipF also require: • SetPartialKey
• GetProgramKey
12 Remote Upgrades
12.1 BASIC REMOTE UPGRADES Regardless of the number of keys and the number of memory vectors, the use of authenticated reads and writes, and of replacing a new key without revealing Knew or K0|d allows the possibility of remote upgrades of ChipU and ChipP. The upgrade typically involves a remote server and follows two basic steps: a. During the first stage of the upgrade, the remote system authenticates the user's system to ensure the user's system has the setup that it claims to have. b. During the second stage of the upgrade, the user's system authenticates the remote system to ensure that the upgrade is from a trusted source.
12.1.1 User requests upgrade
The user requests that he wants to upgrade. This can be done by running a specific upgrade application on the user's computer, or by visiting a specific website.
12.1.2 Remote system gathers info securely about user's current setup
In this step, the remote system determines the current setup for the user. The current setup must be authenticated, to ensure that the user truly has the setup that is claimed. Traditionally, this has been by checking the existence of files, generating checksums from those files, or by getting a serial number from a hardware dongle, although these traditional methods have difficulties since they can be generated locally by "hacked" software.
The authenticated read protocol described in Section 8.3 on page 691 can be used to accomplish this step. The use of random numbers has the advantage that the local user cannot capture a successful transaction and play it back on another computer system to fool the remote system.
12.1.3 Remote system gives user choice of upgrade possibilities & user chooses
If there is more than one upgrade possibility, the various upgrade options are now presented to the user. The upgrade options could vary based on a number of factors, including, but not limited to:
• current user setup
• user's preference for payment schemes (e.g. single payment vs. multiple payment)
• number of other products owned by user The user selects an appropriate upgrade and pays if necessary (by some scheme such as via a secure web site). What is important to note here is that the user chooses a specific upgrade and commences the upgrade operation.
12.1.4 Remote system sends upgrade request to local system The remote system now instructs the local system to perform the upgrade. However, the local system can only accept an upgrade from the remote system if the remote system is also authenticated. This is effectively an authenticated write. The use of Ru in the signature prevents the upgrade message from being replayed on another ChipU.
If multiple keys are used, and each chip has a unique key, the remote system can use a serial number obtained from the current setup (authenticated by a common key) to lookup the unique key for use in the upgrade. Although the random number provides time varying messages, use of an unknown K that is different for each chip means that collection and examination of messages and their signatures is made even more difficult.
12.2 OEM UPGRADES
OEM upgrades are effectively the same as remote upgrades, except that the user interacts with an
OEM server for upgrade selection. The OEM server may send sub-requests to the manufacturer's remote server to provide authentication, upgrade availability lists, and base-level pricing information.
An additional level of authentication may be incorporated into the protocol to ensure that upgrade requests are coming from the OEM server, and not from a 3rd party. This can readily be incorporated into both authentication steps.
13 Choice of Signature Function
Given that all protocols make use of keyed signature functions, the choice of function is examined here.
Table 232 outlines the attributes of the applicable choices (see Section 5.2 on page 656 and Section 5.5 on page 663 for more information). The attributes are phrased so that the attribute is seen as an advantage. Table 232. Attributes of Applicable Signature Functions
Figure imgf000719_0001
Figure imgf000720_0001
An examination of Table 232 shows that the choice is effectively between the 3 HMAC constructs and the Random Sequence. The problem of key size and key generation eliminates the Random Sequence. Given that a number of attacks have already been carried out on MD5 and since the hash result is only 128 bits, HMAC-MD5 is also eliminated. The choice is therefore between HMAC- SHA1 and HMAC-RIPEMD160. Of these, SHA-1 is the preferred function, since:
• SHA-1 has been more extensively cryptanalyzed without being broken;
• SHA-1 requires slightly less intermediate storage than RIPE-MD-160;
• SHA-1 is algorithm ically less complex than RIPE-MD-160;
Although SHA-1 is slightly faster than RIPE-MD-160, this was not a reason for choosing SHA-1.
13.1 HMAC-SHA1
The mechanism for authentication is the HMAC-SHA1 algorithm. This section examines the HMAC- SHA1 algorithm in greater detail than covered so far, and describes an optimization of the algorithm that requires fewer memory resources than the original definition.
13.1.1 HMAC
Given the following definitions:
• H = the hash function (e.g. MD5 or SHA-1 )
• n = number of bits output from H (e.g. 160 for SHA-1, 128 bits for MD5)
• M = the data to which the MAC function is to be applied
• K = the secret key shared by the two parties
• ipad = 0x36 repeated 64 times
Only gives protection equivalent to 112-bit DES • opad = 0x5C repeated 64 times
The HMAC algorithm is as follows: a. Extend K to 64 bytes by appending 0x00 bytes to the end of K b. XOR the 64 byte string created in (1 ) with ipad c. append data stream M to the 64 byte string created in (2) d. Apply H to the stream generated in (3) e. XOR the 64 byte string created in (1 ) with opad f. Append the H result from (4) to the 64 byte string resulting from (5) g. Apply H to the output of (6) and output the result
Thus: HMAC[M] = H[(K θ opad) | H[(K θ ipad) | M]] The HMAC-SHA1 algorithm is simply HMAC with H = SHA-1.
13.1.2 SHA-1
The SHA1 hashing algorithm is described in the context of other hashing algorithms in Section 5.5.3.3 on page 667, and completely defined in [28]. The algorithm is summarized here. Nine 32-bit constants are defined in Table 233. There are 5 constants used to initialize the chaining variables, and there are 4 additive constants. Table 233. Constants used in SHA-1
Initial Chaining Values Additive Constants 0x67452301 yi 0X5A827999 Λ2 0XEFCDAB89 V2 0X6ED9EBA1 h3 0X98BADCFΞ Yz OxδFlBBCDC hi, 0x10325476 y4 0XCA62C1D6 h5 0XC3D2E1F0
Non-optimized SHA-1 requires a total of 2912 bits of data storage: • Five 32-bit chaining variables are defined: Hi, H2, H3, H4 and H5.
• Five 32-bit working variables are defined: A, B, C, D, and E.
• One 32-bit temporary variable is defined: t.
• Eighty 32-bit temporary registers are defined: X0-79. The following functions are defined for SHA-1 : Table 234. Functions used in SHA-1
Symbolic Nomenclature Description ,32 + Addition modulo 2° X « Y Result of rotating X left through Y bit positions f(X, Y, Z) (X Λ Y) v (-.X Λ Z) g(X, Y, Z) (X Λ Y) V (X Λ Z) V (Y Λ Z) h(X, Y, Z) X Θ Y Θ Z
The hashing algorithm consists of firstly padding the input message to be a multiple of 512 bits and initializing the chaining variables Hι-5 with hι-5. The padded message is then processed in 512-bit chunks, with the output hash value being the final 160-bit value given by the concatenation of the chaining variables: HT | H2 | H3 | H4 ] H5.
The steps of the SHA-1 algorithm are now examined in greater detail.
13.1.2.1 Step 1. Preprocessing
The first step of SHA-1 is to pad the input message to be a multiple of 512 bits as follows and to initialize the chaining variables.
Table 235. Steps to follow to preprocess the input message
Figure imgf000722_0001
13.1.2.2 Step 2. Processing
The padded input message is processed in 512-bit blocks. Each 512-bit block is in the form of 16 x
32-bit words, referred to as lnputWord0-15.
Table 236. Steps to follow for each 512 bit block (lnputWord0-ι5)
Figure imgf000723_0001
The bold text is to emphasize the differences between each round.
13.1.2.3 Step 3. Completion
After all the 512-bit blocks of the padded input message have been processed, the output hash value is the final 160-bit value given by: Hi | H2 | H3 1 H4 | H5.
13.1.2.4 Optimization for hardware implementation The SHA-1 Step 2 procedure is not optimized for hardware. In particular, the 80 temporary 32-bit registers use up valuable silicon on a hardware implementation. This section describes an optimization to the SHA-1 algorithm that only uses 16 temporary registers. The reduction in silicon is from 2560 bits down to 512 bits, a saving of over 2000 bits. It may not be important in some applications, but in the QA Chip storage space must be reduced where possible.
The optimization is based on the fact that although the original 16-word message block is expanded into an 80-word message block, the 80 words are not updated during the algorithm. In addition, the words rely on the previous 16 words only, and hence the expanded words can be calculated on- the-fly during processing, as long as we keep 16 words for the backward references. We require rotating counters to keep track of which register we are up to using, but the effect is to save a large amount of storage.
Rather than index X by a single value j, we use a 5 bit counter to count through the iterations. This can be achieved by initializing a 5-bit register with either 16 or 20, and decrementing it until it reaches 0. In order to update the 16 temporary variables as if they were 80, we require 4 indexes, each a 4-bit register. All 4 indexes increment (with wraparound) during the course of the algorithm.
Table 237. Optimised Steps to follow for each 512 bit block (lnputWord0-ι5)
Figure imgf000724_0001
Figure imgf000725_0001
The bold text is to emphasize the differences between each round.
The incrementing of Ni, N2, and N3 during Rounds 0 and 1 A is optional. A software implementation would not increment them, since it takes time, and at the end of the 16 times through the loop, all 4 counters will be their original values. Designers of hardware may wish to increment all 4 counters together to save on control logic. Round 0 can be completely omitted if the caller loads the 512 bits of X0-ι5.
14 Holding Out Against Attacks
The authentication protocols described in Section 7 on page 688 onward should be resistant to defeat by logical means. This section details each type of attack in turn with reference to the Read Authentication protocol.
14.1' BRUTE FORCE ATTACK
A brute force attack is guaranteed to break any protocol. However the length of the key means that the time for an attacker to perform a brute force attack is too long to be worth the effort.
An attacker only needs to break K to build a clone authentication chip. A brute force attack on K must therefore break a 160-bit key. An attack against K requires a maximum of 2160 attempts, with a 50% chance of finding the key after only 2159 attempts. Assuming an array of a trillion processors, each running one million tests per second, 2159 (7.3 x 1047) tests takes 2.3 x 1022 years, which is longer than the total lifetime of the universe. There are around 100 million personal computers in the world. Even if these were all connected in an attack (e.g. via the Internet), this number is still 10,000 times smaller than the trillion-processor attack described. Further, if the manufacture of one trillion processors becomes a possibility in the age of nanocomputers, the time taken to obtain the key is still longer than the total lifetime of the universe.
14.2 GUESSING THE KEY ATTACK
It is theoretically possible that an attacker can simply "guess the key". In fact, given enough time, and trying every possible number, an attacker will obtain the key. This is identical to the brute force attack described above, where 2159 attempts must be made before a 50% chance of success is obtained.
The chances of someone simply guessing the key on the first try is 2160. For comparison, the chance of someone winning the top prize in a U.S. state lottery and being killed by lightning in the same day is only 1 in 261 [78]. The chance of someone guessing the authentication chip key on the first go is 1 in 2160, which is comparable to two people choosing exactly the same atoms from a choice of all the atoms in the Earth i.e. extremely unlikely.
14.3 QUANTUM COMPUTER ATTACK
To break K, a quantum computer containing 160 qubits embedded in an appropriate algorithm must be built. As described in Section 5.7.1.7 on page 675, an attack against a 160-bit key is not feasible. An outside estimate of the possibility of quantum computers is that 50 qubits may be achievable within 50 years. Even using a 50 qubit quantum computer, 2110 tests are required to crack a 160 bit key. Assuming an array of 1 billion 50 qubit quantum computers, each able to try 250 keys in 1 microsecond (beyond the current wildest estimates) finding the key would take an average of 18 billion years.
14.4 ClPHERTEXT ONLY ATTACK
An attacker can launch a ciphertext only attack on K by monitoring calls to Random and Read. However, given that all these calls also reveal the plaintext as well as the hashed form of the plaintext, the attack would be transformed into a stronger form of attack - a known plaintext attack.
14.5 KNOWN PLAINTEXT ATTACK It is easy to connect a logic analyzer to the connection between the System and the authentication chip, and thereby monitor the flow of data. This flow of data results in known plaintext and the hashed form of the plaintext, which can therefore be used to launch a known plaintext attack against K. To launch an attack against K, multiple calls to Random and Test must be made (with the call to Test being successful, and therefore requiring a call to Read on a valid chip). This is straightforward, requiring the attacker to have both a system authentication chip and a consumable authentication chip. For each set of calls, an X, SK[X] pair is revealed. The attacker must collect these pairs for further analysis. The question arises of how many pairs must be collected for a meaningful attack to be launched with this data. An example of an attack that requires collection of data for statistical analysis is differential cryptanalysis (see Section 14.13 on page 730). However, there are no known attacks against SHA-1 or HMAC-SHA1 [7][7][7], so there is no use for the collected data at this time.
14.6 CHOSEN PLAINTEXT ATTACKS
The golden rule for the QA Chip is that it never signs something that is simply given to it - i.e. it never lets the user choose the message that is signed.
Although the attacker can choose both Rτ and possibly M, ChipA advances its random number RA with each call to Read. The resultant message X therefore contains 160 bits of changing data each call that are not chosen by the attacker.
To launch a chosen text attack the attacker would need to locate a chip whose R was the desired
R. This makes the search effectively impossible.
14.7 ADAPTIVE CHOSEN PLAINTEXT ATTACKS
The HMAC construct provides security against all forms of chosen plaintext attacks [7]. This is primarily because the HMAC construct has 2 secret input variables (the result of the original hash, and the secret key). Thus finding collisions in the hash function itself when the input variable is secret is even harder than finding collisions in the plain hash function. This is because the former requires direct access to SHA-1 in order to generate pairs of input/output from SHA-1.
Since R changes with each call to Read, the user cannot choose the complete message. The only value that can be collected by an attacker is HMAC[Rι | R2 1 M2]. These are not attacks against the SHA-1 hash function itself, and reduce the attack to a differential cryptanalysis attack (see Section 14.13 on page 730), examining statistical differences between collected data. Given that there is no differential cryptanalysis attack known against SHA-1 or HMAC, the protocols are resistant to the adaptive chosen plaintext attacks.
14.8 PURPOSEFUL ERROR ATTACK An attacker can only launch a purposeful error attack on the Test function, since this is the only function in the Read protocol that validates input against the keys.
With the Test function, a 0 value is produced if an error is found in the input - no further information is given. In addition, the time taken to produce the 0 result is independent of the input, giving the attacker no information about which bit(s) were wrong. A purposeful error attack is therefore fruitless.
14.9 CHAINING ATTACK
Any form of chaining attack assumes that the message to be hashed is over several blocks, or the input variables can somehow be set. The HMAC-SHA1 algorithm used by Protocol C1 only ever hashes one or two 512-bit blocks. Chaining attacks are not possible when only one block is used, and are extremely limited when two blocks are used.
14.10 BIRTHDAY ATTACK The strongest attack known against HMAC is the birthday attack, based on the frequency of collisions for the hash function [7][7]. However this is totally impractical for minimally reasonable hash functions such as SHA-1. And the birthday attack is only possible when the attacker has control over the message that is hashed. Since in the protocols described for the QA Chip, the message to be signed is never chosen by the attacker (at least one 160-bit R value is chosen by the chip doing the signing), the attacker has no control over the message that is hashed. An attacker must instead search for a collision message that hashes to the same value (analogous to finding one person who shares your birthday).
The clone chip must therefore attempt to find a new value R2 such that the hash of Ri, R2 and a chosen M2 yields the same hash value as H[Rι|R2|M]. However ChipT does not reveal the correct hash value (the Test function only returns 1 or 0 depending on whether the hash value is correct). Therefore the only way of finding out the correct hash value (in order to find a collision) is to interrogate a real ChipA. But to find the correct value means to update M, and since the decrement- only parts of M are one-way, and the read-only parts of M cannot be changed, a clone consumable would have to update a real consumable before attempting to find a collision. The alternative is a brute force attack search on the Test function to find a success (requiring each clone consumable to have access to a System consumable). A brute force search, as described above, takes longer than the lifetime of the universe, in this case, per authentication.
There is no point for a clone consumable to launch this kind of attack.
14.11 SUBSTITUTION WITH A COMPLETE LOOKUP TABLE
The random number seed in each System is 160 bits. The best case situation for an attacker is that no state data has been changed. Assuming also that the clone consumable does not advance its R, there is a constant value returned as M. A clone chip must therefore return S«[R | c] (where c is a constant), which is a 160 bit value.
Assuming a 160-bit lookup of a 160-bit result, this requires 2.9 x 1049 bytes, or 2.6 x 1037 terabytes, certainly more space than is feasible for the near future. This of course does not even take into account the method of collecting the values for the ROM. A complete lookup table is therefore completely impossible.
14.12 SUBSTITUTION WITH A SPARSE LOOKUP TABLE
A sparse lookup table is only feasible if the messages sent to the authentication chip are somehow predictable, rather than effectively random.
The random number R is seeded with an unknown random number, gathered from a naturally
System authentication chip's Random function, and iterating some random event. There is no possibility for a clone manufacturer to know what the possible range of R is for all Systems, since each bit has an unrelated chance of being 1 or 0. Since the range of R in all systems is unknown, it is not possible to build a sparse lookup table that can be used in all systems. The general sparse lookup table is therefore not a possible attack.
However, it is possible for a clone manufacturer to know what the range of R is for a given System. This can be accomplished by loading a LFSR with the current result from a call to a specific number of times into the future. If this is done, a special ROM can be built which will only contain the responses for that particular range of R, i.e. a ROM specifically for the consumables of that particular System. But the attacker still needs to place correct information in the ROM. The attacker will therefore need to find a valid authentication chip and call it for each of the values in R. Suppose the clone authentication chip reports a full consumable, and then allows a single use before simulating loss of connection and insertion of a new full consumable. The clone consumable would therefore need to contain responses for authentication of a full consumable and authentication of a partially used consumable. The worst case ROM contains entries for full and partially used consumables for R over the lifetime of System. However, a valid authentication chip must be used to generate the information, and be partially used in the process. If a given System only produces n R-values, the sparse lookup-ROM required is 20n bytes (20 = 160 / 8) multiplied by the number of different values for M. The time taken to build the ROM depends on the amount of time enforced between calls to Read.
After all this, the clone manufacturer must rely on the consumer returning for a refill, since the cost of building the ROM in the first place consumes a single consumable. The clone manufacturer's business in such a situation is consequently in the refills. The time and cost then, depends on the size of R and the number of different values for M that must be incorporated in the lookup. In addition, a custom clone consumable ROM must be built to match each and every System, and a different valid authentication chip must be used for each System (in order to provide the full and partially used data). The use of an authentication chip in a System must therefore be examined to determine whether or not this kind of attack is worthwhile for a clone manufacturer.
As an example, of a camera system that has about 10,000 prints in its lifetime. Assume it has a single Decrement Only value (number of prints remaining), and a delay of 1 second between calls to Read. In such a system, the sparse table will take about 3 hours to build, and consumes 100K. Remember that the construction of the ROM requires the consumption of a. valid authentication chip, so any money charged must be worth more than a single consumable and the clone consumable combined. Thus it is not cost effective to perform this function for a single consumable (unless the clone consumable somehow contained the equivalent of multiple authentic consumables).
If a clone manufacturer is going to go to the trouble of building a custom ROM for each owner of a System, an easier approach would be to update System to completely ignore the authentication chip.
Consequently, this attack is possible as a per-System attack, and a decision must be made about the chance of this occurring for a given System/Consumable combination. The chance will depend on the cost of the consumable and authentication chips, the longevity of the consumable, the profit margin on the consumable, the time taken to generate the ROM, the size of the resultant ROM, and whether customers will come back to the clone manufacturer for refills that use the same clone chip etc. 14.13 DIFFERENTIAL CRYPTANALYSIS
Existing differential attacks are heavily dependent on the structure of S boxes, as used in DES and other similar algorithms. Although HMAC-SHA1 has no S boxes, an attacker can undertake a differential-like attack by undertaking statistical analysis of: • Minimal-difference inputs, and their corresponding outputs • Minimal-difference outputs, and their corresponding inputs
To launch an attack of this nature, sets of input/output pairs must be collected. The collection can be via known plaintext, or from a partially adaptive chosen plaintext attack. Obviously the latter, being chosen, will be more useful.
Hashing algorithms in general are designed to be resistant to differential analysis. SHA-1 in particular has been specifically strengthened, especially by the 80 word expansion so that minimal differences in input will still produce outputs that vary in a larger number of bit positions (compared to 128 bit hash functions). In addition, the information collected is not a direct SHA-1 input/output set, due to the nature of the HMAC algorithm. The HMAC algorithm hashes a known value with an unknown value (the key), and the result of this hash is then rehashed with a separate unknown value. Since the attacker does not know the secret value, nor the result of the first hash, the inputs and outputs from SHA-1 are not known, making any differential attack extremely difficult.
There are no known differential attacks against SHA-1 or HMAC-SHA-1 [56][56].
The following is a more detailed discussion of minimally different inputs and outputs from the QA
Chip.
14.13.1 Minimal difference inputs
This is where an attacker takes a set of X, SK[X] values where the X values are minimally different, and examines the statistical differences between the outputs S [X]. The attack relies on X values that only differ by a minimal number of bits. The question then arises as to how to obtain minimally different X values in order to compare the SK[X] values.
Although the attacker can choose both Rτ and possibly M, ChipA advances its random number RA with each call to Read. The resultant X therefore contains 160 bits of changing data each call, and is therefore not minimally different. 14.13.2 Minimal difference outputs
This is where an attacker takes a set of X, SK[X] values where the SK[X] values are minimally different, and examines the statistical differences between the X values. The attack relies on S«[X] values that only differ by a minimal number of bits.
There is no way for an attacker to generate an X value for a given SK[X]. To do so would violate the fact that S is a one-way function (HMAC-SHA1 ). Consequently the only way for an attacker to mount an attack of this nature is to record all observed X, SK[X] pairs in a table. A search must then be made through the observed values for enough minimally different SK[X] values to undertake a statistical analysis of the X values.
14.14 MESSAGE SUBSTITUTION ATTACKS
In order for this kind of attack to be carried out, a clone consumable must contain a real authentication chip, but one that is effectively reusable since it never gets decremented. The clone authentication chip would intercept messages, and substitute its own. However this attack does not give success to the attacker.
A clone authentication chip may choose not to pass on a Write command to the real authentication chip. However the subsequent Read command must return the correct response (as if the Write had succeeded). To return the correct response, the hash value must be known for the specific R and M. An attacker can only determine the hash value by actually updating M in a real Chip, which the attacker does not want to do. Even changing the R sent by System does not help since the System authentication chip must match the R during a subsequent Test.
A message substitution attack would therefore be unsuccessful. This is only true if System updates the amount of consumable remaining before it is used.
14.15 REVERSE ENGINEERING THE KEY GENERATOR
If a pseudo-random number generator is used to generate keys, there is the potential for a clone manufacture to obtain the generator program or to deduce the random seed used. This was the way in which the security layer of the Netscape browser was initially broken [33].
14.16 BYPASSING THE AUTHENTICATION PROCESS
The System should ideally update the consumable state data before the consumable is used, and follow every write by a read (to authenticate the write). Thus each use of the consumable requires an authentication. If the System adheres to these two simple rules, a clone manufacturer will have to simulate authentication via a method above (such as sparse ROM lookup). 14.17 REUSE OF AUTHENTICATION CHIPS
Each use of the consumable requires an authentication. If a consumable has been used up, then its authentication chip will have had the appropriate state-data values decremented to 0. The chip can therefore not be used in another consumable.
Note that this only holds true for authentication chips that hold Decrement-Only data items. If there is no state data decremented with each usage, there is nothing stopping the reuse of the chip. This is the basic difference between Presence-Only authentication and Consumable Lifetime authentication. All described protocols allow both.
The bottom line is that if a consumable has Decrement Only data items that are used by the System, the authentication chip cannot be reused without being completely reprogrammed by a valid programming station that has knowledge of the secret key (e.g. an authorized refill station).
14.18 MANAGEMENT DECISION TO OMIT AUTHENTICATION TO SAVE COSTS
Although not strictly an external attack, a decision to omit authentication in future Systems in order to save costs will have widely varying effects on different markets.
In the case of high volume consumables, it is essential to remember that it is very difficult to introduce authentication after the market has started, as systems requiring authenticated consumables will not work with older consumables still in circulation. Likewise, it is impractical to discontinue authentication at any stage, as older Systems will not work with the new, unauthenticated, consumables. In the second case, older Systems can be individually altered by replacing the System program code.
Without any form of protection, illegal cloning of high volume consumables is almost certain. However, with the patent and copyright protection, the probability of illegal cloning may be, say 50%. However, this is not the only loss possible. If a clone manufacturer were to introduce clone consumables which caused damage to the System (e.g. clogged nozzles in a printer due to poor quality ink), then the loss in market acceptance, and the expense of warranty repairs, may be significant.
In the case of a specialized pairing, such as a car/car-keys, or door/door-key, or some other similar situation, the omission of authentication in future systems is trivial and without repercussions. This is because the consumer is sold the entire set of System and Consumable authentication chips at the one time. 14.19 GARROTE/BRIBE ATTACK
If humans do not know the key, there is no amount of force or bribery that can reveal them. The use of ChipF and the ReplaceKey protocol is specifically designed to avoid the requirement of the programming station having to know the new key. However ChipF must be told the new key at some stage, and therefore it is the person(s) who enter the new key into ChipF that are at risk.
The level of security against this kind of attack is ultimately a decision for the System/Consumable owner, to be made according to the desired level of service.
For example, a car company may wish to keep a record of all keys manufactured, so that a person can request a new key to be made for their car. However this allows the potential compromise of the entire key database, allowing an attacker to make keys for any of the manufacturer's existing cars. It does not allow an attacker to make keys for any new cars. Of course, the key database itself may also be encrypted with a further key that requires a certain number of people to combine their key portions together for access. If no record is kept of which key is used in a particular car, there is no way to make additional keys should one become lost. Thus an owner will have to replace his car's authentication chip and all his car-keys. This is not necessarily a bad situation.
By contrast, in a consumable such as a printer ink cartridge, the one key combination is used for all Systems and all consumables. Certainly if no backup of the keys is kept, there is no human with knowledge of the key, and therefore no attack is possible. However, a no-backup situation is not desirable for a consumable such as ink cartridges, since if the key is lost no more consumables can be made. The manufacturer should therefore keep a backup of the key information in several parts, where a certain number of people must together combine their portions to reveal the full key information. This may be required if case the chip programming station needs to be reloaded.
In any case, none of these attacks are against the authenticated read protocol, since no humans are involved in the authentication process.
LOGICAL INTERFACE 15 Introduction
The QA Chip has a physical and a logical external interface. The physical interface defines how the QA Chip can be connected to a physical System, while the logical interface determines how that System can communicate with the QA Chip. This section deals with the logical interface.
15.1 OPERATING MODES
The QA Chip has four operating modes - Idle Mode, Program Mode, Trim Mode and Active Mode. • Idle Mode is used to allow the chip to wait for the next instruction from the System.
• Trim Mode is used to determine the clock speed of the chip and to trim the frequency during the initial programming stage of the chip (when Flash memory is garbage). The clock frequency must be trimmed via Trim Mode before Program Mode is used to store the program code.
• Program Mode is used to load up the operating program code, and is required because the operating program code is stored in Flash memory instead of ROM (for security reasons).
• Active Mode is used to execute the specific authentication command specified by the System. Program code is executed in Active Mode. When the results of the command have been returned to the System, the chip enters Idle Mode to wait for the next instruction.
15.1.1 Idle Mode
The QA Chip starts up in Idle Mode. When the Chip is in Idle Mode, it waits for a command from the master by watching the primary id on the serial line. • If the primary id matches the global id (0x00, common to all QA Chips), and the following byte from the master is the Trim Mode id byte, the QA Chip enters Trim Mode and starts counting the number of internal clock cycles until the next byte is received.
• If the primary id matches the global id (0x00, common to all QA Chips), and the following byte from the master is the Program Mode id byte, the QA Chip enters Program Mode. • If the primary id matches the global id (0x00, common to all QA Chips), and the following byte from the master is the Active Mode id byte, the QA Chip enters Active Mode and executes startup code, allowing the chip to set itself into a state to receive authentication commands (includes setting a local id).
• If the primary id matches the chip's local id, and the following byte is a valid command code, the QA Chip enters Active Mode, allowing the command to be executed.
The valid 8-bit serial mode values sent after a global id are as shown in Table 238. They are specified to minimize the chances of them occurring by error after a global id (e.g. OxFF and 0x00 are not used): Table 238. Id byte values to place chip in specific mode
Figure imgf000735_0001
15.1.2 Trim Mode
Trim Mode is enabled by sending a global id byte (0x00) followed by the Trim Mode command byte. The purpose of Trim Mode is to set the trim value (an internal register setting) of the internal ring oscillator so that Flash erasures and writes are of the correct duration. This is necessary due to the variation of the clock speed due to process variations. If writes an erasures are too long, the Flash memory will wear out faster than desired, and in some cases can even be damaged. Trim Mode works by measuring the number of system clock cycles that occur inside the chip from the receipt of the Trim Mode command byte until the receipt of a data byte. When the data byte is received, the data byte is copied to the trim register and the current value of the count is transmitted to the outside world.
Once the count has been transmitted, the QA Chip returns to Idle Mode.
At reset, the internal trim register setting is set to a known value r. The external user can now perform the following operations: send the global id+write followed by the Trim Mode command byte send the 8-bit value over a specified time t send a stop bit to signify no more data send the global id+read followed by the Trim Mode command byte • receive the count c send a stop bit to signify no more data
At the end of this procedure, the trim register will be v, and the external user will know the relationship between external time t and internal time c. Therefore a new value for v can be calculated.
The Trim Mode procedure can be repeated a number of times, varying both t and v in known ways, measuring the resultant c. At the end of the process, the final value for v is established (and stored in the trim register for subsequent use in Program Mode). This value v must also be written to the flash for later use (every time the chip is placed in Active Mode for the first time after power-up).
15.1.3 Program Mode
Program Mode is enabled by sending a global id byte (0x00) followed by the Program Mode command byte.
The QA Chip determines whether or not the internal fuse has been blown (by reading 32-bit word 0 of the information block of flash memory). If the fuse has been blown the Program Mode command is ignored, and the QA Chip returns to Idle Mode.
If the fuse is still intact, the chip enters Program Mode and erases the entire contents of Flash memory. The QA Chip then validates the erasure. If the erasure was successful, the QA Chip receives up to 4096 bytes of data corresponding to the new program code and variable data. The bytes are transferred in order byte0 to byte 095.
Once all bytes of data have been loaded into Flash, the QA Chip returns to Idle Mode.
Note that Trim Mode functionality must be performed before a chip enters Program Mode for the first time.
Once the desired number of bytes have been downloaded in Program Mode, the LSS Master must wait for 80μs (the time taken to write two bytes to flash at nybble rates) before sending the new transaction (eg Active Mode). Otherwise the last nybbles may not be written to flash.
15.1.4 Active Mode
Active Mode is entered either by receiving a global id byte (0x00) followed by the Active Mode command byte, or by sending a local id byte followed by a command opcode byte and an appropriate number of data bytes representing the required input parameters for that opcode.
In both cases, Active Mode causes execution of program code previously stored in the flash memory via Program Mode. As a result, we never enter Active Mode after Trim Mode, without a Program Mode in between. However once programmed via Program Mode, a chip is allowed to enter Active Mode after power-up, since valid data will be in flash.
If Active Mode is entered by the global id mechanism, the QA Chip executes specific reset startup code, typically setting up the local id and other IO specific data.
If Active Mode is entered by the local id mechanism, the QA Chip executes specific code depending on the following byte, which functions as an opcode. The opcode command byte format is shown in Table 239: Table 239. Command byte
Figure imgf000737_0001
Figure imgf000738_0001
The interpretation of the 3-bit opcode is shown in Table 240: Table 240. QA Chip opcodes
Figure imgf000738_0002
The command byte is designed to ensure that errors in transmission are detected. Regular QA Chip commands are therefore comprised of an opcode plus any associated parameters. The commands are listed in Table 241 : Table 241. QA Chip commands
Figure imgf000738_0003
Opcode Mnemonic
[n, m] = list of parameters where n bytes for first parameter, and m bytes for the second etc. n = actual byte pattern required (in hex). The bytes 0x76 and 0x89 were chosen as the bool ean values 0 and 1 as they are inverses of each other, and should not be generated acciden tally.
Figure imgf000739_0001
Apart from the Reset command, the next four commands are the commands most likely to be used during regular operation. The next three commands are used to provide authenticated writes (which are expected to be uncommon). The final set of commands (including SignM), are expected to be specially implemented on ChipS and ChipF QA Chips only.
The input parameters are sent in the specified order, with each parameter being sent least significant byte first and most significant byte last.
Return (output) values are read in the same way - least significant byte first and most significant byte last. The client must know how many bytes to retrieve. The QA Chip will time out and return to Idle Mode if an incorrect number of bytes is provided or read.
In most cases, the output bytes from one chip's command (the return values) can be fed directly as the input bytes to another chip's command. An example of this is the RND and RD commands. The output data from a call to RND on a trusted QA Chip does not have to be kept by the System. Instead, the System can transfer the output bytes directly to the input of the non-trusted QA Chip's RD command. The description of each command points out where this is so.
Each of the commands is examined in detail in the subsequent sections. Note that some algorithms are specifically designed because flash memory is assumed for the implementation of non-volatile variables.
15.1.5 Non volatile variables The memory within the QA Chip contains some non-volatile (Flash) memory to store the variables required by the authentication protocol. Table 242 summarizes the variables. Table 242. Non volatile variables required by the authentication protocol
Figure imgf000739_0002
It is expected that most QA Chips will implement SignM as a function that returns 0x00. Only a limited number of chips will be programmed to allow SignM functionality. It is included here as an example of how signatures can be generated for authenticated writes. It is expected that most QA Chips will implement SignP as a function that returns 0x00. Only a limited number of chips will be programmed to allow SignP functionality. It is included here as an example of how signatures can be generated for authenticated writes.
Figure imgf000740_0001
Note that since these variables are in Flash memory, writes should be minimized. The it is not a simple matter to write a new value to replace the old. Care must be taken with flash endurance, and speed of access. This has an effect on the algorithms used to change Flash memory based registers. For example, Flash memory should not be used as a shift register. A reset of the QA Chip has no effect on the non-volatile variables.
15.1.5.1 Mand P
Mn contains application specific state data, such as serial numbers, batch numbers, and amount of consumable remaining. Mn can be read using the Read command and written to via the Write and WriteA commands.
Mo is expected to be updated frequently, while each part of Mι-n should only be written to once. Only Mo can be written to via the WriteA command.
Mi contains the operating parameters of the chip as shown in Table 243, and M2-n are application specific. Table 243. Interpretation of Mi
Figure imgf000741_0001
Each Mn is 512 bits in length, and is interpreted as a set of 16 x 32-bit words. Although Mn may contain a number of different elements, each 32-bit word differs only in write permissions. Each 32- bit word can always be read. Once in client memory, the 512 bits can be interpreted in any way chosen by the client. The different write permissions for each P are outlined in Table 244: Table 244. Write permissions
Figure imgf000741_0002
To accomplish the protection required for writing, a 2-bit permission value P is defined for each of the 32-bit words. Table 245 defines the interpretation of the 2-bit permission bit-pattern: Table 245. Permission bit interpretation
Figure imgf000742_0001
The 16 sets of permission bits for each 512 bits of M are gathered together in a single 32-bit variable P, where bits 2n and 2n+1 of P correspond to word n of M as follows:
Each 2-bit value is stored as a pair with the msb in bit 1 , and the Isb in bit 0. Consequently, if words 0 to 5 of M had permission MSR, with words 6-15 of M permission RO, the 32-bit P variable would be 0xFFFFF555:
11-11-11-11-11-11-11-11-11-11-01-01-01-01-01-01
During execution of a Write and WriteA command, the appropriate Permissionsfn] is examined for each M[π] starting from n=15 (msw of M) to n=0 (Isw of M), and a decision made as to whether the new M[n] value will replace the old. Note that it is important to process the M[n] from msw to Isw to correctly interpret the access permissions.
Permissions are set and read using the QA Chip's SetPermissions command. The default for P is all 0s (RW) with the exception of certain parts of Mi.
Note that the Decrement Only comparison is unsigned, so any Decrement Only values that require negative ranges must be shifted into a positive range. For example, a consumable with a Decrement Only data item range of -50 to 50 must have the range shifted to be 0 to 100. The System must then interpret the range 0 to 100 as being -50 to 50. Note that most instances of Decrement Only ranges are N to 0, so there is no range shift required.
For Decrement Only data items, arrange the data in order from most significant to least significant 32-bit quantities from M[n] onward. The access mode for the most significant 32 bits (stored in M[n]) should be set to MSR. The remaining 32-bit entries for the data should have their permissions set to NMSR.
If erroneously set to NMSR, with no associated MSR region, each NMSR region will be considered independently instead of being a multi-precision comparison.
Examples of allocating M and Permission bits can be found in [86].
15.1.6.2 Kand RK
K is the 160-bit secret key used to protect M and to ensure that the contents of M are valid (when M is read from a non trusted chip). K is initially programmed after manufacture, and from that point on, K can only be updated to a new value if the old K is known. Since K must be kept secret, there is no command to directly read it.
K is used in the keyed one-way hash function HMAC-SHA1. As such it should be programmed with a physically generated random number, gathered from a physically random phenomenon. K must NOT be generated with a computer-run random number generator. The security of the QA Chips depends on K being generated in a way that is not deterministic.
Each Kn must not be stored directly in the QA Chip. Instead, each chip needs to store a single random number Rκ (different for each chip), KnΦRκ, and -,KnΦRκ- The stored KnΦRκ can be XORed with Rκ to obtain the real Kn. Although -,KnΦRκ must be stored to protect against differential attacks, it is not used.
15.1.6.3 R
R is a 160-bit random number seed that is set up after manufacture (when the chip is programmed) and from that point on, cannot be changed. R is used to ensure that each signed item contains time varying information (not chosen by an attacker), and each chip's R is unrelated from one chip to the next. R is used during the Test command to ensure that the R from the previous call to Random was used as the session key in generating the signature during Read. Likewise, R is used during the WriteAuth command to ensure that the R from the previous call to Read was used as the session key during generation of the signature in the remote Authenticated chip.
The only invalid value for R is 0. This is because R is changed via a 160-bit maximal period LFSR (Linear Feedback Shift Register) with taps on bits 0, 2, 3, and 5, and is changed only by a successful call to a signature generating function (e.g. Test, WriteAuth).
The logical security of the QA Chip relies not only upon the randomness of K and the strength of the HMAC-SHA1 algorithm. To prevent an attacker from building a sparse lookup table, the security of the QA Chip also depends on the range of R over the lifetime of all Systems. What this means is that an attacker must not be able to deduce what values of R there are in produced and future Systems. Ideally, R should be programmed with a physically generated random number, gathered from a physically random phenomenon (must not be deterministic). R must NOT be generated with a computer-run random number generator.
15.1.6.4 MinTicks
There are two mechanisms for preventing an attacker from generating multiple calls to key-based functions in a short period of time. The first is an internal ring oscillator that is temperature-filtered. The second mechanism is the 32-bit MinTicks variable, which is used to specify the minimum number of QA Chip clock ticks that must elapse between calls to key-based functions.
The MinTicks variable is set to a fixed value when the QA Chip is programmed. It could possibly be stored in Mi.
The effective value of MinTicks depends on the operating clock speed and the notion of what constitutes a reasonable time between key-based function calls (application specific). The duration of a single tick depends on the operating clock speed. This is the fastest speed of the ring oscillator generated clock (i.e. at the lowest valid operating temperature).
Once the duration of a tick is known, the MinTicks value can to be set. The value for MinTicks will be the minimum number of ticks required to pass between calls to the key-based functions (there is no need to protect Random as this produces the same output each time it is called multiple times in a row). The value is a real-time number, and divided by the length of an operating tick. It should be noted that the MinTicks variable only slows down an attacker and causes the attack to cost more since it does not stop an attacker using multiple System chips in parallel.
15.1.6 GetProgramKey Input: n, RE = [1 byte, 20 bytes]
Output: RL,
Figure imgf000745_0001
= [20, 20, 20]
Changes: RL
Note: The GetProgramKey command is only implemented in ChipF, and not in all QA Chips. The GetProgramKey command is used to produce the bytestream required for updating a specified key in ChipP. Only an QA Chip programmed with the correct values of the old K„ can respond correctly to the GetProgramKey request. The output bytestream from the Random command can be fed as the input bytestream to the ReplaceKey command on the QA Chip being programmed (ChipP).
The input bytestream consists of the appropriate opcode followed by the desired key to generate the signature, followed by 20 bytes of RE(representing the random number read in from ChipP).
The local random number RL is advanced, and signed in combination with RE and C3 by the chosen key to generate a time varying secret number known to both ChipF and ChipP. This signature is then XORed with the new key Kx (this encrypts the new key). The first two output parameters are signed with the old key to ensure that ChipP knows it decoded Kx correctly.
This whole procedure should only be allowed a given number of times. The actual number can conveniently be stored in the local Mo[0] (eg word 0 of Mo) with Readonly permission. Of course another chip could perform an Authorised write to update the number (via a ChipS) should it be desired.
The GetProgramKey command is implemented by the following steps: Loop through all of Flash, reading each word (will trigger checks) Accept n Restrict n to N Accept RE If (Mo [0] = 0 ) Output 60 bytes of 0x00 # no more keys allowed to be generated from this chipF Done Endlf Advance RL SIG ■<— Sκn [RL | RE | C3] # calculation must take constant time Tmp <- SIG Φ Kx Output RL Output Tmp Decrement M0 [0] # reduce the number of allowable key generations by 1 SIG <- Sκχ [RL | Tmp | C3] # calculation must take constant time Output SIG
15.1.7 Random Input: None Output: R = [20 bytes] Changes: None The Random command is used by a client to obtain an input for use in a subsequent authentication procedure. Since the Random command requires no input parameters, it is therefore simply 1 byte containing the RND opcode.
The output of the Random command from a trusted QA Chip can be fed straight into the non- trusted chip's Read command as part of the input parameters. There is no need for the client to store them at all, since they are not required again. However the Test command will only succeed if the data passed to the Read command was obtained first from the Random command.
If a caller only calls the Random function multiple times, the same output will be returned each time. R will only advance to the next random number in the sequence after a successful call to a function that returns or tests a signature (e.g. Test, see Section 15.1.13 on page 752 for more information).
The Random command is implemented by the following steps: Loop through all of Flash, reading each word (will trigger checks) Output RL
15.1.8 Read Input: n, t, Rε = [1 byte, 1 byte, 20 bytes] Output: RL, Mu, SKn[RE|RL|Cι|MLt] = [20 bytes, 64 bytes, 20 bytes] Changes: R
The Read command is used to read the entire state data (Mt) from an QA Chip. Only an QA Chip programmed with the correct value of Kn can respond correctly to the Read request. The output bytestream from the Read command can be fed as the input bytestream to the Test command on a trusted QA Chip for verification, with Mt stored for later use if Test returns success.
The input bytestream consists of the RD opcode followed by the key number to use for the signature, which M to read, and the bytes 0-19 of RE. 23 bytes are transferred in total. RE is obtained by calling the trusted QA Chip's Random command. The 20 bytes output by the trusted chip's Random command can therefore be fed directly into the non-trusted chip's Read command, with no need for these bits to be stored by System.
Calls to Read must wait for MinTicksRemaining to reach 0 to ensure that a minimum time will elapse between calls to Read.
The output values are calculated, MinTicksRemaining is updated, and the signature is returned. The contents of Mu are transferred least significant byte to most significant byte. The signature Sκn[Rε|RL|Cι|MLt] must be calculated in constant time.
The next random number is generated from R using a 160-bit maximal period LFSR (tap selections on bits 5, 3, 2, and 0). The initial 160-bit value for R is set up when the chip is programmed, and can be any random number except 0 (an LFSR filled with 0s will produce a never-ending stream of 0s). R is transformed by XORing bits 0, 2, 3, and 5 together, and shifting all 160 bits right 1 bit using the XOR result as the input bit to bι59. The process is shown in Figure 347 below.
Care should be taken when updating R since it lives in Flash. Program code must assume power could be removed at any time.
The Read command is implemented with the following steps: Wait for MinTicksRemaining to become 0 Loop through all of Flash, reading each word (will trigger checks) Accept n Accept t Restrict n to N Restrict t to T Accept RE Advance RL Output RL Output MLt Sig — Sκn [ E | R L | Cι | ML ] # calculation must take constant time MinTicksRemaining -c— MinTicks Output Sig Wait for MinTicksRemaining to become 0
15.1.9 Set Permissions Input: n, p, RE, PE, SIGE = [1 byte, 1 byte, 20 bytes, 4 bytes, 20 bytes] Output: Pp Changes: Pp, RL
The SetPermissions command is used to securely update the contents of PP (containing QA Chip permissions). The WriteAuth command only attempts to replace PP if the new value is signed combined with our local R.
It is only possible to sign messages by knowing Kn. This can be achieved by a call to the SignP command (because only a ChipS can know Kn). It means that without a chip that can be used to produce the required signature, a write of any value to P is not possible.
The process is very similar to Test, except that if the validation succeeds, the PE input parameter is additionally ORed with the current value for PP. Note that this is an OR, and not a replace. Since the SetParms command only sets bits in Pp, the effect is to allow the permission bits corresponding to M[n] to progress from RW to either MSR, NMSR, or RO.
The SetPermissions command is implemented with the following steps: Wait for MinTicksRemaining to become 0 Loop through all of Flash, reading each word (will trigger checks)
Accept n Restrict n to N Accept p Restrict p to T+N Accept RE Accept PE SIGL <- SKrι[Rι1|R|PE|C2] # calculation must take constant time Accept SIGE If (SIGB = SIGL) Update RL PP - Pp v PE Endlf Output PP # success or failure will be determined by receiver . MinTicksRemaining <- MinTicks
15.1.10 ReplaceKey Input: n, RE, V, SIGE = [1 byte, 20 bytes, 20 bytes, 20 bytes] Output: Boolean (0χ76=failure, 0x89 = success) Changes: Kn, ML, RL . The ReplaceKey command is used to replace the specified key in the QA Chip flash memory. However Kn can only be replaced if the previous value is known. A return byte of 0x89 is produced if the key was successfully updated, while 0x76 is returned for failure.
A ReplaceKey command consists of the WRA command opcode followed by 0x89, 0x76, and then the appropriate parameters. Note that the new key is not sent in the clear, it is sent encrypted with the signature of RL, RE and C3 (signed with the old key). The first two input parameters must be verified by generating a signature using the old key.
The ReplaceKey command is implemented with the following steps: Loop through all of Flash, reading each word (will trigger checks ) Accept n Restrict n to N Accept RE # session key from ChipF Accept V # encrypted key
SIGL — S Π [RE | v| C3] # calculation must take constant time Accept SIGE If (SIGL = SIGE2) # comparison must take constant time SIGL - SKU .R I RE I C3] # calculation must take constant time Advance RL KE <- SIGL © V Kn <— KE # involves storing (KE Θ Rκ) and (-ιKE Θ RK) Output 0x89 # success Else Output 0x76 # failure Endlf
15.1.11 SignM Input: n,Rx,RE,Mε,SIGE,Mdesired = [1 byte, 20 bytes, 20 bytes, 64 bytes,32 bytes] Output: RL, Mnew, Sκn[Rε I RL I CI| Mnew] = [20 bytes, 64 bytes, 20 bytes] Changes: RL
Note: The SignM command is only implemented in ChipS, and not in all QA Chips.
The SignM command is used to produce a valid signed M for use in an authenticated write transaction. Only an QA Chip programmed with correct value of Kn can respond correctly to the
SignM request. The output bytestream from the SignM command can be fed as the input bytestream to the WriteA command on a different QA Chip.
The input bytestream consists of the SMR opcode followed by 1 byte containing the key number to use for generating the signature, 20 bytes of Rx (representing the number passed in as R to ChipU's READ command, i.e. typically 0), the output from the READ command (namely RE, ME, and SIGE), and finally the desired M to write to ChipU.
The SignM command only succeeds when SIGE = Sκ[Rχ | RE | CI| ME], indicating that the request was generated from a chip that knows K. This generation and comparison must take the same amount of time regardless of whether the input parameters are correct or not. If the times are not the same, an attacker can gain information about which bits of the supplied signature are incorrect. If the signatures match, then RL is updated to be the next random number in the sequence.
Since the SignM function generates signatures, the function must wait for the MinTicksRemaining register to reach 0 before processing takes place.
Once all the inputs have been verified, a new memory vector is produced by applying a specially stored P value (eg word 1 of Mo) and Mdesired against ME. Effectively, it is performing a regular Write, but with separate P against someone else's M. The Mne is signed with an updated RL (and the passed in RE), and all three values are output (the random number RL, Mnew, and the signature). The time taken to generate this signature must be the same regardless of the inputs.
Typically, the SignM command will be acting as a form of consumable command, so that a given ChipS can only generate a given number of signatures. The actual number can conveniently be stored in Mo (eg word 0 of Mo) with Readonly permissions. Of course another chip could perform an Authorised write to update the number (using another ChipS) should it be desired.
The SignM command is implemented with the following steps: Wait for MinTicksRemaining to become 0 Loop through all of Flash, reading each word (will trigger checks)
Accept n Restrict n to N Accept Rx # don' t care what this number is
Accept RE Accept ME
SIGL <- S Π [R I E | Ci | ME] # calculation must take constant time Accept SIGE
Accept Mdesired
If ((SIGB ≠ SIGL) OR ( L[0] = 0)) # fail if bad signature or if allowed sigs = 0 Output appropriate number of 0 # report failure Done
Endlf
Update RL
# Create the new version of M in ram from W and Permissions
# This is the same as the core process of Write function
# except that we don't write the results back to M DecEncountered — 0
EqEncountered - 0 Permissions = M [1] # assuming M0 contains appropriate permissions For n - msw to Isw # (word 15 to 0) AM <— Permissions [n] LT <- (Mdesired[n] < ME [n] ) # comparison is unsigned EQ - (Mdeslred [n] = ME [n] ) WE <- (AM = RW) V ( (AM = MSR) Λ LT) V ( (AM = NMSR) Λ (DecEncountered v LT) ) DecEncountered -c— ( (AM = MSR) Λ LT) v ( (AM = NMSR) Λ DecEncountered) v ( (AM = NMSR) Λ EqEncountered Λ LT) EqEncountered <- ( (AM = MSR) Λ EQ) v ( (AM = NMSR) Λ EqEncountered Λ EQ) If (-,WΞ) Λ (MB .II] ≠ Mdesired [n] ) Output appropriate number of 0 # report failure Endlf
EndFor # At this point , Mdes red is correct Output RL Output Mdesired # Mdesired is now effectively Mnew Sig <— S [RE I RL I Ci I Mdesire ] # calculation must take constant time MinTicksRemaining - MinTicks Decrement ML [0] # reduce the number of allowable signatures by 1 Output Sig 15.1.12 SignP Input: n.Rε.Pdesired = [1 byte, 20 bytes, 4 bytes] Output: RL, S Π[RE | RL | P esired[C2] = [20 bytes, 20 bytes] Changes: RL Note: The SignP command is only implemented in ChipS, and not in all QA Chips.
The SignP command is used to produce a valid signed P for use in a SetPermissions transaction. Only an QA Chip programmed with correct value of Kn can respond correctly to the SignP request. The output bytestream from the SignP command can be fed as the input bytestream to the SetPermissions command on a different QA Chip.
The input bytestream consists of the SMP opcode followed by 1 byte containing the key number to use for generating the signature, 20 bytes of RE (representing the number obtained from ChipU's RND command, and finally the desired P to write to ChipU.
Since the SignP function generates signatures, the function must wait for the MinTicksRemaining register to reach 0 before processing takes place.
Once all the inputs have been verified, the Pdesired is signed with an updated RL (and the passed in RE), and both values are output (the random number RL and the signature). The time taken to generate this signature must be the same regardless of the inputs.
Typically, the SignP command will be acting as a form of consumable command, so that a given ChipS can only generate a given number of signatures. The actual number can conveniently be stored in Mo (eg word 0 of Mo) with Readonly permissions. Of course another chip could perform an Authorised write to update the number (using another ChipS) should it be desired.
The SignM command is implemented with the following steps: Wait for MinTicksRemaining to become 0 Loop through all of Flash, reading each word (will trigger checks)
Accept n Restrict n to N Accept RE Accept Paesired If (ML[0] = 0) # fail if allowed sigs = 0 Output appropriate number of 0 # report failure Done Endlf
Update RL Output RL Sig <— SKULREIRLI Pdesired|C] # calculation must take constant time MinTicksRemaining <- MinTicks Decrement ML[0] # reduce the number of allowable signatures by 1 Output Sig
15.1.13 Test Input: n, RE, ME, SIGE = [1 byte, 20 bytes, 64 bytes, 20 bytes] Output: Boolean (0x76=failure, 0x89 = success) Changes: RL
The Test command is used to authenticate a read of an M from a non-trusted QA Chip.
The Test command consists of the TST command opcode followed by input parameters: n, RE, ME, and SIGE. The byte order is least significant byte to most significant byte for each command component. All but the first input parameter bytes are obtained as the output bytes from a Read command to a non-trusted QA Chip. The entire data does not have to be stored by the client. Instead, the bytes can be passed directly to the trusted QA Chip's Test command, and only M should be kept from the Read.
Calls to Test must wait for the MinTicksRemaining register to reach 0.
SKΠ[RL|RE|CI|ME] is then calculated, and compared against the input signature SIGE. If they are different, RL is not changed, and 0x76 is returned to indicate failure. If they are the same, then RL is updated to be the next random number in the sequence and 0x89 is returned to indicate success. Updating RL only after success forces the caller to use a new random number (via the Random command) each time a successful authentication is performed.
The calculation of Sκn[RL|Rε|Cι|ME] and the comparison against SIGE must take identical time so that the time to evaluate the comparison in the TST function is always the same. Thus no attacker can compare execution times or number of bits processed before an output is given.
The Test command is implemented with the following steps: Wait for MinTicksRemaining to become 0 Loop through all of Flash, reading each word (will trigger checks)
Accept n Restrict n to N Accept RE Accept ME SIGL - S [RL | RE | Ci | ME] # calculation must take constant time Accept SIGE If ( SIGE = SIGL) Update RL Output 0x89 # success Else Output 0x76 # report failure Endlf MinTicksRemaining <- MinTicks
15.1.14 Write Input: t, Mnew, SIGE = [1 byte, 64 bytes, 20 bytes] Output: Boolean (ox76=failure, 0x89 = success) Changes: Mt The Write command is used to update Mt according to the permissions in Pt. The WR command by itself is not secure, since a clone QA Chip may simply return success every time. Therefore a Write command should be followed by an authenticated read of Mt (e.g. via a Read command) to ensure that the change was actually made.
The Write command is called by passing the WR command opcode followed by which M to be updated, the new data to be written to M, and a digital signature of M. The data is sent least significant byte to most significant byte. The ability to write to a specific 32-bit word within Mt is governed by the corresponding Permissions bits as stored in Pt. Pt can be set using the SetPermissions command.
The fact that Mt is Flash memory must be taken into account when writing the new value to M. It is possible for an attacker to remove power at any time. In addition, only the changes to M should be stored for maximum utilization. In addition, the longevity of M will need to be taken into account. This may result in the location of M being updated.
The signature is not keyed, since it must be generated by the consumable user. The Write command is implemented with the following steps: Loop through all of Flash, reading each word (will trigger checks) Accept t Restrict t to T Accept ME # new M Accept ΞIGE SIGi, = Generate SHA1 [ME] If (SIGL = SIGE) output 0x76 # failure due to invalid signature exit Endlf DecEncountered - 0 EqEncountered <— 0 For i <— msw to Isw # (word 15 to 0) P <- Pe[i] LT - (ME[i] < Mt[i]) # comparison is unsigned EQ <- (Mg [i] = Mt [i] ) WE <- (P = RW) V ( (P = MSR) Λ LT) V ( (P = NMSR) Λ (DecEncountered v LT) ) DecEncountered <- ( (P = MSR) Λ LT) v ( (P = NMSR) Λ DecEncountered) v ( (P = NMSR) Λ EqEncountered Λ LT) EqEncountered <- ( (P = MSR) Λ EQ) v ( (P = NMSR) Λ EqEncountered Λ EQ)
If (-.WE) Λ (MB[il ≠ Mt[i]) output 0x76 # failure due to wanting a change but not allowed it Endlf EndFor
# At this point , ME (desired) is correct to be written to the flash Mt - ME # update flash output 0x89 # success
15.1.15 WriteAuth Input: n, RE, ME, SIGE = [1 b; Output: Boolean (0x76=failure, 0x89 = success) Changes: Mo, RL The WriteAuth command is used to securely replace the entire contents of Mo (containing QA Chip application specific data) according to the Pτ+n. The WriteAuth command only attempts to replace Mo if the new value is signed combined with our local R. It is only possible to sign messages by knowing Kπ. This can be achieved by a call to the SignM command (because only a Chips can know Kn). It means that without a chip that can be used to produce the required signature, a write of any value to Mo is not possible.
The process is very similar to Write, except that if the validation succeeds, the ME input parameter is processed against Mo using permissions PT-W. The WriteAuth command is implemented with the following steps: Wait for MinTicksRemaining to become 0 Loop through all of Flash, reading each word (will trigger checks)
Accept n Restrict n to N Accept RE Accept ME SIGL <- S Π [RL | RE | Ci | ME] # calculation must take constant time Accept SIGE If ( SIGE = SIG Update RL DecEncountered <— 0 EqEncountered <- 0 For i <- msw to Isw # (word 15 to 0 ) P <- Pτ+n [i] LT - (ME [i] < M0 [i] ) # comparison is unsigned EQ - (ME [i] = M0 [i] ) WE <- ( P = RW) V ( (P = MSR) Λ LT) V ( (P = NMSR) Λ (DecEncountered v LT) ) DecEncountered <- ( ( p = MSR) Λ LT) v ( ( P = NMSR) Λ DecEncountered) v ( ( P = NMSR) Λ EqEncountered Λ LT) EqEncountered <- ( ( P MSR) Λ EQ) v ( (p = NMSR) Λ EqEncountered Λ EQ) If ( (-.WE) Λ (ME [i] ≠ M0 [i] ) ) output 0x76 # failure due to wanting a change but not allowed it Endlf EndFor # At this point , ME (desired) is correct to be written to the flash M0 <— ME # update flash output 0x89 # success Endlf MinTicksRemaining <— MinTicks 16 Manufacture This chapter makes some general comments about the manufacture and implementation of authentication chips. While the comments presented here are general, see [84] for a detailed description of an implementation of an authentication chip.
The authentication chip algorithms do not constitute a strong encryption device. The net effect is that they can be safely manufactured in any country (including the USA) and exported to anywhere in the world.
The circuitry of the authentication chip must be resistant to physical attack. A summary of manufacturing implementation guidelines is presented, followed by specification of the chip's physical defenses (ordered by attack).
Note that manufacturing comments are in addition to any legal protection undertaken, such as patents, copyright, and license agreements (for example, penalties if caught reverse engineering the authentication chip). 16.1 GUIDELINES FOR MANUFACTURING
The following are general guidelines for implementation of an authentication chip in terms of manufacture (see [84] for a detailed description of an authentication chip). No special security is required during the manufacturing process. • Standard process Minimum size (if possible) Clock Filter Noise Generator Tamper Prevention and Detection circuitry Protected memory with tamper detection Boot circuitry for loading program code Special implementation of FETs for key data paths Data connections in polysilicon layers where possible OverUnderPower Detection Unit • No test circuitry Transparent epoxy packaging Finally, as a general note to manufacturers of Systems, the data line to the System authentication chip and the data line to the Consumable authentication chip must not be the same line. See Section 16.2.3 on page 763. 16.1.1 Standard Process
The authentication chip should be implemented with a standard manufacturing process (such as Flash). This is necessary to:
• allow a great range of manufacturing location options
• take advantage of well-defined and well-behaved technology • reduce cost
Note that the standard process still allows physical protection mechanisms.
16.1.2 Minimum size
The authentication chip must have a low manufacturing cost in order to be included as the authentication mechanism for low cost consumables. It is therefore desirable to keep the chip size as low as reasonably possible.
Each authentication chip requires 962 bits of non-volatile memory. In addition, the storage required for optimized HMAC-SHA1 is 1024 bits. The remainder of the chip (state machine, processor, CPU or whatever is chosen to implement Protocol C1 ) must be kept to a minimum in order that the number of transistors is minimized and thus the cost per chip is minimized. The circuit areas that process the secret key information or could reveal information about the key should also be minimized (see Section 16.1.8 on page 761 for special data paths).
16.1.3 Clock Filter
The authentication chip circuitry is designed to operate within a specific clock speed range. Since the user directly supplies the clock signal, it is possible for an attacker to attempt to introduce race- conditions in the circuitry at specific times during processing. An example of this is where a high clock speed (higher than the circuitry is designed for) may prevent an XOR from working properly, and of the two inputs, the first may always be returned. These styles of transient fault attacks can be very efficient at recovering secret key information, and have been documented in [5] and [1]. The lesson to be learned from this is that the input clock signal cannot be trusted. Since the input clock signal cannot be trusted, it must be limited to operate up to a maximum frequency. This can be achieved a number of ways.
One way to filter the clock signal is to use an edge detect unit passing the edge on to a delay, which in turn enables the input clock signal to pass through. Figure 348 shows clock signal flow within the Clock Filter.
The delay should be set so that the maximum clock speed is a particular frequency (e.g. about 4 MHz). Note that this delay is not programmable - it is fixed.
The filtered clock signal would be further divided internally as required.
16.1.4 Noise Generator
Each authentication chip should contain a noise generator that generates continuous circuit noise. The noise will interfere with other electromagnetic emissions from the chip's regular activities and add noise to the ldd signal. Placement of the noise generator is not an issue on an authentication chip due to the length of the emission wavelengths.
The noise generator is used to generate electronic noise, multiple state changes each clock cycle, and as a source of pseudo-random bits for the Tamper Prevention and Detection circuitry (see Section 16.1.5 on page 758). A simple implementation of a noise generator is a 64-bit maximal period LFSR seeded with a nonzero number. The clock used for the noise generator should be running at the maximum clock rate for the chip in order to generate as much noise as possible.
16.1.5 Tamper Prevention and Detection circuitry
A set of circuits is required to test for and prevent physical attacks on the authentication chip. However what is actually detected as an attack may not be an intentional physical attack. It is therefore important to distinguish between these two types of attacks in an authentication chip:
• where you can be certain that a physical attack has occurred.
• where you cannot be certain that a physical attack has occurred.
The two types of detection differ in what is performed as a result of the detection. In the first case, where the circuitry can be certain that a true physical attack has occurred, erasure of Flash memory key information is a sensible action. In the second case, where the circuitry cannot be sure if an attack has occurred, there is still certainly something wrong. Action must be taken, but the action should not be the erasure of secret key information. A suitable action to take in the second case is a chip RESET. If what was detected was an attack that has permanently damaged the chip, the same conditions will occur next time and the chip will RESET again. If, on the other hand, what was detected was part of the normal operating environment of the chip, a RESET will not harm the key. A good example of an event that circuitry cannot have knowledge about, is a power glitch. The glitch may be an intentional attack, attempting to reveal information about the key. It may, however, be the result of a faulty connection, or simply the start of a power-down sequence. It is therefore best to only RESET the chip, and not erase the key. If the chip was powering down, nothing is lost. If the System is faulty, repeated RESETs will cause the consumer to get the System repaired. In both cases the consumable is still intact.
A good example of an event that circuitry can have knowledge about, is the cutting of a data line within the chip. If this attack is somehow detected, it could only be a result of a faulty chip (manufacturing defect) or an attack. In either case, the erasure of the secret information is a sensible step to take.
Consequently each authentication chip should have 2 Tamper Detection Lines - one for definite attacks, and one for possible attacks. Connected to these Tamper Detection Lines would be a number of Tamper Detection test units, each testing for different forms of tampering. In addition, we want to ensure that the Tamper Detection Lines and Circuits themselves cannot also be tampered with.
At one end of the Tamper Detection Line is a source of pseudo-random bits (clocking at high speed compared to the general operating circuitry). The Noise Generator circuit described above is an adequate source. The generated bits pass through two different paths - one carries the original data, and the other carries the inverse of the data. The wires carrying these bits are in the layer above the general chip circuitry (for example, the memory, the key manipulation circuitry etc.). The wires must also cover the random bit generator. The bits are recombined at a number of places via an XOR gate. If the bits are different (they should be), a 1 is output, and used by the particular unit (for example, each output bit from a memory read should be ANDed with this bit value). The lines finally come together at the Flash memory Erase circuit, where a complete erasure is triggered by a 0 from the XOR. Attached to the line is a number of triggers, each detecting a physical attack on the chip. Each trigger has an oversize nMOS transistor attached to GND. The Tamper Detection Line physically goes through this nMOS transistor. If the test fails, the trigger causes the Tamper Detect Line to become 0. The XOR test will therefore fail on either this clock cycle or the next one (on average), thus RESETing or erasing the chip. Figure 349 illustrates the basic principle of a Tamper Detection Line in terms of tests and the XOR connected to either the Erase or RESET circuitry.
The Tamper Detection Line must go through the drain of an output transistor for each test, as illustrated by Figure 350: It is not possible to break the Tamper Detect Line since this would stop the flow of 1s and 0s from the random source. The XOR tests would therefore fail. As the Tamper Detect Line physically passes through each test, it is not possible to eliminate any particular test without breaking the Tamper Detect Line. It is important that the XORs take values from a variety of places along the Tamper Detect Lines in order to reduce the chances of an attack. Figure 351 illustrates the taking of multiple XORs from the Tamper Detect Line to be used in the different parts of the chip. Each of these XORs can be considered to be generating a ChipOK bit that can be used within each unit or sub-unit. A sample usage would be to have an OK bit in each unit that is ANDed with a given ChipOK bit each cycle. The OK bit is loaded with 1 on a RESET. If OK is 0, that unit will fail until the next RESET. If the Tamper Detect Line is functioning correctly, the chip will either RESET or erase all key information. If the RESET or erase circuitry has been destroyed, then this unit will not function, thus thwarting an attacker. The destination of the RESET and Erase line and associated circuitry is very context sensitive. It needs to be protected in much the same way as the individual tamper tests. There is no point generating a RESET pulse if the attacker can simply cut the wire leading to the RESET circuitry. The actual implementation will depend very much on what is to be cleared at RESET, and how those items are cleared. Finally, Figure 352 shows how the Tamper Lines cover the noise generator circuitry of the chip. The generator and NOT gate are on one level, while the Tamper Detect Lines run on a level above the generator.
16.1.6 Protected memory with tamper detection It is not enough to simply store secret .information or program code in Flash memory. The Flash memory and RAM must be protected from an attacker who would attempt to modify (or set) a particular bit of program code or key information. The mechanism used must conform to being used in the Tamper Detection Circuitry (described above).
The first part of the solution is to ensure that the Tamper Detection Line passes directly above each Flash or RAM bit. This ensures that an attacker cannot probe the contents of Flash or RAM. A breach of the covering wire is a break in the Tamper Detection Line. The breach causes the Erase signal to be set, thus deleting any contents of the memory. The high frequency noise on the Tamper Detection Line also obscures passive observation.
The second part of the solution for Flash is to use multi-level data storage, but only to use a subset of those multiple levels for valid bit representations. Normally, when multi-level Flash storage is used, a single floating gate holds more than one bit. For example, a 4-voltage-state transistor can represent two bits. Assuming a minimum and maximum voltage representing 00 and 11 respectively, the two middle voltages represent 01 and 10. In the authentication chip, we can use the two middle voltages to represent a single bit, and consider the two extremes to be invalid states. If an attacker attempts to force the state of a bit one way or the other by closing or cutting the gate's circuit, an invalid voltage (and hence invalid state) results.
The second part of the solution for RAM is to use a parity bit. The data part of the register can be checked against the parity bit (which will not match after an attack). The bits coming from Flash and RAM can therefore be validated by a number of test units (one per bit) connected to the common Tamper Detection Line. The Tamper Detection circuitry would be the first circuitry the data passes through (thus stopping an attacker from cutting the data lines). While the multi-level Flash protection is enough for non-secret information, such as program code, R, and MinTicks, it is not sufficient for protecting K| and K2. If an attacker adds electrons to a gate (see Section 5.7.2.15 on page 683) representing a single bit of K1( and the chip boots up yet doesn't activate the Tamper Detection Line, the key bit must have been a 0. If it does activate the Tamper Detection Line, it must have been a 1. For this reason, all other non-volatile memory can activate the Tamper Detection Line, but | and K2 must not. Consequently Checksum is used to check for tampering of | and K2. A signature of the expanded form of K, and K2 (i.e. 320 bits instead of 160 bits for each of K| and K2) is produced, and the result compared against the Checksum. Any non-match causes a clear of all key information.
16.1.7 Boot circuitry for loading program code
Program code should be kept in multi-level Flash instead of ROM, since ROM is subject to being altered in a non-testable way. A boot mechanism is therefore required to load the program code into Flash memory (Flash memory is in an indeterminate state after manufacture).
The boot circuitry must not be in ROM - a small state-machine would suffice. Otherwise the boot code could be modified in an undetectable way.
The boot circuitry must erase all Flash memory, check to ensure the erasure worked, and then load the program code. Flash memory must be erased before loading the program code. Otherwise an attacker could put the chip into the boot state, and then load program code that simply extracted the existing keys. The state machine must also check to ensure that all Flash memory has been cleared (to ensure that an attacker has not cut the Erase line) before loading the new program code. The loading of program code must be undertaken by the secure Programming Station before secret information (such as keys) can be loaded. This step must be undertaken as the first part of the programming process.
16.1.8 Special implementation of FETs for key data paths
The normal situation for FET implementation for the case of a CMOS Inverter (which involves a pMOS transistor combined with an nMOS transistor) as shown in Figure 353:
During the transition, there is a small period of time where both the nMOS transistor and the pMOS transistor have an intermediate resistance. The resultant power-ground short circuit causes a temporary increase in the current, and in fact accounts for the majority of current consumed by a CMOS device. A small amount of infrared light is emitted during the short circuit, and can be viewed through the silicon substrate (silicon is transparent to infrared light). A small amount of light is also emitted during the charging and discharging of the transistor gate capacitance and transmission line capacitance. For circuitry that manipulates secret key information, such information must be kept hidden. An alternative non-flashing CMOS implementation should therefore be used for all data paths that manipulate the key or a partially calculated value that is based on the key. The use of two non-overlapping clocks φ1 and φ2 can provide a non-flashing mechanism. φ1 is connected to a second gate of all nMOS transistors, and φ2 is connected to a second gate of all pMOS transistors. The transition can only take place in combination with the clock. Since φ1 and φ2 are non-overlapping, the pMOS and nMOS transistors will not have a simultaneous intermediate resistance. The setup is shown in Figure 354: Finally, regular CMOS inverters can be positioned near critical non-Flashing CMOS components. These inverters should take their input signal from the Tamper Detection Line above. Since the Tamper Detection Line operates multiple times faster than the regular operating circuitry, the net effect will be a high rate of light-bursts next to each non-Flashing CMOS component. Since a bright light overwhelms observation of a nearby faint light, an observer will not be able to detect what switching operations are occurring in the chip proper. These regular CMOS inverters will also effectively increase the amount of circuit noise, reducing the SNR and obscuring useful EMI. There are a number of side effects due to the use of non-Flashing CMOS:
• The effective speed of the chip is reduced by twice the rise time of the clock per clock cycle. This is not a problem for an authentication chip.
• The amount of current drawn by the non-Flashing CMOS is reduced (since the short circuits do not occur). However, this is offset by the use of regular CMOS inverters.
• Routing of the clocks increases chip area, especially since multiple versions of φ1 and φ2 are required to cater for different levels of propagation. The estimation of chip area is double that of a regular implementation.
• Design of the non-Flashing areas of the authentication chip are slightly more complex than to do the same with a with a regular CMOS design. In particular, standard cell components cannot be used, making these areas full custom. This is not a problem for something as small as an authentication chip, particularly when the entire chip does not have to be protected in this manner.
16.1.9 Connections in polysilicon layers where possible Wherever possible, the connections along which the key or secret data flows, should be made in the polysilicon layers. Where necessary, they can be in metal 1 , but must never be in the top metal layer (containing the Tamper Detection Lines).
16.1.10 OverUnderPower Detection Unit
Each authentication chip requires an OverUnderPower Detection Unit to prevent Power Supply Attacks. An OverUnderPower Detection Unit detects power glitches and tests the power level against a Voltage Reference to ensure it is within a certain tolerance. The Unit contains a single Voltage Reference and two comparators. The OverUnderPower Detection Unit would be connected into the RESET Tamper Detection Line, thus causing a RESET when triggered. A side effect of the OverUnderPower Detection Unit is that as the voltage drops during a power- down, a RESET is triggered, thus erasing any work registers. 16.1.11 No test circuitry
Test hardware on an authentication chip could very easily introduce vulnerabilities. As a result, the authentication chip should not contain any BIST or scan paths.
The authentication chip must therefore be testable with external test vectors. This should be possible since the authentication chip is not complex. 16.1.12 Transparent epoxy packaging
The authentication chip needs to be packaged in transparent epoxy so it can be photo-imaged by the programming station to prevent Trojan horse attacks. The transparent packaging does not compromise the security of the authentication chip since an attacker can fairly easily remove a chip from its packaging. For more information see Section 16.2.20 on page 770 and [86]. 16.2 RESISTANCE TO PHYSICAL ATTACKS
While this chapter only describes manufacture in general terms (since this document does not cover a specific implementation of a Protocol C1 authentication chip), we can still make some observations about such a chip's resistance to physical attack. A description of the general form of each physical attack can be found in Section 5.7.2 on page 679. 16.2.1 Reading ROM
This attack depends on the key being stored in an addressable ROM. Since each authentication chip stores its authentication keys in internal Flash memory and not in an addressable ROM, this attack is irrelevant.
16.2.2 Reverse engineering the chip Reverse engineering a chip is only useful when the security of authentication lies in the algorithm alone. However our authentication chips rely on a secret key, and not in the secrecy of the algorithm. Our authentication algorithm is, by contrast, public, and in any case, an attacker of a high volume consumable is assumed to have been able to obtain detailed plans of the internals of the chip. In light of these factors, reverse engineering the chip itself, as opposed to the stored data, poses no threat.
16.2.3 Usurping the authentication process
There are several forms this attack can take, each with varying degrees of success. In all cases, it is assumed that a clone manufacturer will have access to both the System and the consumable designs.
An attacker may attempt to build a chip that tricks the System into returning a valid code instead of generating an authentication code. This attack is not possible for two reasons. The first reason is that System authentication chips and Consumable authentication chips, although physically identical, are programmed differently. In particular, the RD opcode and the RND opcode are the same, as are the WR and TST opcodes. A System authentication Chip cannot perform a RD command since every call is interpreted as a call to RND instead. The second reason this attack would fail is that separate serial data lines are provided from the System to the System and
Consumable authentication chips. Consequently neither chip can see what is being transmitted to or received from the other.
If the attacker builds a clone chip that ignores WR commands (which decrement the consumable remaining), Protocol C1 ensures that the subsequent RD will detect that the WR did not occur. The System will therefore not go ahead with the use of the consumable, thus thwarting the attacker. The same is true if an attacker simulates loss of contact before authentication - since the authentication does not take place, the use of the consumable doesn't occur.
An attacker is therefore limited to modifying each System in order for clone consumables to be accepted (see Section 16.2.4 on page 764 for details of resistance this attack). 16.2.4 Modification of system
The simplest method of modification is to replace the System's authentication chip with one that simply reports success for each call to TST. This can be thwarted by System calling TST several times for each authentication, with the first few times providing false values, and expecting a fail from TST. The final call to TST would be expected to succeed. The number of false calls to TST could be determined by some part of the returned result from RD or from the system clock.
Unfortunately an attacker could simply rewire System so that the new System clone authentication chip can monitor the returned result from the consumable chip or clock. The clone System authentication chip would only return success when that monitored value is presented to its TST function. Clone consumables could then return any value as the hash result for RD, as the clone System chip would declare that value valid. There is therefore no point for the System to call the System authentication chip multiple times, since a rewiring attack will only work for the System that has been rewired, and not for all Systems.
A similar form of attack on a System is a replacement of the System ROM. The ROM program code can be altered so that the Authentication never occurs. There is nothing that can be done about this, since the System remains in the hands of a consumer. Of course this would void any warranty, but the consumer may consider the alteration worthwhile if the clone consumable were extremely cheap and more readily available than the original item.
The System/consumable manufacturer must therefore determine how likely an attack of this nature is. Such a study must include given the pricing structure of Systems and Consumables, frequency of System service, advantage to the consumer of having a physical modification performed, and where consumers would go to get the modification performed. The likelihood of physical alteration increases with the perceived artificiality of the consumable marketing scheme. It is one thing for a consumable to be protected against clone manufacturers. It is quite another for a consumable's market to be protected by a form of exclusive licensing arrangement that creates what is viewed by consumers as artificial markets. In the former case, owners are not so likely to go to the trouble of modifying their system to allow a clone manufacturer's goods. In the latter case, consumers are far more likely to modify their System. A case in point is DVD. Each DVD is marked with a region code, and will only play in a DVD player from that region. Thus a DVD from the USA will not play in an Australian player, and a DVD from Japan, Europe or Australia will not play in a USA DVD player. Given that certain DVD titles are not available in all regions, or because of quality differences, pricing differences or timing of releases, many consumers have had their DVD players modified to accept DVDs from any region. The modification is usually simple (it often involves soldering a single wire), voids the owner's warranty, and often costs the owner some money. But the interesting thing to note is that the change is not made so the consumer can use clone consumables - the consumer will still only buy real consumables, but from different regions. The modification is performed to remove what is viewed as an artificial barrier, placed on the consumer by the movie companies. In the same way, a System/Consumable scheme that is viewed as unfair will result in people making modifications to their Systems. The limit case of modifying a system is for a clone manufacturer to provide a completely clone System which takes clone consumables. This may be simple competition or violation of patents. Either way, it is beyond the scope of the authentication chip and depends on the technology or service being cloned.
16.2.5 Direct viewing of chip operation by conventional probing
In order to view the chip operation, the chip must be operating. However, the Tamper Prevention and Detection circuitry covers those sections of the chip that process or hold the key. It is not possible to view those sections through the Tamper Prevention lines.
An attacker cannot simply slice the chip past the Tamper Prevention layer, for this will break the Tamper Detection Lines and cause an erasure of all keys at power-up. Simply destroying the erasure circuitry is not sufficient, since the multiple ChipOK bits (now all 0) feeding into multiple units within the authentication chip will cause the chip's regular operating circuitry to stop functioning.
To set up the chip for an attack, then, requires the attacker to delete the Tamper Detection lines, stop the Erasure of Flash memory, and somehow rewire the components that relied on the ChipOK lines. Even if all this could be done, the act of slicing the chip to this level will most likely destroy the charge patterns in the non-volatile memory that holds the keys, making the process fruitless.
16.2.6 Direct viewing of the non-volatile memory If the authentication chip were sliced so that the floating gates of the Flash memory were exposed, without discharging them, then the keys could probably be viewed directly using an STM or SKM. However, slicing the chip to this level without discharging the gates is probably impossible. Using wet etching, plasma etching, ion milling, or chemical mechanical polishing will almost certainly discharge the small charges present on the floating gates. This is true of regular Flash memory, but even more so of multi-level Flash memory.
16.2.7 Viewing the light bursts caused by state changes
All sections of circuitry that manipulate secret key information are implemented in the non-Flashing CMOS described above. This prevents the emission of the majority of light bursts. Regular CMOS inverters placed in close proximity to the non-Flashing CMOS will hide any faint emissions caused by capacitor charge and discharge. The inverters are connected to the Tamper Detection circuitry, so they change state many times (at the high clock rate) for each non-Flashing CMOS state change.
16.2.8 Viewing the keys using an SEPM An SEPM attack can be simply thwarted by adding a metal layer to cover the circuitry. However an attacker could etch a hole in the layer, so this is not an appropriate defense. The Tamper Detection circuitry described above will shield the signal as well as cause circuit noise. The noise will actually be a greater signal than the one that the attacker is looking for. If the attacker attempts to etch a hole in the noise circuitry covering the protected areas, the chip will not function, and the SEPM will not be able to read any data. An SEPM attack is therefore fruitless.
16.2.9 Monitoring EMI
The Noise Generator described above will cause circuit noise. The noise will interfere with other electromagnetic emissions from the chip's regular activities and thus obscure any meaningful reading of internal data transfers.
16.2.10 Viewing ldd fluctuations
The solution against this kind of attack is to decrease the SNR in the ldd signal. This is accomplished by increasing the amount of circuit noise and decreasing the amount of signal. The Noise Generator circuit (which also acts as a defense against EMI attacks) will also cause enough state changes each cycle to obscure any meaningful information in the ldd signal.
In addition, the special Non-Flashing CMOS implementation of the key-carrying data paths of the chip prevents current from flowing when state changes occur. This has the benefit of reducing the amount of signal.
16.2.11 Differential fault analysis Differential fault bit errors are introduced in a non-targeted fashion by ionization, microwave radiation, and environmental stress. The most likely effect of an attack of this nature is a change in Flash memory (causing an invalid state) or RAM (bad parity). Invalid states and bad parity are detected by the Tamper Detection Circuitry, and cause an erasure of the key. Since the Tamper Detection Lines cover the key manipulation circuitry, any error introduced in the key manipulation circuitry will be mirrored by an error in a Tamper Detection Line. If the Tamper Detection Line is affected, the chip will either continually RESET or simply erase the key upon a power-up, rendering the attack fruitless.
Rather than relying on a non-targeted attack and hoping that "just the right part of the chip is affected in just the right way", an attacker is better off trying to introduce a targeted fault (such as overwrite attacks, gate destruction etc.). For information on these targeted fault attacks, see the relevant sections below.
16.2.12 Clock glitch attacks
The Clock Filter (described above) eliminates the possibility of clock glitch attacks.
16.2.13 Power supply attacks
The OverUnderPower Detection Unit (described above) eliminates the possibility of power supply attacks.
16.2.14 Overwriting ROM
Authentication chips store program code, keys and secret information in Flash memory, and not in ROM. This attack is therefore not possible.
16.2.15 Modifying EEPROM/Flash Authentication chips store program code, keys and secret information in multi-level Flash memory. However the Flash memory is covered by two Tamper Prevention and Detection Lines. If either of these lines is broken (in the process of destroying a gate via a laser-cutter) the attack will be detected on power-up, and the chip will either RESET (continually) or erase the keys from Flash memory. This process is described in Section 16.1.6 on page 760. Even if an attacker is able to somehow access the bits of Flash and destroy or short out the gate holding a particular bit, this will force the bit to have no charge or a full charge. These are both invalid states for the authentication chip's usage of the multi-level Flash memory (only the two middle states are valid). When that data value is transferred from Flash, detection circuitry will cause the Erasure Tamper Detection Line to be triggered - thereby erasing the remainder of Flash memory and RESETing the chip. This is true for program code, and non-secret information. As key data is read from multi-level flash memory, it is not imediately checked for validity (otherwise information about the key is given away). Instead, a specific key validation mechanism is used to protect the secret key information. An attacker could theoretically etch off the upper levels of the chip, and deposit enough electrons to change the state of the multi-level Flash memory by 1/3. If the beam is high enough energy it might be possible to focus the electron beam through the Tamper Prevention and Detection Lines. As a result, the authentication chip must perform a validation of the keys before replying to the Random, Test or Random commands. The SHA-1 algorithm must be run on the keys, and the results compared against an internal checksum value. This gives an attacker a 1 in 2160 chance of tricking the chip, which is the same chance as guessing either of the keys. A Modify EEPROM/Flash attack is therefore fruitless. 16.2.16 Gate destruction attacks
Gate Destruction Attacks rely on the ability of an attacker to modify a single gate to cause the chip to reveal information during operation. However any circuitry that manipulates secret information is covered by one of the two Tamper Prevention and Detection lines. If either of these lines is broken (in the process of destroying a gate) the attack will be detected on power-up, and the chip will either RESET (continually) or erase the keys from Flash memory.
To launch this kind of attack, an attacker must first reverse-engineer the chip to determine which gate(s) should be targeted. Once the location of the target gates has been determined, the attacker must break the covering Tamper Detection line, stop the Erasure of Flash memory, and somehow rewire the components that rely on the ChipOK lines. Rewiring the circuitry cannot be done without slicing the chip, and even if it could be done, the act of slicing the chip to this level will most likely destroy the charge patterns in the non-volatile memory that holds the keys, making the process fruitless.
16.2.17 Overwrite attack
An overwrite attack relies on being able to set individual bits of the key without knowing the previous value. It relies on probing the chip, as in the conventional probing attack and destroying gates as in the gate destruction attack. Both of these attacks (as explained in their respective sections), will not succeed due to the use of the Tamper Prevention and Detection Circuitry and ChipOK lines.
However, even if the attacker is able to somehow access the bits of Flash and destroy or short out the gate holding a particular bit, this will force the bit to have no charge or a full charge. These are both invalid states for the authentication chip's usage of the multi-level Flash memory (only the two middle states are valid). When that data value is transferred from Flash detection circuitry will cause the Erasure Tamper Detection Line to be triggered - thereby erasing the remainder of Flash memory and RESETing the chip. In the same way, a parity check on tampered values read from RAM will cause the Erasure Tamper Detection Line to be triggered. An overwrite attack is therefore fruitless.
16.2.18 Memory remanence attack
Any working registers or RAM within the authentication chip may be holding part of the authentication keys when power is removed. The working registers and RAM would continue to hold the information for some time after the removal of power. If the chip were sliced so that the gates of the registers/RAM were exposed, without discharging them, then the data could probably be viewed directly using an STM.
The first defense can be found above, in the description of defense against power glitch attacks. When power is removed, all registers and RAM are cleared, just as the RESET condition causes a clearing of memory.
The chances then, are less for this attack to succeed than for a reading of the Flash memory. RAM charges (by nature) are more easily lost than Flash memory. The slicing of the chip to reveal the RAM will certainly cause the charges to be lost (if they haven't been lost simply due to the memory not being refreshed and the time taken to perform the slicing).
This attack is therefore fruitless.
16.2.19 Chip theft attack
There are distinct phases in the lifetime of an authentication chip. Chips can be stolen when at any of these stages:
• After manufacture, but before programming of key • After programming of key, but before programming of state data
• After programming of state data, but before insertion into the consumable or system
• After insertion into the system or consumable A theft in between the chip manufacturer and programming station would only provide the clone manufacturer with blank chips. This merely compromises the sale of authentication chips, not anything authenticated by the authentication chips. Since the programming station is the only mechanism with consumable and system product keys, a clone manufacturer would not be able to program the chips with the correct key. Clone manufacturers would be able to program the blank chips for their own Systems and Consumables, but it would be difficult to place these items on the market without detection. The second form of theft can only happen in a situation where an authentication chip passes through two or more distinct programming phases. This is possible, but unlikely. In any case, the worst situation is where no state data has been programmed, so all of M is read/write. If this were the case, an attacker could attempt to launch an adaptive chosen text attack on the chip. The HMAC-SHA1 algorithm is resistant to such attacks. For more information see Section 14.7 on page 726.
The third form of theft would have to take place in between the programming station and the installation factory. The authentication chips would already be programmed for use in a particular system or for use in a particular consumable. The only use these chips have to a thief is to place them into a clone System or clone Consumable. Clone systems are irrelevant - a cloned System would not even require an authentication chip. For clone Consumables, such a theft would limit the number of cloned products to the number of chips stolen. A single theft should not create a supply constant enough to provide clone manufacturers with a cost-effective business. The final form of theft is where the System or Consumable itself is stolen. When the theft occurs at the manufacturer, physical security protocols must be enhanced. If the theft occurs anywhere else, it is a matter of concern only for the owner of the item and the police or insurance company. The security mechanisms that the authentication chip uses assume that the consumables and systems are in the hands of the public. Consequently, having them stolen makes no difference to the security of the keys.
16.2.20 Trojan horse attack
A Trojan horse attack involves an attacker inserting a fake authentication chip into the programming station and retrieving the same chip after it has been programmed with the secret key information. The difficulty of these two tasks depends on both logical and physical security, but is an expensive attack - the attacker has to manufacture a false authentication chip, and it will only be useful where the effort is worth the gain. For example, obtaining the secret key for a specific car's authentication chip is most likely not worth an attacker's efforts, while the key for a printer's ink cartridge may be very valuable.
The problem arises if the programming station is unable to tell a Trojan horse authentication chip from a real one - which is the problem of authenticating the authentication chip. One solution to the authentication problem is for the manufacturer to have a programming station attached to the end of the production line. Chips passing the manufacture QA tests are programmed with the manufacturer's secret key information. The chip can therefore be verified by the C1 authentication protocol, and give information such as the expected batch number, serial number etc. The information can be verified and recorded, and the valid chip can then be reprogrammed with the System or Consumable key and state data. An attacker would have to substitute an authentication chip with a Trojan horse programmed with the manufacturer's secret key information and copied batch number data from the removed authentication chip. This is only possible if the manufacturer's secret key is compromised (the key is changed regularly and not known by a human) or if the physical security at the manufacturing plant is compromised at the end of the manufacturing chain. Even if the solution described were to be undertaken, the possibility of a Trojan horse attack does not go away - it merely is removed to the manufacturer's physical location. A better solution requires no physical security at the manufacturing location.
The preferred solution then, is to use transparent epoxy on the chip's packaging and to image the chip before programming it. Once the chip has been mounted for programming it is in a known fixed orientation. It can therefore be high resolution photo-imaged and X-rayed from multiple directions, and the images compared against "signature" images. Any chip not matching the image signature is treated as a Trojan horse and rejected.
1 REFILL OF INK IN PRINTERS - Printer based refill device
1.1 FUNCTIONAL PURPOSE
The functional purpose of the printer based refill device is as follows:
• To refill ink into printers by physically connecting the refill device to the printer. • To ensure that the correct ink is used for the correct operation of the printer (i.e. will not damage the printhead).
• To ensure accurate measure of ink is transferred from the refilling device to the printer during refills.
• The refill device is controlled by the printer. Apart from the QA Chip1 the refill device has no other processing power. 1.2 BASIC COMPONENTS OF THE REFILL DEVICE
Figure 355 shows the components of the printer based refill device. The printer based refill device will consist of following components:
• An ink reservoir - which stores the ink. Each refill device will allow ink reservoirs of various capacities. When the ink reservoir empties out, it is replaced by another reservoir containing more ink of the same type or different type or refilled (for example through a refill station as described in Section 2 and Section 3).
• An ink output device- which dispenses ink to the printer being refilled when physically connected to the printer.
• A QA Chip and associated circuitry - which stores the amount of ink in the reservoir along with the attributes of the ink in a digital format.
• The electrical connections to the QA Chip.
• NB - No additional microprocessors are required to be present in the refill device. Hence the refill device uses the processing power of the printer to oversee the refilling process.
• An ink transfer mechanism (optional) which controls the flow ink from the refill device to the printer and is controlled by the printer. Therefore the control connections for the ink transfer mechanism will be connected to the printer.
• Alternatively, the ink transfer mechanism could be in the printer. Refer to Section 1.3. 1.3 PRINTER DESCRIPTION AND FUNCTIONS
Printers which will be refilled by these refilling devices must have the following components: • Microprocessor assembly which will control the refill procedure as described Section 1.4. The microprocessor assembly will access the QA Chip and ink transfer mechanism of the refill device.
• A QA Chip storing the ink amount remaining in the printer.
'General Note: Througout this document, if secure refilling is required then a physical QA Chip or any other virtual device performing the QA Chip protocol can be used. Refer to [1]. • An optional ink transfer mechanism to control the flow of ink from the refill device to the printer. This ink transfer mechanism must be present in the printer if the refill device doesn't have one of its own.
1.4 OPERATIONAL PROCEDURE The operational procedure can be divided into two parts: • Refilling printers using the refill device.
• Refilling of the ink reservoir in the refill device . See Section 2 and Section 3. 1.4.1 Refilling of printers
Figure 356 shows a printer being refilled by a printer based refill device. The ink transfer mechanism is located in the printer in this case. The ink transfer mechanism could be also located in the refill device as described in Section 1.2.
The following is a description for refilling of printers using the printer based refill device:
• Ink output device from the refilling device is connected to the printer.
• The QA Chip electrical connection is connected to the printer.
• The refill option is selected on the user interface of the printer. The microprocessor assembly in the printer will then do the following: a. Read ink attributes (for example ink type, ink characteristics, ink colour, ink manufacturer etc) stored in the QA Chip of the ink reservoir unit. Refer to[l]. b. Compare the ink attributes as required by the printer for correct operation. This may require reading of data from the QA Chip in the printer. . c. Only if Step b is successful, then do the following: i. Determine the amount of ink to be transferred by any or all of the following means, ensuring that the reservoir has enough ink for the transfer:
• Fixed amount (e.g. based on a pre-programmed value or printer model).
• User-selectable amount. ii. Decrement the amount of ink transferred from the QA Chip in the refill station and increment the QA Chip in the printer (which stores the amount of ink in the printer) with corresponding ink amount, iii. Command the ink transfer mechanism to release the ink to the printer through the output device. 2 Home use refill station
2.1 FUNCTIONAL PURPOSE The functional purpose of the commercial refill station is as follows:
• To refill ink into ink cartridges at home or in a small office.
• Single ink cartridge is filled at a time.
• To ensure that the correct ink present in the refill station is transferred to the correct ink cartridge.
• To ensure accurate measure of ink is transferred from the refilling station to the ink cartridge during refills.
• The refilling station provides the processing power required to perform refills of ink cartridges.
2.2 BASIC COMPONENTS Figure 357 shows the components of a home refill station.
A home refill station will consist of one of the following ink refill units:
• A single reservoir ink refill unit suitable for black ink (or any other single colour).
• A multi reservoir ink refill unit suitable for coloured ink for example CMY (Cyan, Magenta, Yellow). 2.2.1 Ink reservoir unit
Figure 358 shows the components of a three-ink reservoir unit. The ink reservoir unit will consist of the following:
• Multiple ink reservoirs or a single ink reservoir which stores ink. Each refill station will allow ink reservoirs of various capacities. When the ink reservoir empties out, it is replaced by another reservoir containing more ink of the same or different type or refilled (for example through a refill station as described in Section 3).
• A QA Chip and associated circuitry in each of the ink reservoirs - which stores the amount of ink in the reservoir along with the attributes of the ink.
• The electrical connections to each of the QA Chips. 2.2.2 Ink transfer unit
The ink reservoir unit will consist of the following:
• Ink output device from each ink reservoir.
• The output ink transfer mechanism controls the flow ink from the ink refill unit to the ink cartridge and is controlled by the microprocessor assembly. • Final ink output devices to the cartridge interface assembly
2.2.3 Cartridge interface unit
This unit will provide the physical interface to the ink cartridges. Each ink cartridge interface unit will hold a single or multiple cartridges of particular physical dimension.
The cartridge interface unit can removed from the ink refill unit and replaced with another interface unit to cater for other physically different cartridges.
2.2.4 Microprocessor assembly
The controls connections for the ink transfer mechanism and the electrical connections of the QA Chip are connected to the microprocessor assembly. The microprocessor assembly oversees and controls the refill process. The microprocessor assembly will communicate with a user interface to accept commands and provide responses for various refill operations.
2.3 INK CARTRIDGE DESCRIPTION
Ink cartridges which will be refilled in a home refill station must have a QA Chip storing the following components: • Ink amount remaining.
• Ink attributes (for example - ink type, ink characteristics, ink colour, ink manufacturer).
2.4 OPERATIONAL PROCEDURE The operational procedure can be divided into two parts:
• Refilling of ink cartridges using the home refill station.
• Refilling the ink reservoirs used in the refill station is discussed in Section 3.
2.5 REFILLING OF INK CARTRIDGES USING THE HOME REFILL STATION Figure 359 shows the refill of ink cartridges in a home refill station.
The following is a description for refilling of ink cartridges in the home refill station:
• Load the ink cartridge into the cartridge interface unit of the ink refill unit. This will connect the QA Chip of the ink cartridge to the microprocessor assembly. It will also connect the ink output device of the ink refill unit to the ink cartridge. • The model number of the ink cartridge is read from the QA Chip by the microprocessor assembly controlling the ink refill units.
• The microprocessor assembly will determine whether the ink refill unit is suitable for the ink cartridge model.
• The refill option is selected on the microprocessor assembly through the user interface. The microprocessor assembly will then do the following: a. Read ink attributes (for example ink type, ink characteristics, ink colour, ink manufacturer etc) stored in the QA Chip of the ink cartridge. Refer to[l]. b. Compare the read ink attributes to the ink attribute list in the refill station.This may also require reading of the ink attributes stored in the QA Chip of the ink reservoirs in the refill unit. c. Only if Step b is successful, then do the following: i. Determine the amount of ink to be transferred by any or all of the following means, ensuring that the reservoir has enough ink for the transfer:
• Fixed amount (e.g. based on a pre-programmed value cartridge model or reservoir type).
• User-selectable amount. ii. Check the ink reservoir in the ink refill unit has adequate amount of ink to refill the ink cartridge iii. Decrement the amount of ink transferred from the QA Chip in the ink refill unit and increment the QA Chip in the ink cartridge with corresponding ink amount. iv. If incrementing of the QA Chip with ink amount is successful then a command is sent to the ink transfer mechanism to release the ink to the ink cartridge through the output device. 3 Commercial refill station
3.1 FUNCTIONAL PURPOSE
The functional purpose of the commercial refill station is as follows:
• To refill ink into ink cartridges that are taken to the refill station for refilling.
• Multiple ink cartridges of different models can be refilled. • To ensure that the correct ink present in the refill station is transferred to the ink cartridge.
• To ensure accurate measure of ink is transferred from the refilling station to the ink cartridge during refills. • The refilling station provides all processing power required to perform refills of ink cartridges.
3.2 BASIC COMPONENTS OF THE REFILL STATION
Figure 360 shows the components of a commercial refill station.
A commercial refill station will consist of multiple ink refill units controlled by a single microprocessor assembly. Each ink refill unit can refill a single ink cartridge at a time. Each ink refill unit will consist of the following sub units:
• Ink reservoir unit
• Switch unit
• Ink transfer unit • Multiple cartridge interface unit
3.2.1
Ink reservoir unit
Figure 361 shows the components of a ink reservoir unit. The ink reservoir unit will consist of the following: • Multiple ink reservoirs - which stores ink. Each refill device will allow ink reservoirs of various capacities. When the ink reservoir empties out, it is replaced by another reservoir containing more ink of the same or different type or refilled. Refer to Section 3.5.
• A QA Chip and associated circuitry in each of the ink reservoirs - which stores the amount of ink in the reservoir along with the attributes of the ink in digital format. • The electrical connections of each of the QA Chips are connected to the microprocessor assembly.
3.2.2 Switch unit
This unit will switch the inks selected from different ink reservoirs to the ink transfer unit to be dispensed into ink cartridges.
The switch unit will prevent mixing of any residual ink left in dispensing devices after each ink cartridge is refilled.
3.2.3 Ink transfer unit
The ink reservoir unit will consist of the following:
• Ink output device from each ink reservoir.
• An output ink transfer mechanism which controls the flow ink from the ink refill unit to the ink cartridge and is controlled by the microprocessor assembly.
• Final ink output devices to the multiple cartridge interface assembly
3.2.4 Multiple cartridge interface unit
This unit will provide the physical interface to the ink cartridges. Each ink cartridge interface will hold cartridges of different physical dimensions. Each cartridge interface unit can provide an interface for about 20 physically different cartridges.
The cartridge interface unit can removed from the ink refill unit and replaced with another interface unit to cater for other physically different cartridges. 3.2.5 Microprocessor assembly with a user interface
The controls connections for the ink transfer mechanism and the electrical connections of the QA Chip are connected to the microprocessor assembly. The microprocessor assembly will oversee and control the refill process. The microprocessor assembly will communicate with a user interface to accept commands and provide responses for various refill operations.
3.3 INK CARTRIDGE DESCRIPTION
Ink cartridges which will be refilled in a commercial refill station must have a QA Chip storing the following components: • Ink amount remaining.
• Ink attributes (for example - ink type, ink characteristics, ink colour, ink manufacturer).
3.4 OPERATIONAL PROCEDURE
The operational procedure can be divided into two parts:
• Refilling of ink cartridges using the commercial refill station. • Refilling the ink reservoirs used in the refill station is covered in Section 3.5. 3.4.1 Refilling ink cartridges using the commercial refill station Figure 362 shows the refill of ink cartridges in a commercial refill station. The following is a description for refilling of ink cartridges in the commercial refill station:
• Load the ink cartridge into the multiple cartridge interface unit of the ink refill unit. This will connect the QA Chip of the ink cartridge to the microprocessor assembly. It will also connect the ink output device of the ink refill unit to the ink cartridge.
• The model number of the ink cartridge automatically is read from the QA Chip by the microprocessor assembly controlling the ink refill units.
• The microprocessor assembly will determine whether the ink refill unit is suitable for the ink cartridge model.
• The refill option is selected on the microprocessor assembly through the user interface. The microprocessor assembly will then do the following: a. Read ink attributes (for example ink type, ink characteristics, ink colour, ink manufacturer etc) stored in the QA Chip of the ink cartridge. Refer to[l]. b. Compare the read ink attributes to the ink attribute list in the refill station.This may also require reading of the ink attributes stored in the QA Chip of the ink reservoirs in the refill unit. c. Only if Step b is successful, then do the following: i. Determine the amount of ink to be transferred by any or all of the following means, ensuring that the reservoir has enough ink for the transfer: • Fixed amount (e.g. based on a pre-programmed value, cartridge model or reservoir type).
• User-selectable amount. ii. The microprocessor assembly will calculate the cost of ink amount and interrogate the user for a payment method -credit card or cash. If credit card option is selected it will request a credit card number to be selected and interface to a payment system to complete the transaction before proceeding further, iii. Decrement the amount of ink transferred from the QA Chip in the ink refill unit and increment the QA Chip in the ink cartridge with corresponding ink amount. iv. If incrementing of the QA Chip with ink amount is successful then a command is sent to the ink transfer mechanism to release the ink to the ink cartridge through the output device.
3.5 REFILLING THE INK RESERVOIRS
The ink reservoirs of any ink refill device can be refilled recursively by the procedure described in Section 3.4.1 , the only exception being the ink cartridge replaced by the ink reservoir.
3.6 COMMERCIAL REFILL STATION FOR A PRODUCTION ENVIRONMENT
This refill station resembles a commercial refill station but fills multiple ink cartridges of the same type at the same time. This will serve as a filling station for new cartridges in a production environment.
LOGICAL INTERFACE SPECIFICATION FOR PREFERRED FORM OF QA CHIP
1 Introduction
This document defines the QA Chip Logical Interface , which provides authenticated manipulation of specific printer and consumable parameters. The interface is described in terms of data structures and the functions that manipulate them, together with examples of use. While the descriptions and examples are targetted towards the printer application, they are equally applicable in other domains.
2 Scope
The document describes the QA Chip Logical Interface as follows: • data structures and their uses (Section 5 to Section 9).
• functions, including inputs, outputs, signature formats, and a logical implementation sequence (Section 10 to Section 30).
• typical functional sequences of printers and consumables, using the functions and data structures of the interface (Section 31 to Section 32). The QA Chip Logical Interface is a logical interface, and is therefore implementation independent. Although this document does not cover implementation details on particular platforms, expected implementations include:
• Software only
• Off-the-shelf cryptographic hardware. • ASICs, such as SBR4320 [2] and SOPEC [3] for physical insertion into printers and ink cartridges
• Smart cards.
3 Nomenclature 3.1 SYMBOLS
The following symbolic nomenclature is used throughout this document: Table 246. Summary of symbolic nomenclature
Figure imgf000780_0001
Figure imgf000781_0001
3.2 PSEUDOCODE
3.2.1 Asynchronous The following pseudocode: var = expression means the var signal or output is equal to the evaluation of the expression.
3.2.2 Synchronous The following pseudocode: var - expression means the var register is assigned the result of evaluating the expression during this cycle.
3.2.3 Expression
Expressions are defined using the nomenclature in Table 246 above. Therefore: var = (a = b) is interpreted as the var signal is 1 if a is equal to b, and 0 otherwise. 4 TERMS
4.1 QA Device and System
An instance of a QA Chip Logical Interface (on any platform) is a QA Device. QA Devices cannot talk directly to each other. A System is a logical entity which has one or more QA Devices connected logically (or physically) to it, and calls the functions on the QA Devices. The system is considered secure and the program running on the system is considered to be trusted.
4.2 Types of QA Devices 4.2.1 Trusted QA Device
The Trusted QA Device forms an integral part of the system itself and resides within the trusted environment of the system. It enables the system to extend trust to external QA Device s. The Trusted QA Device is only trusted because the system itself is trusted. 4.2.2 External untrusted QA Device
The External untrusted QA Device is a QA Device that resides external to the trusted environment of the system and is therefore untrusted. The purpose of the QA Chip Logical Interface is to allow the external untrusted QA Devices to become effectively trusted. This is accomplished when a Trusted QA Device shares a secret key with the external untrusted QA Device, or with a Translation QA Device (see below).
In a printing application external untrusted QA Devices would typically be instances of SBR4320 implementations located in a consumable or the printer.
4.2.3 Translation QA Device A Translation QA Device is used to translate signatures between QA Devices and extend effective trust when secret keys are not directly shared between QA Devices.
The Translation QA Device must share a secret key with the Trusted QA Device that allows the Translation QA Device to effectively become trusted by the Trusted QA Device and hence trusted by the system. The Translation QA Device shares a different secret key with another external untrusted QA Device (which may in fact be a Translation QA Device etc). Although the Trusted QA Device doesn't share (know) the key of the external untrusted QA Device, signatures generated by that untrusted device can be translated by the Translation QA Device into signatures based on the key that the Trusted QA Device does know, and thus extend trust to the otherwise untrusted external QA Device.
In a SoPEC-based printing application, the Printer QA Device acts as a Translation QA Device since it shares a secret key with the SoPEC, and a different secret key with the ink carridges.
4.2.4 Consumable QA Device A Consumable QA Device is an external untrusted QA Device located in a consumable. It typically contains details about the consumable, including how much of the consumable remains. In a printing application the consumable QA Device is typically found in an ink cartridge and is referred to as an Ink QA Device, or simply Ink QA since ink is the most common consumable for printing applications. However, other consumables in printing applications include media and impression counts, so consumable QA Device is more generic.
4.2.5 Printer QA Device
A Printer QA Device is an external untrusted device located in the printer. It contains details about the operating parameters for the printer, and is often referred to as a Printer QA. 4.2.6 Value Upgrader QA Device A Value Upgrader QA Device contains the necessary functions to allow a system to write an initial value (e.g. an ink amount) into another QA Device, typically a consumable QA Device . It also allows a system to refill/replenish a value in a consumable QA Device after use. Whenever a value upgrader QA Device increases the amount of value in another QA Device , the value in the value upgrader QA Device is correspondingly decreased. This means the value upgrader QA Device cannot create value - it can only pass on whatever value it itself has been issued with. Thus a value upgrader QA Device can itself be replenished or topped up by another value upgrader QA Device.
An example of a value upgrader is an Ink Refill QA Device, which is used to fill/refill ink amount in an Ink QA Device.
4.2.7 Parameter Upgrader QA Device A Parameter Upgrader QA Device contains the necessary functions to allow a system to write an initial parameter value (e.g. a print speed) into another QA Device, typically a printer QA Device. It also allows a system to change that parameter value at some later date.
A parameter upgrader QA Device is able to perform a fixed number of upgrades, and this number is effectively a consumable value. Thus the number of available upgrades decreases by 1 with each upgrade, and can be replenished by a value upgrader QA Device.
4.2.8 Key programmer QA Device Secret batch keys are inserted into QA Devices during instantiation (e.g. manufacture). These keys must be replaced by the final secret keys when the purpose of the QA Device is known. The Key Programmer QA Device implements all necessary functions for replacing keys in other QA Devices.
4.3 Signature Digital signatures are used throughout the authentication protocols of the QA Chip Logical Interface. A signature is produced by passing data plus a secret key through a keyed hash function. The signature proves that the data was signed by someone who knew the secret key. The signature function used throughout the QA Chip Logical Interface is HMAC-SHA1 [1].
4.3.4 Authenticated Read • This is a read of data from a non-trusted QA Device that also includes a check of the signature (see Section 4.3.3). When the System determines that the signature is correct for the returned data (e.g. by asking a trusted QA Device to test the signature) then the System is able to trust that the data has not been tampered en route from the read, and was actually stored on the non-trusted QA Device.
4.3.5 Authenticated Write An authenticated write is a write to the data storage area in a QA Device where the write request includes both the new data and a signature. The signature is based on a key that has write access permissions to the region of data in the QA Device, and proves to the receiving QA Device that the writer has the authority to perform the write. For example, a Value Upgrader Refilling Device is able to authorize a system to perform an authenticated write to upgrade a Consumable QA Device (e.g. to increase the amount of ink in an Ink QA Device).
The QA Device that receives the write request checks that the signature matches the data (so that it hasn't been tampered with en route) and also that the signature is based on the correct authorization key. An authenticated write can be followed by an authenticated read to ensure (from the system's point of view) that the write was successful.
4.3.6 Non-authenticated Write
A non-authenticated write is a write to the data storage area in a QA Device where the write request includes only the new data (and no signature). This kind of write is used when the system wants to update areas of the QA Device that have no access-protection. The QA Device verifies that the destination of the write request has access permissions that permit anyone to write to it. If access is permitted, the QA Device simply performs the write as requested. A non-authenticated write can be followed by an authenticated read to ensure (from the system's point of view) that the write was successful.
4.3.7 Authorized Modification of Data Authorized modification of data refers to modification of data via authenticated writes (see Section 4.3.5).
DATA STRUCTURES 5 Summary
Figure imgf000785_0001
785
6 Instance/device identifier
Each QA Device requires an identifier that allows unique identification of that QA Device by external systems, ensures that messages are received by the correct QA Device, and ensures that the same device can be used across multiple transactions.
Strictly speaking, the identifier only needs to be unique within the context of a key, since QA Devices only accept messages that are appropriately signed. However it is more convenient to have the instance identifier completely unique, as is the case with this design.
The identifier functionality is provided by Chipld.
6.1 CHIPID
Chipld is the unique 64-bit QA Device identifier. The Chipld is set when the QA Device is instantiated, and cannot be changed during the lifetime of the QA Device. A 64-bit Chipld gives a maximum of 1844674 trillion unique QA Devices.
7 Key and key related data
7.1 NUMKEYS, K, KEYlD, AND KEYLOCK
Each QA Device contains a number of secret keys that are used for signature generation and verification. These keys serve two basic functions:
• For reading, where they are used to verify that the read data came from the particular QA Device and was not altered en route.
• For writing, where they are used to ensure only authorised modification of data.
Both of these functions are achieved by signature generation; a key is used to generate a signature for subsequent transmission from the device, and to generate a signature to compare against a received signature.
The number of secret keys in a QA Device is given by NumKeys. For this version of the QA Chip
Logical Interface, NumKeys has a maximum value of 8.
Each key is referred to as K, and the subscripted form Kn refers to the nth key where n has the range 0 to NumKeys-1 (i.e. 0 to 7). For convenience we also refer to the nth key as being the key in the nth keyslot.
The length of each key is 160-bits. 160-bits was chosen because the output signature length from the signature generation function (HMAC-SHA1 ) is 160 bits, and a key longer than 160-bits does not add to the security of the function. The security of the digital signatures relies upon keys being kept secret. To safeguard the security of each key, keys should be generated in a way that is not deterministic. Ideally each key should be programmed with a physically generated random number, gathered from a physically random phenomenon. Each key is initially programmed during QA Device instantiation. Since all keys must be kept secret and must never leave the QA Device, each key has a corresponding 31 -bit Keyld which can be read to determine the identity or label of the key without revealing the value of the key itself. Since the relationship between keys and Keylds is 1 :1, a system can read all the Keylds from a QA Device and know which keys are stored in each of the keyslots.
Finally, each keyslot has a corresponding 1-bit KeyLock status indicating whether the key in that slot/position is allowed to be replaced (securely replaced, and only if the old key is known). Once a key has been locked into a slot, it cannot be unlocked i.e. it is the final key for that slot. A key can only be used to perform authenticated writes of data when it has been locked into its keyslot (i.e. its KeyLock status = 1 ). Refer to Section 8.1.1.5 for further details.
Thus each of the NumKeys keyslots contains a 160-bit key, a 31 -bit Keyld, and a 1-bit KeyLock. 7.2 COMMON AND VARIANT SIGNATURE GENERATION To create a digital signature, we pass the data to be signed together with a secret key through a key dependent one-way hash function. The key dependent one-way hash function used throughout the QA Chip Logical Interface is HMAC-SHA1[1].
Signatures are only of use if they can be validated i.e. QA Device A produces a signature for data and QA Device B can check if the signature was valid for that particular data. This implies that A and B must share some secret information so that they can generate equivalent signatures.
Common key signature generation is when QA Device A and QA Device B share the exact same key i.e. key KA = key KB. Thus the signature for a message produced by A using KAcan be equivalently produced by B using KB. In other words SIG«A(message) = SIGκB(message) because key KA = key KB. Variant key signature generation is when QA Device B holds a base key, and QA Device A holds a variant of that key such that KA = owf(KB,UA) where owf is a one-way function based upon the base key (KB) and a unique number in A (UA). Thus A can produce SIGκΛ(message), but for B to produce an equivalent signature it must produce KA by reading UA from A and using its base key KB. KA is referred to as a variant key and KB is referred to as the base/common key. Therefore, B can produce equivalent signatures from many QA Devices, each of which has its own unique variant of KB. Since Chipld is unique to a given QA Device, we use that as UA. A one-way function is required to create KA from KB or it would be possible to derive KB if KA were exposed. Common key signature generation is used when A and B are equally available1 to an attacker. For example, Printer QA Devices and Ink QA Devices are equally available to attackers (both are
1The term "equally available" is relative. It typically means that the ease of availability of both are the effectively the same, regardless of price (e.g. both A and B are commercially available and effectively equally easy to come by). commonly available to an attacker), so shared keys between these two devices should be common keys.
Variant key signature generation is used when B is not readily available to an attacker, and A is readily available to an attacker. If an attacker is able to determine KA, they will not know KA for any other QA Device of class A, and they will not be able to determine KB.
The QA Device producing or testing a signature needs to know if it must use the common or variant means of signature generation. Likewise, when a key is stored in a QA Device, the status of the key (whether it is a base or variant key) must be stored along with it for future reference. Both of these requirements are met using the Keyld as follows: The 31 -bit Keyld is broken into two parts:
• A 30-bit unique identifier for the key. Bits 30-1 represents the Id.
• A 1-bit Variant Flag, which represents whether the key is a base key or a variant key. Bit 0 represents the Variant Flag.
Table 247 describes the relationship of the Variant Flag with the key. Table 247. Variant Flag representation
Figure imgf000789_0001
7.2.1 Equivalent signature generation between QA Devices
Equivalent signature generation between 4 QA Devices A, B, C and D is shown in Figure 363. Each device has a single key. Keyld. Id of all four keys are the same i.e KeyldΛ./o' = KeyldB./d = Key\dc.ld
= KeyldD.ld.
If KeyldA. VariantFlag = 0 and KeyldB. VariantFlag = 0, then a signature produced by A, can be equivalently produced by B because KA = KB.
If Keyldβ. VariantFlag = 0 and Keyldc .VariantFlag = 1 , then a signature produced by C, is equivalently produced by B because Kc=f (KB, Chipldc).
If Keyldc. VariantFlag = 1 and KeyldD .VariantFlag = 1 , then a signature produced by C, cannot be equivalently produced by D because there is no common base key between the two devices.
If KeyldD. VariantFlag = 1 and KeyldA .VariantFlag = 0, then a signature produced by D, can be equivalently produced by A because KD=f (KA, ChipldD). 8 Operating and state data
The primary purpose of a QA Device is to securely hold application-specific data. For example if the QA Device is an Ink QA Device it may store ink characteristics and the amount of ink-remaining. If the QA Device is a Printer QA Device it may store the maximum speed and width of printing. For secure manipulation of data:
• Data must be clearly identified (includes typing of data).
• Data must have clearly defined access criteria and permissions. The QA Chip Logical Interface contains structures to permit these activities. The QA Device contains a number of kinds of data with differing access requirements:
• Data that can be decremented by anyone, but only increased in an authorised fashion e.g. the amount of ink-remaining in an ink cartridge.
• Data that can only be decremented in an authorised fashion e.g. the number of times a Parameter Upgrader QA Device has upgraded another QA Device. • Data that is normally read-only, but can be written to (changed) in an authorised fashion e.g. the operating parameters of a printer.
• Data that is always read-only and doesn't ever need to be changed e.g. ink attributes or the serial number of an ink cartridge or printer.
• Data that is written by QACo/Silverbrook, and must not be changed by the OEM or end user e.g. a licence number containing the OEM's identification that must match the software in the printer.
• Data that is written by the OEM and must not be changed by the end-user e.g. the machine number that filled the ink cartridge with ink (for problem tracking).
8.1 M M is the general term for all of the memory (or data) in a QA Device. M is further subscripted to refer to those different parts of M that have different access requirements as follows:
• M0 contains all of the data that is protected by access permissions for key-based (authenticated) and non-key-based (non-authenticated) writes.
• M| contains the type information and access permissions for the M0 data, and has write-once permissions (each sub-part of M-i can only be written to once) to avoid the possibility of changing the type or access permissions of something after it has been defined.
• M2, M3 etc., referred to as M2+, contains all the data that can be updated by anyone until the permissions for those sub-parts of M2+ have changed from read/write to read-only.
While all QA Devices must have at least M0 and Mi, the exact number of memory vectors (Mns) available in a particular QA Device is given by NumVectors. In this version of the QA Chip Logical Interface there are exactly 4 memory vectors, so NumVectors = 4. Each Mπ is 512 bits in length, and is further broken into 16 x 32 bit words. The Λh word of Mn is referred to as Mn[i]. Mn[0] is the least significant word of Mπ, and Mn[15] is the most significant word of Mn.
Figure imgf000791_0001
In the general case of data storage, it is up to the external accessor to interpret the bits in any way it wants. Data structures can be arbitrarily arranged as long as the various pieces of software and hardware that interpret those bits do so consistently. However if those bits have value, as in the case of a consumable, it is vital that the value cannot be increased without appropriate authorisation, or one type of value cannot be added to another incompatible kind e.g. dollars should never be added to yen.
Therefore M0 is divided into a number of fields, where each field has a size, a position, a type and a set of permissions. M0 contains all of the data that requires authenticated write access (one data element per field), and M-i contains the field information i.e. the size, type and access permissions for the data stored in M0. Each 32-bit word of M-i defines a field. Therefore there is a maximum of 16 defined fields. M-ι[0] defines field 0, M^l] defines field 1 and so on. Each field is defined in terms of:
• size and position, to permit external accessors determine where a data item is
• type, to permit external accessors determine what the data represents
• permissions, to ensure approriate access to the field by external accessors. The 32-bit value M-ι[n] defines the conceptual field attributes for field n as follows:
With regards to consistency of interpretation, the type, size and position information stored in the various words of M-i allows a system to determine the contents of the corresponding fields (in M0) held in the QA Device. For example, a 3-color ink cartridge may have an Ink QA Device that holds the amount of cyan ink in field 0, the amount of magenta ink in field 1 , and the amount of yellow ink in field 2, while another single-color Ink QA Device may hold the amount of yellow ink in field 0, where the size of the fields in the two Ink QA Devices are different.
A field must be defined (in M-i) before it can be written to (in M0). At QA Device instantiation, the whole of M0 is 0 and no fields are defined (all of M-i is 0). The first field (field 0) can only be created by writing an appropriate value to M-ι[0]. Once field 0 has been defined, the words of M0 corresponding to field 0 can be written to (via the appropriate permissions within the field definition 1L0]).
Once a field has been defined (i.e. M-ι[n] has been written to), the size, type and permissions for that field cannot be changed i.e. M-i is write-once. Otherwise, for example, a field could be defined to be lira and given an initial value, then the type changed to dollars. The size of a field is measured in terms of the number of consecutive 32-bit words it occupies. Since there are only 16 x 32-bit words in M0, there can only be 16 fields when all 16 fields are defined to be 1 word sized each. Likewise, the maximum size of a field is 512 bits when only a single field is defined, and it is possible to define two fields of 256-bits each. Once field 0 has been created, field 1 can be created, and so on. When enough fields have been created to allocate all of M0, the remaining words in M-i are available for write-once general data storage purposes.
It must be emphasised that when a field is created the permissions for that field are final and cannot be changed. This also means that any keys referred to by the field permissions must be already locked into their keyslots. Otherwise someone could set up a field's permissions that the key in a particular keyslot has write access to that field without any guarantee that the desired key will be ever stored in that slot (thus allowing potential mis-use of the field's value). 8.1.1.1 Field Size and Position
A field's size and position are defined by means of 4 bits (referred to as EndPos) that point to the least significant word of the field, with an implied position of the field's most significant word. The implied position of field O's most significant word is M0[15]. The positions and sizes of all fields can therefore be calculated by starting from field 0 and working upwards until all the words of M0 have been accounted for.
The default value of M-ι[0] is 0, which means fieldO.endPos = 0. Since fieldO.startPos = 15, field 0 is the only field and is 16 words long. 8.1.1.1.1 Example Suppose for example, we want to allocate 4 fields as follows:
• field 0 : 128 bits (4 x 32-bit words)
• field 1 : 32 bits (1 x 32-bit word)
• field 2: 160 bits (5 x 32-bit words)
• field 3: 192 bits (6 x 32-bit words) Field O's position and size is defined by M-ι[0], and has an assumed start position of 15, which means the most significant word of field 0 must be in M0[15]. Field 0 therefore occupies M0[12] through to M0[15], and has an endPos value of 12.
Field 1 's position and size is defined by M-ι[1], and has an assumed start position of 11 (i.e.
M-ι[0].endPos - 1 ). Since it has a length of 1 word, field 1 therefore occupies only M0[11] and its end position is the same as its start position i.e. its endPos value is 11.
Likewise field 2's position and size is defined by M-ι[2], and has an assumed start position of 10 (i.e.
M-ι[1].endPos - 1 ). Since it has a length of 5 words, field 2 therefore occupies M0[6] through to
M0[10] and and has an endPos value of 6.
Finally, field 3's position and size is defined by M-i [3], and has an assumed start position of 5 (i.e. Mι[2].endPos - 1 ). Since it has a length of 6 words, field 3 therefore occupies M0[5] through to M0[0] and and has an endPos value of 0. Since all 16 words of M0 are now accounted for in the 4 fields, the remaining words of M-, (i.e. M-i[4] though to M-|[15]) are ignored, and can be used for any write-once (and thence read-only) data. Figure 365 shows the same example in diagramatic format. 8.1.1.1.2 Determining the number of fields The following pseudocode illustrates a means of determining the number of fields: fieldNum FindNumFields (Ml ) startPos - 15 fieldNum - 0 While (fieldNum < 16) endPos <- Ml [fieldNum] . endPos If (endPos > startPos) # error in this field. . . so must be an attack attack-Detected ( ) # most likely clears all keys and data Endlf fieldNum++ If (endPos = 0) return fieldNum # is already incremented Else startPos - endPos - 1 # endpos must be > 0 Endlf EndWhile # error if get here since 16 fields are consumed in 16 words at most attackDetected( ) # most likely clears all keys and data 8.1.1.1.3 Determining the sizes of all fields
The following pseudocode illustrates a means of determing the sizes of all valid fields: FindFieldSizes (Ml , fieldSize [] ) numFields - FindNumFields (Ml) # assumes that FindNumFields does all checking ntartPos <- 15 fieldNum <- 0 While (fieldNum < numFields) EndPos <- Ml [fieldNum] .endPos fieldSize [fieldNum] = startPos - endPos + 1 startPos - endPos - 1 # endpos must be > 0 fieldNum4-+ EndWhile While (fieldNum < 16) f ieldSize [fieldNum] <- 0 fieldNum++ EndWhile
8. .12 Field Type
The system must be able to identify the type of data stored in a field so that it can perform operations using the correct data. For example, a printer system must be able identify which of a consumable's fields are ink fields (and which field is which ink) so that the ink usage can be correctly applied during printing.
A field's type is defined by 15 bits. Table 332 in Appendix A lists the field types that are specifically required by the QA Chip Logical Interface and therefore apply across all applications. The default value of M^O] is 0, which means fieldO.type = 0 (i.e. non-initialised). Strictly speaking, the type need only be interpreted by all who can securely read and write to that field i.e. within the context of one or more keys. However it is convenient if possible to keep all types unique for simplistic identification of data across all applications.
In the general case, an external system communicating with a QA Device can identify the data stored in M0 in the following way:
• Read the Keyld of the key that has permission to write to the field. This will a give broad identification of the data type, which may be sufficient for certain applications.
• Read the type attribute for the field to narrow down the identity within the broader context of the Keyld.
For example, the printer system can read the Keyld to deduce that the data stored in a field can be written to via the HP_Network_lnkRefill key, which means that any data is of the general ink category known to HP Network printers. By further reading the type attribute for the field the system can determine that the ink is Black ink. 8.1.1.3 Field Permissions
All fields can be ready by everyone. However writes to fields are governed by 13-bits of permissions that are present in each field's attribute definition. The permissions describe who can do what to a specific field.
Writes to fields can either be authenticated (i.e. the data to be written is signed by a key and this signature must be checked by the receiving device before write access is given) or non- authenticated (i.e. the data is not signed by a key). Therefore we define a single bit (AuthRW) that specifies whether authenticated writes are permitted, and a single bit (NonAuthRW) specifying whether non-authenticated writes are permitted. Since it is pointless to permit both authenticated and non-authenticated writes to write any value (the authentciated writes are pointless), we further define the case when both bits are set to be interpreted as authenticated writes are permitted, but non-authenticated writes only succeed when the new value is less than the previous value i.e. the permission is decrement-only. The interpretation of these two bits is shown in Table 249. Table 249. Interpretation of AuthRW and NonAuthRW
Figure imgf000795_0001
If authenticated write access is permitted, there are 11 additional bits (bringing the total number of permission bits to 13) to more fully describe the kind of write access for each key. We only permit a single key to have the ability to write any value to the field, and the remaining keys are defined as being either not permitted to write, or as having decrement-only write access. A 3-bit KeyNum represents the slot number of the key that has the ability to write any value to the field (as long as the key is locked into its key slot), and an 8-bit KeyPerms defines the write permissions for the (maximum of) 8 keys as follows:
• KeyPerms[n] = 0: The key in slot n (i.e. Kn) has no write access to this field (except when n = KeyNum). Setting KeyPerms to 0 prohibits a key from transferring value (when an amount is deducted from field in one QA Device and transferred to another field in a different QA Device)
• KeyPerms[n] = 1: The key in slot n (i.e. Kn) is permitted to perform decrement-only writes to this field (as long as Kn is locked in its key slot). Setting KeyPerms to 1 allows a key to transfer value (when an amount is deducted from field in one QA Device and transferred to another field in a different QA Device).
The 13-bits of permissions (within bits 4-16 of M-ι[n]) are allocated as follows: 8.1.1.3.1 Example 1 Figure 367 shows an example of permission bits for a field. In this example we can see: • NonAuthRW = 0 and AuthRW = 1 , which means that only authenticated writes are allowed i.e. writes to the field without an appropriate signature are not permitted. • KeyNum = 3, so the only key permitted to write any value to the field is key 3 (i.e. K3). • KeyPerms[3] = 0, which means that although key 3 is permitted to write to this field, key 3 can't be used to transfer value from this field to other QA Devices. • KeyPerms[0,4,5,6, 7] = 0, which means that these respective keys cannot write to this field. • KeyPerms[1,2] = 1 , which means that keys 1 and 2 have decrement-only access to this field i.e. they are permitted to write a new value to the field only when the new value is less than the current value. 8.1.1.3.2 Example 2 Figure 368 shows a second example of permission bits for a field. In this example we can see: • NonAuthRW and AuthRW = 1 , which means that authenticated writes are allowed and writes to the field without a signature are only permitted when the new value is less than the current value (i.e. non-authenticated writes have decrement-only permission). • KeyNum = 3, so the only key permitted to write any value to the field is key 3 (i.e. K3). • KeyPerms[3] = 1 , which means that key 3 is permitted to write to this field, and can be used to transfer value from this field to other QA Devices. • KeyPerms[0,4,δ,6,7] = 0, which means that these respective keys cannot write to this field. • KeyPerms[1,2] = 1 , which means that keys 1 and 2 have decrement-only access to this field i.e. they are permitted to write a new value to the field only when the new value is less than the current value.
8.1.1.4 Summary of Field attributes Figure 369 shows the breakdown of bits within the 32-bit field attribute value M-ι[n]. Table 250 summarises each attribute. Table 250. Attributes for a field
Figure imgf000796_0001
Figure imgf000797_0001
8.1.7.5 Permissions ofM^
Mi holds the field attributes for data stored in M0, and each word of Mi can be written to once only. It is important that a system can determine which words are available for writing. While this can be determined by reading Mi and determining which of the words is non-zero, a 16-bit permissions value P1 is available, with each bit indicating whether or not a given word in Mi has been written to. Bit n of Pi represents the permissions for Mι[n] as follows: Table 251. Interpretation of Pι[n] i.e. bit n of Mi's permission
Figure imgf000797_0002
Since Mi is write-once, whenever a word is written to in Mi, the corresponding bit of P1 is also cleared, i.e. writing to Mι[n] clears Pι[n].
Writes to Mι[n] only succeed when all of Mι[0...n-1] have already written to (i.e. previous fields are defined) i.e. • Mι[0..n-1] must have already been written to (i.e. Pι[0..n-1] are 0)
• Pι[n] = 1 (i.e. it has not yet been written to)
In addition, if M^n-IJ.endPos ≠ 0, the new M-,[n] word will define the attributes of field n, so must be further checked as follows:
• The new Mι[n]. endPos must be valid (i.e. must be less than Mι[n-1].endPos) • If the new Mι[n].authRW is set, KkeyNum must be locked, and all keys referred to by the new Mι[n].keyPerms must also be locked.
However if Mι[n-1].endPos = 0, then all of M0 has been defined in terms of fields. Since enough fields have been created to allocate all of M0, any remaining words in Mi are available for write-once general data storage purposes, and are not checked any further. 8.1.2 M2+
M2, M3 etc., referred to as M2+, contains all the data that can be updated by anyone (i.e. no authenticated write is required) until the permissions for those sub-parts of M2+ have changed from read/write to read-only.
The same permissions representation as used for Mi is also used for M2+. Consequently Pn is a 16- bit value that contains the permissions for Mn (where n > 0). The permissions for word w of Mn is given by a single bit Pn[w]. However, unlike writes to M-i, writes to M2+ do not automatically clear bits in P. Only when the bits in P2+ are explictly cleared (by anyone) do those corresponding words become read-only and final.
9 Session data Data that is valid only for the duration of a particular communication session is referred to as session data. Session data ensures that every signature contains different data (sometimes referred to as a nonce) and this prevents replay attacks.
9.1 R
R is a 160-bit random number seed that is set up (when the QA Device is instantiated) and from that point on it is internally managed and updated by the QA Device. R is used to ensure that each signed item contains time varying information (not chosen by an attacker), and each QA Device's R is unrelated from one QA Device to the next.
This R is used in the generation and testing of signatures.
An attacker must not be able to deduce the values of R in present and future devices. Therefore, R should be programmed with a cryptographically strong random number, gathered from a physically random phenomenon (must not be deterministic). 9.2 ADVANCING R
The session component of the message must only last for a single session (challenge and response).
The rules for updating R are as follows: • Reads of R do not advance R.
• Everytime a signature is produced with R, R is advanced to a new random number.
• Everytime a signature including R is tested and is found to be correct, R is advanced to a new random number.
9.3 RL AND RE Each signature contains 2 pieces of session data i.e. 2 Rs:
• One R comes from the QA Device issuing the challenge i.e. the challenger. This is so the challenger can ensure that the challenged QA Device isn't simply replaying an old signature i.e. the challenger is protecting itself against the challenged.
• One R comes from the device responding to the challenge i.e. the challenged. This is so the challenged never signs anything that is given to it without inserting some time varying change i.e. protects the challenged from the challenger in case the challenger is actually an attacker performing a chosen text attack Since there are two Rs, we need to distinguish between them. We do so by defining each R as external (RE) or local (RL) depending on its use in a given function. For example, the challenger sends out its local R, referred to as RL. The device being challenged receives the challenger's R as an external R, i.e RE. It then generates a signature using its RL and the challenger's RE. The resultant signature and R are sent to the challenger as the response. The challenger receives the signature and RE (signature and R produced by the device being challenged), produces its own signature using RL (sent to the device being challenged earlier) and RE received, and compares that signature to the signature received as response. SIGNATURE FUNCTIONS 10 Objects
10.1 KEYREF 10.1.1 Object description Instead of passing keys directly into a function, a KeyRef (i.e. key reference) object is passed instead. A KeyRef object encapsulates the process by which a key is formed for common and variant forms of signature generation (based on the setting of the variables within the object). A KeyRef defines which key to use, whether it is a common or variant form of that key, and, if it is a variant form, the Chipld to use to create the variant. For more information about common and variant forms of keys, see Section 7.2.
Users pass KeyRef objects in as input parameters to public functions of the QA Chip Logical Interface , and these KeyRefs are subsequently passed to the signature function (called within the interface function). Note, however, that the method functions for KeyRef objects are not available outside the QA Chip Logical Interface. 10.1.2 Object variables Table 252 describes each of the variables within a KeyRef object. Table 252. Description of object variables for KeyRef object
Figure imgf000800_0001
10.1.3 Object Methods 70.7.3.7 getKey public key getKey(void) 10.1.3.1.1 Method description This method is a public method (public in object oriented terms, not public to users of the QA Chip Logical Interface) and is called by the GenerateSignature function to return the key for use in signature generation. If useChipld is true, the formKeyVariant method is called to form the key using chipld and then return the variant key. If useChipld is false, the key stored in slot keyNum is returned. 10.1.3.1.2 Method sequence
The getKey method is illustrated by the following pseudocode: If (useChipld = 0) key — Kkey um Else key <— formKeyVariant ( ) Endlf Return key 10.1.3.2 formKeyVariant private key formKeyVariant (void) 10.1.3.2.1 Method description This method produces the variant form of a key, based on the KkeyNum and chipld. As described in Section 7.2, the variant form of key KkeyNum is generated by owf (KkeyNum> chipld) where owf is a one- way function. In addition, the time taken by owf must not depend on the value of the key i.e. the timing should be effectively constant. This prevents timing attacks on the key.
At present, owf is SHA1 , although this still needs to be verified. Thus the variant key is defined to be SHA1(KkeyNum | chipld). 10.1.3.2.2 Method sequence
The formKeyVariant method is illustrated by the following pseudocode: key <- SHA1 ( KeyNum | chipld) # Calculation must take constant time Return key 11 Functions Digital signatures form the basis of all authentication protocols within the QA Chip Logical Interface . The signature functions are not directly available to users of the QA Chip Logical Interface , since a golden rule of digital signatures is never to sign anything exactly as it has been given to you. Instead, these signature functions are internally available to the functions that comprise the public interface, and are used by those functions for the formation of keys and the generation of signatures.
11.1 GENERATESIGNATURE Input- KeyRef, Data, Randoml, Random2 Output: SIG Changes: None Availability: All devices
11.1.1 Function description
This function uses KeyRef to obtain the actual key required for signature generation, appends Randoml and Random2 to Data, and performs HMAC_SHA1 [key, Data] to output a signature. HMAC_SHA1 is described in [1]. In addition, this operation must take constant time irrespective of the value of the key (see Section 10.1.3.2 for more details).
11.1.2 Input parameter description
Table 253 describes each of the input parameters: Table 253. Description of input parameters for GenerateSignature
Parameter Description KeyRef This is an instance of the KeyRef object for use by the GenerateSignature function. For common key signature generation: KeyRef.keyNum = Slot number of the key to be used to produce the signature. KeyRef.useChipld = 0 For variant key signature generation: KeyRef.keyNum = Slot number of the key to be used for generating the variant key, where the var iant key is to be used to produce the signature KeyRef.useChipld = 1 KeyRef.chipld = Chipld of the QA Device which stores the variant of KκeyRef./ceyWum. and uses the variant key for
Figure imgf000802_0001
11.1.3 Output parameter description
Table 254 describes each of the output parameters. Table 254. Description of output parameters for GenerateSignature
Figure imgf000802_0002
11.1.4 Function sequence The GenerateSignature function is illustrated by the following pseudocode: key <— KeyRef . getKey ( ) dataToBeSigned - Data | andoml | Random2 SIG - HMAC_SHA1 (key, dataToBeSigned) # Calculation must take constant time Output SIG Return
BASIC FUNCTIONS
12 Definitions
This section defines return codes and constants referred to by functions and pseudocode.
12.1 RESULTFLAG
The ResultFlag is a byte that indicates the return status from a function. Callers can use the value of ResultFlag to determine whether a call to a function succeeded or failed, and if the call failed, the specific error condition.
Table 255 describes the ResultFlag values and the mnemonics used in the pseudocode. Table 255. ResultFlag value description
Figure imgf000803_0001
12.2 CONSTANTS
Table 256 describes the constants referred to by functions and pseudocode. Table 256. Constants
Figure imgf000803_0002
13 Getlnfo Input: None OOuuttppuutt:: ResultFlag, SoftwareReleaseldMajor, SoftwareReleaseldMinor, NumVec tors, NumKeys,Chipld DepthOfRollBackCache (for an upgrade device only) Changes : None Availability: All devices 13.1 FUNCTION DESCRIPTION
Users of QA Devices must call the Getlnfo function on each QA Device before calling any other functions on that device. The Getlnfo function tells the caller what kind of QA Device this is, what functions are available and what properties this QA Device has. The caller can use this information to correctly call functions with appropriately formatted parameters.
The first value returned, SoftwareReleaseldMajor, effectively identifies what kind of QA Device this is, and therefore what functions are available to callers. SoftwareReleaseldMinor teils the caller which version of the specific type of QA Device this is. The mapping between the
SoftwareReleaseldMajor and type of device and their different functions is described in Table 258
Every QA Device also returns NumVectors, NumKeys and Chipld which are required to set input parameter values for commands to the device.
Additional information may be returned depending on the type of QA Device. The VarDataLen and
VarData fields of the output hold this additional information.
13.2 OUTPUT PARAMETERS
Table 257 describes each of the output parameters. Table 257. Description of output parameters for Getlnfo function
Figure imgf000804_0001
Table 258 shows the mapping between the SoftwareReleaseldMajor, the type of QA Device and the available device functions. Table 258. Mapping between SoftwareReleaseldMajor and available device functions
Figure imgf000805_0001
Table 259 shows the VarData components for Value Upgrader and Parameter Upgrader QA Devices. Table 259. VarData for Value and Parameter Upgrader QA Devices
Figure imgf000806_0001
13.3 FUNCTION SEQUENCE The Getlnfo command is illustrated by the following pseudocode: Output SoftwareReleaseldMajor Output SoftwareReleaseldMinor Output NumVectors Output NumKeys Output Chipld VarDataLen — 1 # In case of an upgrade device Output DepthOfRollBackCache Return 14 Random Input: None Output: RL Changes: None Availability: All devices The Random command is used by the caller to obtain a session component (challenge) for use in subsequent signature generation.
If a caller calls the Random function multiple times, the same output will be returned each time. RL (i.e. this QA Device's R) will only advance to the next random number in the sequence after a successful test of a signature or after producing a new signature. The same RL can never be used to produce two signatures from the same QA Device.
The Random command is illustrated by the following pseudocode: Output RL Return 15 Read Input: KeyRef, SigOnly, MSelect, KeyldSelect, WordSelect, RE Output: ResultFlag, SelectedWordsOfSelectedMs, SelectedKeylds, Rb SIG0Ut Changes: RL Availability: All devices
15.1 FUNCTION DESCRIPTION
The Read command is used to read data and keylds from a QA Device. The caller can specify which words from M and which Keylds are read.
The Read command can return both data and signature, or just the signature of the requested data. Since the return of data is based on the caller's input request, it prevents unnecessary information from being sent back to the caller. Callers typically request only the signature in order to confirm that locally cached values match the values on the QA Device .
The data read from an untrusted QA Device (A) using a Read command is validated by a trusted QA Device (B) using the Test command. The RLand SIGoUt produced as output from the Read command are input (along with correctly formatted data) to the Test command on a trusted QA Device for validation of the signature and hence the data. S/Gout can also optionally be passed through the Translate command on a number of QA Devices between Read and Test if the QA Devices A and B do not share keys.
15.2 INPUT PARAMETERS
Table 260 describes each of the input parameters:
Table 260. Description of input parameters for Read
Figure imgf000807_0001
Figure imgf000808_0001
15.3 OUTPUT PARAMETERS
Table 261 describes each of the output parameters.
Figure imgf000808_0002
15.3.1 SIG Out Figure 370 shows the formatting of data for output signature generation. Table 262 gives the parameters included in SIGotΛ
Figure imgf000808_0003
Figure imgf000809_0001
75.3.7.7 RWSense
An RWSense value is present in the signed data to distinguish whether a signature was produced from a Read or produced for a WriteAuth.
The RWSense is set to a read constant (000) for producing a signature from a read function. The
RWSense is set to a write constant (001) for producing a signature for a write function.
The RWSense prevents signatures produced by Read to be subsequently sent into a WriteAuth function. Only signatures produced with RWSense set to write (001), are accepted by a write function.
15.4 FUNCTION SEQUENCE
The Read command is illustrated by the following pseudocode: Accept input parameters- , KeyRef , SigOnly, MSelect , KeyldSelect # Accept input parameter WordSelect based on MSelect
For i - 0 to MaxM If (MSelect [i] = 1) Accept next WordSelect WordSelectTemp [i] <— WordSelect Endlf EndFor Accept RE
Check range of KeyRef.keyNum If invalid ResultFlag <- InvalidKey Output ResultFlag Return Endlf
#Build SelectedWordsOfSelectedMs k <- 0 # k stores the word count for SelectedWordsOfSelectedMs SelectedWordsOfSelectedMs [k] - 0 For ±<- 0 to 3 If (MSelect [i] = 1) For j - 0 to MaxWordlnM If (WordSelectTemp [i] [j] = 1) SelectedWordsOfSelectedMs [k] - (MA [j ] ) k++ Endlf EndFor Endlf EndFor
#Build SelectedKeylds 1 <— 0 # 1 stores the word count for SelectedKeylds
SelectedKeylds [1] - 0 For i <— 0 to MaxKey If (KeyldSelect [i] = 1) SelectedKeylds [1] <- Keyld [i] ι++ Endlf EndFor
#Generate message for passing into the GenerateSignature function data <- (RWSense | MSelect | KeyldSelect | Chipld| WordSelect I SelectedWordsOfSelectedMs I SelectedKeylds) # Refer to
Figure 370.
^Generate Signature function SIGL - GenerateSignature (KeyRef, data, RL,RE) # See Section 11.1
Update RL to RL2
ResultFlag <- Pass
Output ResultFlag
If (SigOnly = 0) Output SelectedWordsOfSelectedMs, SelectedKeylds
Endlf Output RL, SIGL Return 16 Test Input: KeyRef, DataLength, Data, RE,SIGE Output: ResultFlag Changes: RL Availability: All devices except ink device
16.1 FUNCTION DESCRIPTION
The Test command is used to validate data that has been read from an untrusted QA Device according to a digital signature SIGE. The data will typically be memory vector and Keyld data. SIGE (and its related RE) is the most recent signature - this will be the signature produced by Read if Translate was not used, or will be the output from the most recent Translate if Translate was used. The Test function produces a local signature (SIGL= SIGkey(Data|RE|RL) and compares it to the input signature (SIGE). If the two signatures match the function returns 'Pass', and the caller knows that the data read can be trusted.
The key used to produce SIGL depends on whether SIGE was produced by a QA Device sharing a common key or a variant key. The KeyRef object passed into the interface must be set appropriately to reflect this.
The Test function accepts preformatted data (as DataLength number of words), and appends the external f?£and local RL to the preformatted data to generate the signature as shown in Figure 371.
16.2 INPUT PARAMETERS
Table 263 describes each of the input parameters. Table 263. Description of input parameters for Test
Figure imgf000811_0001
The external signature is generated either by a Read function or a Translate function. A correct SIGE = SIGKeyRef(Data | RE | RL).
16.2.1 Input signature verification data format
Figure 371 shows the formatting of data for input signature verification.
The data in Figure 371 (i.e. not RE or R ) is typically output from a Read function (formatted as per
Figure 370). The data may also be generated in the same format by the system from its cache as will be the case when it performs a Read using SigOnly = 1.
16.3 OUTPUT PARAMETERS
Table 264 describes each of the output parameters. Table 264. Description of output parameters for Test
Figure imgf000812_0001
16.4 FUNCTION SEQUENCE
The Test command is illustrated by the following pseudocode: Accept input parameters- KeyRef, DataLength
# Accept input parameter- Data based on DataLength
For i <- 0 to (DataLength - 1) Accept next word of Data EndFor
Accept input parameters - RE, SIGE
Check range of KeyRef.keyNum If invalid ResultFlag <- InvalidKey Output ResultFlag Return Endlf ^Generate signature SIGL - GenerateSignature (KeyRef , Data, RE, RL) # Refer to Figure 371.
#Check signature If (SIGL = SIGE) Update RL to RL2 ResultFlag <- Pass Else ResultFlag <- BadSig Endlf Output ResultFlag Return 17 Translate
Input: InputKeyRef, DataLength, Data, RE, SIG OutputKeyRef, RE2 Output: ResultFlag, RL2, SIG0ut
Changes: RL
Availability: Printer device, and possibly on other devices
17.1 FUNCTION DESCRIPTION
It is possible for a system to call the Read function on QA Device A to obtain data and signature, and then call the Test function on QA Device B to validate the data and signature. In the same way it is possible for a system to call the SignM function on a trusted QA Device B and then call the WriteAuth function on QA Device B to actually store data on B. Both of these actions are only possible when QA Devices A and B share secret key information.
If however, A and B do not share secret keys, we can create a validation chain (and hence extension of trust) by means of translation of signatures. A given QA Device can only translate signatures if it knows the key of the previous stage in the chain as well as the key of the next stage in the chain. The Translate function provides this functionality.
The Translate function translates a signature from one based on one key to one based another key. The Translate function first performs a test of the input signature using the InputKeyRef, and if the test succeeds produces an output signature using the OutputKeyRef. The Translate function can therefore in some ways be considered to be a combination of the Test and Read function, except that the data is input into the QA Device instead of being read from it.
The InputKeyRef object passed into Translate must be set appropriately to reflect whether S/GEwas produced by a QA Device sharing a common key or a variant key. The key used to produce output signature SIG0Ut depends on whether the translating device shares a common key or a variant key with the QA Device receiving the signature. The OutputKeyRef object passed into Translate must be set appropriately to reflect this. Since the Translate function does not interpret or generate the data in any way, only preformatted data can be passed in. The Translate function does however append the external R£and local R to the preformatted data for verifying the input signature, then advances R to RLz, and appends RL2 and RE2 to the preformatted data to produce the output signature. This is done to protect the keys and prevent replay attacks. The Translate functions translates:
• signatures for subsequent use in Test, typically originating from Read
• signatures for subsequent use in WriteAuth, typically originating from SignM
In both cases, preformatted data is passed into the Translate function by the system. For translation of data destined for Test, the data should be preformatted as per Figure 370 (all words except the
Rs). For translation of signatures for use in WriteAuth, the data should be preformatted as per
Figure 373 (all words except the Rs).
17.2 INPUT PARAMETERS
Table 265 describes each of the input parameters. Table 265. Description of input parameters for Translate
Figure imgf000814_0001
For generating variant key output signature: OutputKeyRef.keyNum = Slot number of the key to be used for generating the variant key. SIGout produced using a variant of KoutputKeyRef.key um because the device receiving SIGout shares a variant of K0utPutκeyRef.keyNum with the translating device. OutputKeyRef. useChipld = 1 OutputKeyRef .chipld = Chipld of the device which receives SIG0Ut produced by a variant Of KoutputKeyRef.keyNum- R, E2 External random value required for output signature generation. This will be the R from the destination of SIG0lA. RE2 is obtained by calling the Random function on the device which will receive the SIG0[Λ from the Translate function.
17.2.1 Input signature verification data format
This is the same format as used in the Test function. Refer to Section 16.2.1.
17.3 OUTPUT PARAMETERS
Table 266 describes each of the output parameters. Table 266. Description of output parameters for Translate
Figure imgf000815_0001
17.3.1 SIGout Figure 372 shows the data format for output signature generation from the Translate function. 17.4 FUNCTION SEQUENCE The Translate command is illustrated by the following pseudocode: Accept input parameters-InputKeyRef, DataLength
# Accept input parameter- Data based on DataLength
For i -0 to (DataLength - 1) Accept next Data EndFor Accept input parameters - RE, SIGOutputKeyRef, RE2
Check range of InputKeyRef . keyNum and OutputKeyRef . keyNum If invalid ResultFlag <- Invalidkey Output ResultFlag Return Endlf #Generate Signature
SIGL - GenerateSignature (InputKeyRef , Data, RE, RL) # Refer to Figure 371.
#VaIidafce input signature If (SIGL = SIGE) Update RL to RL2 Else ResultFlag <- BadSig Output ResultFlag Return
Endlf
#Generate output signature
SIGout — GenerateSignature (OutputKeyRef , Data, RE, RL) # Refer to Figure 372 .
Update RL2 to RL3 ResultFlag - Pass Output ResultFlag, RL2, SIG0ut Return WriteM1 + Input: VectNum, WordSelect, MVal Output: ResultFlag Changes: MVectNum Availability: All devices 18.1 FUNCTION DESCRIPTION
The WriteM1+ function is used to update selected words of M1+, subject to the permissions corresponding to those words stored in PVectNum-
Note: Unlike WriteAuth, a signature is not required as an input to this function.
18.2 INPUT PARAMETERS
Table 267 describes each of the input parameters. Table 267. Description of input parameters for WriteM1 +
Figure imgf000817_0001
Note: Since this function has no accompanying signatures, additional input parameter error checking is required.
18.3 OUTPUT PARAMETERS
Table 268 describes each of the output parameters. Table 268. Description of output parameters for WriteM1 +
Figure imgf000817_0002
18.4 FUNCTION SEQUENCE
The WriteM1+ command is illustrated by the following pseudocode: Accept input parameters VectNum, WordSelect
#Accept MVal as per WordSelect MValTemp [lS] - 0 # Temporary buffer to hold MVal after being read For i <- 0 to MaxWordlnM # word 0 to word 15 If (WordSelect [i] = 1) Accept next MVal MValTempfi] <-MVal # Store MVal in temporary buffer Endlf EndFor
Check range of VectNum If invalid ResultFlag <- InvalidVector Output ResultFlag Return Endlf
#Checking non authenticated wri te permission for M1+
PermOK <- CheckMl+Perm (VectNum, WordSelect)
#Writing M with MVal If (PermOK =1) WriteM (VectNum, MValTemp [] ) ResultFlag - Pass Else ResultFlag <- InvalidPermission Endlf Output ResultFlag Return
18.4.1 PermOK CheckM1+Perm ( VectNum, WordSelect)
This function checks WordSelect against permission PvectNum for the selected word. For i <- 0 to MaxWordlnM # word 0 to word 15 If (WordSelect [i] = 1) Λ ( vectNum .i] = 0 ) # Trying to write a Readonly word Return PermOK<- 0 Endlf EndFor Return PermOK<- 1
18.4.2 WriteM(VectNum, MValTempQ) This function copies MValTemp to MVectNum- For i — 0 to MaxWordlnM # Copying word from temp buff to M If (VectNum = 1) # If Ml Pvec Num i] <- 0 # Set permission to Readonly before writing Endlf M ectNum fi] <- MValTemp [i] # copy word buffer to M word Endlf EndFor 19 WriteFields Input- FieldSelect, FieldVal Output: ResultFlag Changes: MvectNum Availability: All devices 19.1 FUNCTION DESCRIPTION
The WriteFields function is used to write new data to selected fields (stored in M0). The write is carried out subject to the non-authenticated write access permissions of the fields as stored in the appropriate words of M1 (see Section 8.1.1.3).
The WriteFields function is used whenever authorization for a write (i.e. a valid signature) is not required. The WrlteFleldsAuth function is used to perform authenticated writes to fields. For example, decrementing the amount of ink in an ink cartridge field is permitted by anyone via the WriteFields, but incrementing it during a refill operation is only permitted using WriteFieldsAuth. Therefore WriteFields does not require a signature as one of its inputs. 19.2 INPUT PARAMETERS Table 269 describes each of the input parameters. Table 269. Description of input parameters for WriteFields
Parameter Description FieldSelect Selection of fields to be written. 0- indicates corresponding field is not written. 1- indicates corresponding field is to be written as per input. If FieldSelect [N bit] is set, then write to Field N of M0. FieldVal Multiple of words corresponding to the words for all selected fields. Since FieldO starts at M0[15], FieldVal words starts with MSW of lower field. Note: Since this function has no accompanying signatures, additional input parameter error checking is required especially if the QA Device communication channel has potential for error. 19.3 OUTPUT PARAMETERS Table 270 describes each of the output parameters. Table 270. Description of output parameters for WriteFields
Figure imgf000820_0001
19.4 FUNCTION SEQUENCE
The WriteFields command is illustrated by the following pseudocode: Accept input parameters FieldSelect
#Accept FieldVal as per FieldSelect into a temporary buffer MValTemp #Find the size of each FieldNum to accept FieldData FieldSize [16] - 0 # Array to hold FieldSize assuming there are 16 fields NumFields<- FindNumberOfFieldsInMO (M^FieldSize) MValTemp [16] - 0 # Temporary buffer to hold FieldVal after being read For i - 0 to NumFields If FieldSelect [i] = 1 If i = 0 # Check if field number is 0 PreviousFieldEndPos <- MaxWordlnM Else PreviousFieldEndPos <-Ml [i-l] .EndPos # position of the last word for the # previous field Endlf For j <- (PreviousFieldEndPos -1) to Ml [FieldNum] . EndPos ( ) MValTem [j ] = Next FieldVal word #Store FieldVal in MValTemp . EndFor Endlf EndFor
#Check non- authenticated write permissions for all fields in FieldSelect PermOK - CheckMONonAuthPerm (FieldSelect , MValTemp , M0 , Ml )
#Writing M0 with MValTemp if permissions allow writing
If ( PermOK =1) WriteM ( 0 , MValTemp) ResultFlag <- Pass Else ResultFlag <- Invalid Perm iss ion Endlf Output ResultFlag Return 19.4.1 NumFields FindNumOfFieldslnM0(M1,FieldSize[|)
This function returns the number of fields in MO and an array FieldSize which stores the size of each field. CurrPos - 0 NumFields <- 0 FieldSize [16] <- 0 # Array storing field sizes
For FieldNum - 0 to MaxWordlnM If (CurrPos = 0) # check if last field has reached Return FieldNum #FieldNum indicates number of fields in M0 Endlf FieldSize [FieldNum] <- CurrPos - Ml [FieldNum] .EndPos If (FieldSize [FieldNum] < 0) Error # Integrity problem with field attributes Return FieldNum # Lower MO fields are still valid but higher MO fields are # ignored Else CurrPos-<- Ml [FieldNum] .EndPos Endlf EndFor
19.4.2 WordBitMapForField GetWordMapForField(FieldNum.Ml)
This function returns the word bitmap corresponding to a field i.e the field consists of which consecutive words. WordBitMapForField*- 0 WordMapTemp <~ 0 PreviousFieldEndPos -Ml [FieldNum -1] .EndPos # position of the last word for the # previous field For j <- (PreviousFieldEndPos +1) to Ml [FieldNum] .EndPos () # Set bit corresponding to the word position WordMapTemp <- SHIFTLEFT (1, j ) WordBitMapForField - WordMapTemp vWordBitMapForField EndFor Return WordBitMapForField
19.4.3 PermOK CheckM0NonAuthPerm(FieldSelect,MVaiTempQ,M0,Ml)
This functions checks non-authenticated write permissions for all fields in FieldSelect. PermOK CheckMONonAuthPerm ( ) FieldSize [16] - 0 NumFields - FindNumOf FieldsInMO (FieldSize) # Loop through all fields in FieldSelect and check their # non-authenticated permission For i r~ 0 to NumFields If FieldSelect [i] = 1 # check selected WordBitMapForField-*- GetWordMapForField ( i , Ml ) #get word bitmap for field PermOK - CheckFieldNonAuthPerm (i , WordBitMapForField, MValTemp , M0,) # Check permission for field i in FieldSelect If (PermOK = 0) #Writing is not allowed, return if permissions for field # doesn't allow writing Return PermOK Endlf Endlf EndFor Return PermOK
19.4.4 PermOK CheckFieldNonAuthPerm(FieldNum,WordBitMapForField, MValTempQ,M0) This function checks non authenticated write permissions for the field. DecrementOnly - 0 AuthRW <- Ml [FieldNum] .AuthRW NonAuthRW <- Ml [FieldNum] .AuthRW If (NonAuthRW = 0 ) # No NonAuth write allowed Return PermOK - 0 Endlf If ( (AuthRW = 0 ) Λ (NonAuthRW = 1) ) # NonAuthRW allowed Return PermOK<-l Elself (AuthRW = 1) Λ (NonAuthRW = 1) # NonAuth DecrementOnly allowed PermOK <- ChecklnputDataForDecrementOnly (M0,MValTemp , WordBitMapForField) Return PermOK Endlf
19.4.5 PermOK ChecklnputDataForDecrementOnly(M0,MValTempQ,WordBitMapForField) This function checks the data to be written to the field is less than the current value. DecEncountered <- 0 LessThanFlag 4-0 EqualToFlag <-0 For i = MaxWordlnM to 0 If (WordBitMapForField [i] = 1) # starting word of the field - starting at MSW # comparing the word of temp buffer with M0 current value LessThanFlag <-M0[i] < MValTemp [i] EgualToFlag<-M0[i] = MValTemp [i] # current value is less or previous value has been decremented If (LessThanFlag =1) v (DecEncountered = 1) DecEncountered <— 1 PermOK<- 1 Return PermOK Elself (EgualToFlag≠l) # Only if the value is greater than current and decrement not encountered in previous words PermOK- 0 Return PermOK Endlf Endlf EndFor
19.4.6 WriteM(VectNum, MValTempQ) Refer to Section 18.4.2 for details. 20 WriteFieldsAuth Input: KeyRef, FieldSelect, FieldVal, RE, SIGE Output: ResultFlag Changes: M0 and RL Availability: All devices
20.1 FUNCTION DESCRIPTION The WriteFieldsAuth command is used to securely update a number of fields (in M0)- The write is carried out subject to the authenticated write access permissions of the fields as stored in the appropriate words of M1 (see Section 8.1.1.3). WriteFieldsAuth will either update all of the requested fields or none of them; the write only succeeds when all of the requested fields can be written to. The WriteFieldsAuth function requires the data to be accompanied by an appropriate signature based on a key that has appropriate write permissions to the field, and the signature must also include the local R (i.e. nonce/challenge) as previously read from this QA Device via the Random function. The appropriate signature can only be produced by knowing KKeyRef. This can be achieved by a call to an appropriate command on a QA Device that holds a key matching KKeyRθf. Appropriate commands include SignM, XferAmount, XferField, StartXfer, and StartRollBack.
20.2 INPUT PARAMETERS Table 271 describes each of the input parameters for WriteAuth.
Figure imgf000825_0001
20.2.1 Input signature verification data format
Figure 373 shows the input signature verification data format for the WriteAuth function. Table 272 gives the parameters included in S/GE for Write Auth
Figure imgf000825_0002
20.3 OUTPUT PARAMETERS
Table 273 describes each of the output parameters. Table 273. Description of output parameters for WriteAuth
Parameter Description IResultFlag Indicates whether the function completed successfully or not. If it did not complete successfully, the reason for the failure is returned here. See Section 12.1.
20.4 FUNCTION SEQUENCE
The WriteAuth command is illustrated by the following pseudocode: Accept input parameters -KeyRef , FieldSelect,
#Acceρt FieldVal as per FieldSelect into a temporary buffer MValTemp
#Find the size of each FieldNum to accept FieldData FieldSize [16] <- 0 # Array to hold FieldSize assuming there are 16 fields NumFields<- FindNumberOfFieldsInMO (Ml, FieldSize)
MValTemp [16] - 0 # Temporary buffer to hold FieldVal after being read For i <- 0 to NumFields If i = 0 # Check if field number is 0 PreviousFieldEndPos <- MaxWordlnM Else PreviousFieldEndPos <-Ml [i-l] .EndPos # position of the last word for the previous field Endlf For j - (PreviousFieldEndPos -1) to Ml [FieldNum] . EndPos ( ) MValTemp [j ] = Next FieldVal word #Store FieldVal in MValTemp . EndFor Endlf EndFor Accept RE, SIGE
Check range of KeyRef.keyNum If invalid range ResultFlag <- InvalidKey Output ResultFlag Return Endlf #Generate message for passing to GenerateSignature function data <- (RWSense | FieldSelect | Chipld| FieldVal
#Generate Signature SIG <- GenerateSignature (KeyRef, data, RE,RL) # Refer to Figure 373.
#Check signature If (SIGL = SIGE) Update RL to RL2 Else ResultFlag <-BadSig Output ResultFlag Return Endlf
#Check authenticated write permission for all fields in FieldSelect using KeyRef PermOK*- CheckMOAuthPerm(FieldSelect,MValTemp,M0 ,Ml,KeyRef) If (PermOK = 1) WriteM(0, MValTemp []) # Copy temp buffer to M0 ResultFlag <- Pass Else ResultFlag <- InvalidPermission Endlf Output ResultFlag Return 20.4.1 PermOK CheckM0AuthPerm(FieldSelect,MValTemp|,M0, Ml, KeyRef) This functions checks non-authenticated write permissions for all fields in FieldSelect using KeyRef. PermOK CheckMONonAuthPerm( ) FieldSize [16] - 0 NumFields - FindNumOfFieldsInMO (FieldSize) # Loop through fields For i -0 to NumFields If FieldSelect [i] = 1 # check selected WordBitMapForField- GetWordMapForField(i,Ml) #get word bitmap for field PermOK - CheckAuthFieldPerm(i,WordBitMapForField,MValTemp,M0, KeyRef) # Check permission for field i in FieldSelect If (PermOK = 0) #Writing is not allowed, return if #permissions for field doesn't allow writing Return PermOK Endlf Endlf EndFor Return PermOK
20.4.2 PermOK CheckAuthFieldPerm( FieldNum, WordMapForField,MValTemp|], M0, KeyRef) This function checks authenticated permissions for an MO field using KeyRef (whether KeyRef has write permissions to the field). AuthRW r- Ml [FieldNum] .AuthRW KeyNumAtt <- Ml [FieldNum] . KeyNum If (AuthRW = 0) # Check whether any key has write permissions Return PermOK<— 0 # No authenticated write permissions Endlf
# Check KeyRef has ReadWri te Permission to the field and it is locked If (KeyLockKeyNum = locked) Λ (KeyNumAtt = KeyRef.keyNum) Return PermOK<- 1 Else # KeyNum is not a ReadWrite Key KeyPerms - Ml [FieldNum] .DOForKeys # Isolate KeyPerms for FieldNum
# Check Decrement Only Permission for Key If (KeyPerms [KeyRef .keyNum] = 1) # Key is allowed to Decrement field PermOK - ChecklnputDataForDecrementOnly (M0,MValTemp, WordMapForField) Else # Key is a Readonly key PermOK<-0 Endlf Endlf Return PermOK
20.4.3 WordBitMapField GetWordMapForField(FieldNum,Ml) Refer to Section 19.4.2 for details.
20.4.4 PermOK ChecklnputDataForDecrementOnly(M0,MValTemp[],WordMapForField) Refer to Section 19.4.5 for details.
20.4.5 WriteM(VectNum, MValTempD) Refer to Section 18.4.2 for details. 21 SetPerm Input: VectNum, PermVal Output: ResultFlag, NewPerm Changes: Pn Availability: All devices 21.1 FUNCTION DESCRIPTION
The SetPerm command is used to update the contents of PvectNum (which stores the permission for
MvectNum)-
The new value for PvectNum is a combination of the old and new permissions in such a way that the more restrictive permission for each part of PvectNu is kept. MO's permissions are set by M1 therefore they can't be changed.
M1 's permissions cannot be changed by SetPerm. M1 is a write-once memory vector and its permissions are set by writing to it.
See Section 8.1.1.3 and Section 8.1.1.5 for more information about permissions. 21.2 INPUT PARAMETERS
Table 274 describes each of the input parameters for SetPerm.
Figure imgf000830_0001
Note: Since this function has no accompanying signatures, additional input parameter error checking is required.
21.3 OUTPUT PARAMETERS
Table 275 describes each of the output parameters for SetPerm.
Figure imgf000830_0002
21.4 FUNCTION SEQUENCE
The SetPerm command is illustrated by the following pseudocode: Accept input parameters- VectNum, PermVal
Check range of VectNum If invalid ResultFlag <- InvalidVector Output ResultFlag Return Endlf
If (VectNum = 0) # No permssions for M0 ResultFlag - Pass Output ResultFlag Return Elself (VectNum = 1) ResultFlag <- Pass Output ResultFlag Output Pi Return Elself (VectNum >1) # Check that only "RW parts are being changed # RW(1) -> RO(0), RO(0) →RO(0), RW(1) →R (l) - valid change # RO(0) ->RW(1) - Invalid change # checking for change from Readonly to ReadWrite temp<— ~Pvect™ Λ PermVal If (temp =1 )# If invalid change is 1 ResultFlag <- InvalidPermission Output ResultFlag Else PvectNum <- PermVal ResultFlag <- Pass Output ResultFlag Output PvectNum Endlf Return Endlf
22 ReplaceKey Input: KeyRef, Keyld, KeyLock, Encrypted Key, RE, SIGE Output: ResultFlag Changes: KKeyRef.keyNumand RL Availability: All devices
22.1 FUNCTION DESCRIPTION
The ReplaceKey command is used to replace the contents of a non-locked keyslot, which means replacing the key, its associated keyld, and the lock status bit for the keyslot. A key can only be replaced if the slot has not been locked i.e. the KeyLock for the slot is 0. The procedure for replacing a key also requires knowledge of the value of the current key in the keyslot i.e. you can only replace a key if you know the current key. Whenever the ReplaceKey function is called, the caller has the ability to make this new key the final key for the slot. This is accomplished by passing in a new value for the KeyLock flag. A new
KeyLock flag of 0 keeps the slot unlocked, and permits further replacements. A new KeyLock flag of
1 means the slot is now locked, with the new key as the final key for the slot i.e. no further key replacement is permitted for that slot.
22.2 INPUT PARAMETERS
Table 276 describes each of the input parameters for Replacekey.
Figure imgf000832_0001
22.2.1 Input signature generation data format
Figure 374 shows the input signature generation data format for the ReplaceKey function.
Table 277 gives the parameters included in SIGE for ReplaceKey.
Figure imgf000833_0001
22.3 OUTPUT PARAMETERS
Table 278 describes each of the output parameters for ReplaceKey.
Figure imgf000833_0002
22.4 FUNCTION SEQUENCE The ReplaceKeycommand is illustrated bythe following pseudocode: Accept input parameters - KeyRef, Keyld, KeyLock, EncryptedKey, RE, SIGE
Check KeyRef.keyNum range If invalid ResultFlag <- InvalidKey Output ResultFlag Return Endlf ^Generate message for passing to GenerateSignature function data - (Chipld| Keyld | KeyLock| RE | EncryptedKey)
^Generate Signature SIGL <- GenerateSignature (KeyRef, data, ull,Null) # Refer to Figure 374.
# Check if the key slot is unlocked
If (KeyLock # unlock) ResultFlag <- KeyAlreadyLocked Output ResultFlag Return
Endlf
#Test SIGs If (SIGL # SIGE) ResultFlag <- BadSig Output ResultFlag Return Endlf
SIGL <r- GenerateSignature (Key, null , RE, RL) Advance RL # Must be atomic - must not be possible to remove power and have Keyld and KeyNum mismatched. Also preferable for KeyLock, although not strictly required. KKeyN <~ SIGL θ EncryptedKey KeyIdKeyNum <- Keyld KeyLockKeyNum - KeyLock ResultFlag <— Pass Output ResultFlag Return SignM Input: KeyRef, FieldSelect, FieldValLength, FieldVal, Chipld, RE Output: ResultFlag, RL, SIG0Ut Changes: RL Availability: Trusted device only 23.1 FUNCTION DESCRIPTION
The SignM function is used to generate the appropriate digital signature required for the authenticated write function WriteFieldsAuth. The SignM function is used whenever the caller wants to write a new value to a field that requires key-based write access.
The caller typically passes the new field value as input to the SignM function, together with the nonce (RE) from the QA Device who will receive the generated signature. The SignM function then produces the appropriate signature SIG0Ut. Note that SIG0Ut may need to be translated via the
Translate function on its way to the final WriteFieldsAuth QA Device.
The SignM function is typically used by the system to update preauthorisation fields ( Section
31.4.3).
The key used to produce output signature S/G0Ufdepends on whether the trusted device shares a common key or a variant key with the QA Device directly receiving the signature. The KeyRef object passed into the interface must be set appropriately to reflect this.
23.2 INPUT PARAMETERS Table 279 describes each of the input parameters for SignM.
Figure imgf000835_0001
rthe Translate function. Chipld Chip identifier of the device whose WriteAuth function will be called subsequently to perform an authenticated write to its FieldNum of MO .
23.3 OUTPUT PARAMETERS Table 280 describes each of the output parameters. Table 280. Description of output parameters for SignM
Figure imgf000836_0001
23.3.1 SIGout Refer to Section 20.2.1. 23.4 FUNCTION SEQUENCE The SignM command is illustrated by the following pseudocode: Accept input parameters - KeyRef , FieldNum, FieldDataLength
# Accept FieldData words For i = 0 to FieldValLength Accept next FieldData EndFor
Accept Chipld, RE
Check KeyRef.keyNum range If invalid ResultFlag <- InvalidKey Output ResultFlag Return Endlf #Geπerate message for passing into the GenerateSignature function data <- (RWSense | FieldSelect | Chipld | FieldVal)
#Generate Signature SIGout <- GenerateSignature (KeyRef, data, RL,RE) # Refer to Section 20.2.1. Advance RLto RL2 ResultFlag <- Pass Output parameters ResultFlag, RL/SIGout Return FUNCTIONS ON A
KEY PROGRAMMING QA DEVICE
24 Concepts
The key programming device is used to replace keys in other devices.
The key programming device stores both the old key which will be replaced in the device being programmed, and the new key which will replace the old key in the device being programmed. The keys reside in normal key slots of the key programming device.
Any key stored in the key programming device can be used as an old key or a new key for the device being programmed, provided it is permitted by the key replacement map stored within the key programming device. Figure 375 is representation of a key replacement map. The 1 s indicates that the new key is permitted to replace the old key. The 0s indicates that key replacement is not permitted for those positions. The positions in Figure 13 which are blank indicate a 0.
According to the key replacement map in Figure 13, K5can replace K-i, K6 can replace K3, h , K5,K7, K3 can replace K2 , K0 can replace K2, and K2 can replace K6. No key can replace itself. Figure 375._ Key replacement map
The key replacement map must be readable from an external system and must be updateable by an authenticated write. Therefore, the key replacement map must be stored in an MO field. This requires one of the keys residing in the key programming device to be have ReadWrite access to the key replacement map. This key is referred to as the key replacement map key and is used to update the key replacement map.
There will one key replacement map field in a key programming device.
No key replacement mappings are allowed to the key replacement map key because it should not be used in another device being programmed. To prevent the key replacement map key from being used in key replacement, in case the mapping has been accidentally changed, the key replacement map key is allocated a fixed key slot of 0 in all key programming devices. If a GetProgram function is invoked on the key programming device with the key replacement map key slot number 0 it immediately returns an error, even before the key replacement map is checked. The keys K0 to K7 in the key programming device are initially set during the instantiation of the key programming device. Thereafter, any key can be replaced on the key programming device by another key programming device If a key in a key slot of the key programming device is being replaced, the key replacement map for the old key must be invalidated automatically. This is done by setting the row and column for the corresponding key slot to 0 For example, if K-i is replaced, then column 1 and row 1 are set to 0, as indicated in Figure 376.
The new mapping information for | is then entered by performing an authenticated write of the key replacement map field using the key replacement map key. 24.1 KEY REPLACEMENT MAP DATA STRUCTURE As mentioned in Section 24, the key replacement map must be readable by external systems and must be updateable using an authenticated write by the key replacement map key. Therefore, the key replacement map is stored in an MO field of the key programming device. The map is 8 χ8 bits in size and therefore can be stored in a two word field. The LSW of key replacement map stores the mappings for K0 - K3.The MSW of key replacement map stores the mappings for 1 - K7. Referring to Figure 375, key replacement map LSW is 0x40092000 and MSW is 0x40224040. Referring to Figure 376, after K-i is replaced in the key programming device, the value of the key replacement map LSW is 0x40090000 and MSW is 0x40224040.
The key replacement map field has an M1 word representing its attributes. The attribute setting for this field is specified in Table 281. Table 281. Key replacement map attribute setting
Figure imgf000838_0001
24.2 BASIC SCHEME
The Key Replacement sequence is shown Figure 377.
Following is a sequential description of the transfer and rollback process:
1. The System gets a Random number from the QA Device whose keys are going to be replaced.
2. The System makes a GetProgramKey Request to the Key Programming QA Device. The Key Programming QA Device must contain both keys for QA Device whose keys are being replaced - Old Keys which are the keys that exist currently (before key replacement), and the New Keys which are the keys which the QA Device will have after a successful processing of the ReaplceKey Request. The GetProgramKey Request is called with the Key number of the Old Key (in the Key Programming QA Device) and the Key Number of the New Key ( in the Key Programming QA Device), and the Random number from (1). The Key Programming QA Device validates the GetProgramKey Request based on the KeyReplacement map, and then produces the necessary GetProgramKey Output. The GetProgramKey Output consists of the encrypted New Key (encryption done using the Old Key), along with a signature using the Old Key.
3. The System then applies GetProgramKey Output to the QA Device whose key is being replaced, by calling the ReplaceKey function on it, passing in the GetProgramKey Output. The ReplaceKey function will decrypt the encrypted New Key using the Old Key, and then replace its Old Key with the decrypted New Key.
25 Functions
2255..11 GGEETTPPRROOGGAAMMKKEEYY Input: OldKeyRef, Chipld, RE, KeyLock, NewKeyRef Output: ResultFlag, RL, Encrypted Key, KeyldOfNewKey, SIGQUt Changes: RL AAvvaaiillaabbiilliittyy:: Key programming device
2255..11..11 FFuunnccttiioonn ddeessccrriippttiioonn The GetProgramKey works in conjunction with the ReplaceKey command, and is used to replace the specified key and its Keyld. This function is available on a key programming device and produces the necessary inputs for the ReplaceKey function. The ReplaceKey command is then run on the device whose key is being replaced.
The key programming device must have both the old key and the new key programmed as its keys, and the key replacement map stored in one of its MO field, before GetProgramKey can be called on the device. Depending on the OldKeyRef object and the NewKeyRef object passed in, the GetProgramKey will produce a signature to replace a commpn key by a common key, a variant key by a common key, a common key by a variant key or a variant key by a variant key. 25.1.2 Input parameters Table 282 describes each of the input parameters for GetProgramKey.
Figure imgf000840_0001
25.1.3 Output parameters Table 283 describes each of the output parameters for GetProgramKey.
Figure imgf000841_0001
Table 284. ResultFlag definitions for GetProgramKey
Figure imgf000841_0002
25.1.3.1 S/Gout Figure 378 shows the output signature generation data format for the GetProgramKey function. 25.1.4 Function sequence The GetProgramKey command is illustrated by the following pseudocode: Accept input parameters - OldKeyRef , Chipld, RE, KeyLock, NewKeyRef
# key replacement map key stored in KO, must not be used for key replacement If (OldKeyRef .keyNum = 0) v (NewKeyRef.keyNum = 0) ResultFlag <- Fail Output ResultFlag Return Endlf CheckRange (OldKeyRef . keyNum) If invalid ResultFlag <- InvalidKey Output ResultFlag Return Endlf
CheckRange (NewKeyRef .keyNum) If invalid ResultFlag <- InvalidKey Output ResultFlag Return Endlf
# Find MO words that represent the key replacement map
WordSelectForKeyMapField <-GetWordSelectForKeyMapField (Ml) If (WordSelectForKeyMapField = 0) ResultFlag <- InvalidKeyReplacementMap Output ResultFlag Return
Endlf
#CheckMapPermits key replacement ReplaceOK <—CheckMapPermits (WordSelectForKeyMapField, OldKeyNum,NewKeyNum)
If (ReplaceOK = 0) ResultFlag - KeyReplacementNotAllowed Output ResultFlag Return Endlf
#A11 checks are OK, now generate Signature with OldKey SIGL <— GenerateSignature (OldKeyRef, null, R,RE) #Get new key KNewKey<— NewKeyRef . getKey( )
#Generate Encrypted Key EncryptedKey - SIGL θ KNewKey
#Set base key or variant key - bit 0 of Keyld If (NewKeyRef .useChipld = 1) KeyId-<- 0x0001 Λ 0x0001 Else Keyld <- 0x0001 Λ 0x0000 Endlf #Set the new key Keyld to the Keyld - bi ts 1 -30 of Keyld KeyldOfNewKey^-SHIFTLEFT (KeyIdOfNewKey, 1) Keyld<- Keyld v KeyldOf ewKey
#Set the KeyLock as per input - bit 31 of Keyld KeyLock<- SHIFTLEFT (KeyLock, 31) #KeyId<- Keyld v KeyLock
#Generate message for passing in to the GenerateSignature function data - Chipld| Keyld| RL| EncryptedKey
^Generate output signature SIGout r- GenerateSignature (OldKeyRef , data, null, null) # Refer to Figure 378 Advance RLto R 2 ResultFlag <- Pass Output ResultFlag, RL, SIGout , Keyld, EncryptedKey Return 25.1.4.1 WordSelectForField GetWordSelectForKeyMapField(Ml) This function gets the words corresponding to the key replacement map in M0. FieldSize [16] — 0 # Array to hold FieldSize assuming there are 16 fields NumFields - FindNumberOfFieldsInMO (Ml , FieldSize)
#Find the key replacement map field For i -0 to NumFields If (TYPE_KEY_MAP = Ml [i] .Type) # Field is key map field MapFieldNum <— i Return Endif EndFor
#Get the words corresponding to the key replacement map WordMapForField<- GetWordMapForField (MapFieldNum,Ml) Return WordSelectForField
25.1.4.2 NumFields FindNumOfFieldslnM0(Ml,FieldSize ) Refer to Figure 19.4.1 for details
26.1.4.3 WordMapForField GetWordMapForField(FieldNum, λ) Refer to Section 19.4.2 for details.
26.1.4.4 ReplaceOK CheckMapPermits(WordSelectForKeyMapField, OldKeyNum, NewKeyNum, MO) This function checks whether key replacement map permits key replacement.
#Isolate KeyReplacementMap based on WordSelectForKeyMapField and MO KeyReplacementMap [64 bit] #Isolate permission bit corresponding for NewKeyNum in the map for OldKeyNm ReplaceOK <- KeyReplacementMap [ (OldKeyNum x 8 + NewKeyNum) bit] Return ReplaceOK
25.2 REPLACEKEY Input: KeyRef, Keyld, KeyLock, EncryptedKey, RE, SIGE Output: ResultFlag Changes: KKeyNum and RL Availability: Key programming device
25.2.1 Function description This function is used for replacing a key in a key programming device and is similar to the generic ReplaceKey function(Refer to Section 24), with an additional step of setting the KeyRef.keyNum column and KeyRef.keyNum row key replacement map to 0.
25.2.2 Input parameters Refer to Section 22. 25.2.3 Output parameters Refer to Section 22. 5.2.4 Function sequence The ReplaceKey command is illustrated by the following pseudocode: Accept input parameters - KeyRef , Keyld, EncryptedKey, RE, SIGE
^Generate message for passing into GenerateSignature function data - (Chipld| Keyld | RE | EncryptedKey) # Refer to Figure 374.
# Validate KeyRef, and then verify signature ResultFlag = ValidateKeyRefAndSignature (KeyRef, data, RE,RL) If (ResultFlag ≠ Pass) Output ResultFlag Return Endlf
# Check if the key slot is unlocked Isolate KeyLock for KeyRef If (KeyLock = lock) ResultFlag <- KeyAlreadyLocked Output ResultFlag Return Endlf SIGL r- GenerateSignature (Key,Null, RE, RL) Advance RL
# Find M0 words that represent the key replacement map WordSelectForKeyMapField <-GetWordSelectForKeyMapField(Ml)
# Set the jits corresponding to the KeyRef. keyNum row and column to 0 # i . e invalidate the key replacement map for KeyRef. keyNum. #Must be done before the key is replaced and must be atomic with key replacement . SetFlag - SetKeyMapForKeyNum (WordSelectForKeyMapField, KeyRef .keyNum, MO) If (SetFlag = 1) # Must be atomic - must not be possible to remove power and have Keyld and KeyNum mismatched KKeymm <- SIGL θ EncryptedKey KeyIdKeyNum <- Keyld KeyLockKeyNura - KeyLock ResultFlag <- Pass Else ResultFlag - Fail Endlf Output ResultFlag Return
26.2.4.1 WordSelectForField GetWordSelectForKeyMapField(Ml) Refer to Figure 25.1.4.1 for details.
25.2.4.2 SetFlag SetKeyMapForKeyNum(WordSelectForKeyMapField,KeyNum, MO) This function invalidates the key replacement map for KeyNum. ^Isolate KeyReplacementMap based on WordSelectForKeyMapField and M0 KeyReplacementMap [64 bit]
# Set KeyNum row (all bits) to 0 in the KeyReplacementMap For i = 0 to 7 KeyReplacementMap [ (KeyNum x 8 + i ) bit] <- 0 EndFor
# Set KeyNum column to 0 in the KeyReplacementMap For i = 0 to 7 KeyReplacementMap [ ( ix8 + KeyNum) bit] «- 0 EndFor SetFlag <- 1 Return SetFlag FUNCTIONS
UPGRADE DEVICE
(INK RE/FILL)
26 Concepts 26.1 PURPOSE
In a printing application, an ink cartridge contains an Ink QA Device storing the ink-remaining values for that ink cartridge. The ink-remaining values decrement as the ink cartridge is used to print.
When an ink cartridge is physically re/filled, the Ink QA Device needs to be logically re/filled as well.
Therefore, the main purpose of an upgrade is to re/fill the ink-remaining values of an Ink QA Device in an authorised manner.
The authorisation for a re/fill is achieved by using a Value Upgrader QA Device which contains all the necessary functions to re/write to the Ink QA Device. In this case, the value upgrader is called an Ink Refill QA Device, which is used to fill/refill ink amount in an Ink QA Device.
When an Ink Refill QA Device increases (additive) the amount of ink-remaining in an Ink QA Device, the amount of ink-remaining in the Ink Refill QA Device is correspondingly decreased. This means that the Ink Refill QA Device can only pass on whatever ink-remaining value it itself has been issued with. Thus an Ink Refill QA Device can itself be replenished or topped up by another Ink
Refill QA Device.
The Ink Refill QA Device can also be referred to as the Upgrading QA Device, and the Ink QA Device can also be referred to as the QA Device being upgraded.
The refill of ink can also be referred to as a transfer of ink, or transfer of amount/valu, or an upgrade.
Typically, the logical transfer of ink is done only after a physical transfer of ink is successful.
26.2 REQUIREMENTS The transfer process has two basic requirements:
• The transfer can only be performed if the transfer request is valid. The validity of the transfer request must be completely checked by the Ink Refill QA Device, before it produces the required output for the transfer. It must not be possible to apply the transfer output to the Ink QA Device, if the Ink Refill QA Device has been already been rolled back for that particular transfer.
• A process of rollback is available if the transfer was not received by the Ink QA Device. A rollback is performed only if the rollback request is valid. The validity of the rollback request must be completely checked by the Ink Refill QA Device, before it adjusts its value to a previous value before the transfer request was issued. It must not be possible to rollback an Ink Refill QA Device for a transfer which has already been applied to the Ink QA Device i.e the Ink Refill QA Device must only be rolled back for transfers that have actually failed.
26.3 BASIC SCHEME The transfer and rollback process is shown in Figure 379.
Following is a sequential description of the transfer and rollback process:
1. The System Reads the memory vectors MO and M1 of the Ink QA Device. The output from the read which includes the MO and M1 words of the Ink QA Device, and a signature, is passed as an input to the Transfer Request. It is essential that MO and M1are read together. This ensures that the field information for MO fields are correct, and have not been modified, or substituted from another device. Entire MO and M1 must be read to verify the correctness of the subsequent Transfer Request by the Ink Refill QA Device.
2. The System makes a Transfer Request to the Ink Refill QA Device with the amount that must be transferred, the field in the Ink Refill QA Device the amount must be transferred from, and the field in Ink QA Device the amount must be transferred to. The Transfer Request also includes the output from Read of the Ink QA Device. The Ink Refill QA Device validates the Transfer Request based on the Read output, checks that it has enough value for a successful transfer, and then produces the necessary Transfer Output. The Transfer Output typically consists of new field data for the field being refilled or upgraded, additional field data required to ensure the correctness of the transfer/rollback, along with a signature.
3. The System then applies the Transfer Output to the Ink QA Device, by calling an authenticated Write function on it, passing in the Transfer Output. The Write is either successful or not. If the Write is not successful, then the System will repeat calling the Write function using the same transfer output, which may be successful or not. If unsuccesful the System will initiate a rollback of the transfer. The rollback must be performed on the Ink Refill QA Device, so that it can adjust its value to a previous value before the current Transfer Request was initiated. It is not necessary to perform a rollback immediately after a failed Transfer. The Ink QA Device can still be used to print, if there is any ink remaining in it. 4. The System starts a rollback by Reading the memory vectors M0 and M1 of the Ink QA Device.
5. The System makes a StartRollBack Request to the Ink Refill QA Device with same input parameters as the Transfer Request, and the output from Read in (4). The Ink Refill QA Device validates the StartRollBack Request based on the Read output, and then produces the necessary Pre-rollback output. The Pre-rollback output consists only of additional field data along with a signature.
6. The System then applies the Pre-rollback Output to the Ink QA Device, by calling an authenticated Write function on it, passing in the Pre-rollback output. The Write is either successful or not. If the Write is not successful, then either (6), or (5) and (6) must be repeated. 7. The System then Reads the memory vectors M0 and M1 of the Ink QA Device.
8. The System makes a RollBack Request to the Ink Refill QA Device with same input parameters as the Transfer Request, and the output from Read (7). The Ink Refill QA Device validates the RollBack Request based on the Read output, and then rolls back its field corresponding to the transfer. 26.3.1 Transfer
As we mentioned, the Ink QA Device stores ink-remaining values in its MO fields, and its corresponding M-i words contains field information for its ink-remaining fields. The field information consists of the size of the field, the type of data stored in field and the access permission to the field. See Section 8.1.1 for details.
The Ink Refill QA Device also stores its ink-remaining values in its MO fields, and its coressponding M-i words contains field information for its ink-remaining fields. 26.3.1.1 Authorisation
The basic authorisation for a transfer comes from a key, which has authenticated ReadWrite permission (stored in field information as KeyNum) to the ink-remaining field (to which ink will be transferrred) in the Ink QA Device. We will refer to this key as the refill key. The refill key must also have authenticated decrement-only permission for the ink-remaining field (from which ink will be transferred) in the Ink Refill QA Device.
After validating the input transfer request, the Ink Refill QA Device will decrement the amount to be transferred from its ink-remaining field, and produce a transfer amount (previous ink-remaining amount in the Ink QA Device + transfer amount), additional field data, and a signature using the refill key. Note that the Ink Refill QA Device can decrement its ink-remaining field only if the refill key has the permission to decrement it.
The signature produced by the Ink Refill QA Device is subsequently applied to the Ink QA Device. The Ink QA Device will accept the transfer amount only if the signature is valid. Note that the signature will only be valid if it was produced using the refill key which has write permission to the ink-remaining field being written. 26.3.1.2 Data Type matching
The Ink Refill QA Device validates the transfer request by matching the Type of the data in ink- remaining information field of Ink QA Device to the Type of data in ink-remaining information field of the Ink Refill QA Device. This ensures that equivalent data Types are transferred i.e Network_OEM1_infrared ink is not transferred to Network_OEM1_cyan ink. 26.3.1.3 Addition validation
Additional validation of the transfer request must also be performed before a transfer output is generated by the Ink Refill QA Device. These are as follows:
• For the Ink Refill QA Device:
1. Whether the field being upgraded is actually present. 2. Whether the field being upgraded can hold the upgraded amount.
• For the Ink QA Device:
1. Whether the field from which the amount is transferred is actually present. 2. Whether the field has sufficient amount required for the transfer.
26.3.1.4 Rollback facilitation
To facilitate a rollback, the Ink Refill QA Device will store a list of transfer requests processed by it.
This list is referred to as the Xfer Entry cache. Each record in the list consists of the transfer parameters corresponding to the transfer request.
26.3.2 Rollback
A rollback request is validated by looking through the Xfer Entry of the Ink Refill QA Device and finding the request that should be rolled back. After the right transfer request is found the Ink Refill
QA Device checks that the output from the transfer request was not applied to the Ink QA Device by comparing the current Read of the Ink QA Device to the values in the Xfer Entry cache, and finally rolls back its ink-remaining field (from which the ink was transferred) to a previous value before the transfer request was issued.
The Ink Refill QA Device must be absolutely sure that the Ink QA Device didn't receive the transfer.
This factor determines the additional fields that must be written along with transfer amount, and also the parameters of the transfer request that must be stored in the Xfer Entry cache to facilitate a rollback, to prove that the Printer QA Device didn't actually receive the transfer.
26.3.2.1 Sequence fields
The rollback process must ensure that the transfer output (which was previously produced) for which the rollback is being performed, cannot be applied after the rollback has been performed. How do we achieve this? There are two separate decrement-only sequence fields (SEQ l and
SEQ_2) in the Ink QA Device which can only be decremented by the Ink Refill QA Device using the refill key. The nature of data to be written to the sequence fields is such that either the transfer output or the pre-rollback output can be applied to the Ink QA Device, but not both i.e they must be mutually exclusive. Refer to Table 285 for details. Table 285. Sequence field data for Transfer and Pre-rollback
Figure imgf000850_0001
Figure imgf000851_0001
The two sequence fields are initialised to OxFFFFFFFF using sequence key. The sequence key is different to the refill key, and has authenticated ReadWrite permission to both the sequence fields. The transfer output consists of the new data for the field being upgraded, field data of the two sequence fields, and a signature using the refill key. The field data for SEQ_1 is decremented by 2 from the original value that was passed in with the transfer request. The field data for SEQ_2 is decremented by 1 from the original value that was passed in with the transfer request. The pre-rollback output consists only of the field data of the two sequence fields, and a signature using the refill key. The field data for SEQ_1 is decremented by 1 from the original value that was passed in with the transfer request. The field data for SEQ_2 is decremented by 2 from the original value that was passed in with the transfer request.
Since the two sequence fields are decrement-only fields, the writing of the transfer output to QA Device being upgraded will prevent the writing of the pre-rollback output to QA Device being upgraded. If the writing of the transfer output fails, then pre-rollback can be written. However, the transfer output cannot be written after the pre-rollback has been written.
Before a rollback is performed, the Ink Refill QA Device must confirm that the sequence fields was successfully written to the pre-rollback values in the Ink QA Device. Because the sequence fields are Decrement-Only fields, the Ink QA Device will allow pre-rollback output to be written only if the upgrade output has not been written. It also means that the transfer output cannot be written after the pre-rollback values have been written.
26.3.2.1.1 Field information of the sequence data field
For a device to be upgradeable the device must have two sequence fields SEQ_1 and SEQ_2 which are written with sequence data during the transfer sequence. Thus all upgrading QA devices, ink QA Devices and printer QA Devices must have two sequence fields. The upgrading QA Devices must also have these fields because they can be upgraded as well. The sequence field information is defined in Table 286. Table 286. Sequence field information
Figure imgf000852_0001
26.3.3 Upgrade states
There are three states in an transfer sequence, the first state is initiated for every transfer, while the next two states are initiated only when the transfer fails. The states are - Xfer, StartRollback, and Rollback.
26.3.3.1 Upgrade Flow
Figure 380 shows a typical upgrade flow.
26.3.3.2 Xfer
This state indicates the start of the transfer process, and is the only state required if the transfer is successful. During this state, the Ink Refill QA Device adds a new record to its Xfer Entry cache, decrements its amount, produces new amount, new sequence data (as described in Section 26.3.2.1 ) and a signature based on the refill key.
The Ink QA Device will subsequently write the new amount and new sequence data, after verifying the signature. If the new amount can be successfully written to the Ink QA Device, then this will finish a successful transfer.
If the writing of the new amount is unsuccessful (result returned is BAD SIG ), the System will retransmit the transfer output to the Ink QA Device, by calling the authenticated Write function on it again, using the same transfer output. If retrying to write the same transfer output fails repeatedly, the System will start the rollback process on Ink Refill QA Device, by calling the Read function on the Ink QA Device, and subsequently calling the StartRollBack function on the Ink Refill QA Device. After a successful rollback is performed, the System will invoke the transfer sequence again. 26.3.3.3 StartRollBack
This state indicates the start of the rollback process. During this state, the Ink Refill QA Device produces the next sequence data and a signature based on the refill key. This is also called a pre- rollback, as described in Section 26.3.2. The pre-rollback output can only be written to the Ink QA Device, if the previous transfer output has not been written. The writing of the pre-rollback sequence data also ensures, that if the previous transfer output was captured and not applied, then it cannot be applied to the Ink QA Device in the future.
If the writing of the pre-rollback output is unsuccessful (result returned is BAD SIG ), the System will re-transmit the pre-rollback output to the Ink QA Device, by calling the authenticated Write function on it again, using the same pre-rollback output.
If retrying to write the same pre-rollback output fails repeatedly, the System will call the StartRollback on the Ink Refill QA Device again, and subsequently calling the authenticated Write function on the Ink QA Device using this output. 26.3.3.4 Rollback This state indicates a successful deletion (completion) of a transfer sequence. During this state, the Ink Refill QA Device verifies the sequence data produced from StartRollBack has been correctly written to Ink Refill QA Device, then rolls its ink-remaining field to a previous value before the transfer request was issued. 26.3.4 Xfer Entry cache The Xfer Entry data structure must allow for the following:
• Stores the transfer state and sequence data for a given transfer sequence.
• Store all data corresponding to a given transfer, to facilitate a rollback to the previous value before the transfer output was generated.
The Xfer Entry cache depth will depend on the QA Chip Logical Interface implementation. For some implementations a single Xfer Entry value will be saved. If the Ink Refill QA Device has no powersafe storage of Xfer Entry cache, a power down will cause the erasure of the Xfer Entry cache and the Ink Refill QA Device will not be able to rollback to a pre-power-down value. A dataset in the Xfer Entry cache will consist of the following:
• Information about the QA Device being upgraded: a. Chipld of the device. b. FieldNum of the M0 field (i.e what was being upgraded).
• Information about the upgrading QA Device: a. FieldNum of the MO field used to transfer the amount from.
• XferVal - the transfer amount.
• Xfer State- indicating at which state the transfer sequence is. This will consist of: a. State definition which could be one of the following: - Xfer, StartRollBack and complete/deleted. b. The value of sequence data fields SEQ_1 and SEQ_2. 26.3.4.1 Adding new dataset
A new dataset is added to Xfer Entry cache by the Xfer function.
There are three methods which can be used to add new dataset to the Xfer Entry cache. The methods have been listed below in the order of their priority:
1. Replacing existing dataset in Xfer Entry cache with new dataset based on Chipld and FieldNum of the Ink QA Device in the new dataset. A matching Chipld and FieldNum could be found because a previous transfer output corresponding to the dataset stored in the Xfer Entry cache has been correctly received and processed by the Ink Refill QA Device, and a new transfer request for the same Ink QA Device, same field, has come through to the Ink Refill QA Device.
2. Replace existing dataset cache with new dataset based on the Xfer State. If the Xfer State for a dataset indicates deleted (complete), then such a dataset will not be used for any further functions, and can be overwritten by a new dataset. 3. Add new dataset to the end of the cache. This will automatically delete the oldest dataset from the cache regardless of the Xfer State. 26.4 DIFFERENT TYPES OF TRANSFER There can be three types of transfer:
• Peer to Peer Transfer - This transfer could be one of the 2 types described below: a. From an Ink Refill QA Device to a Ink QA Device. This is performed when the Ink QA Device is refilled by the Ink Refill QA Device, b. From one Ink Refill QA Device to another Ink Refill QA Device, where both QA Devices belong to the same OEM. This is typically performed when OEM divides ink from one Ink Refill QA Device to another Ink Refill QA Device, where both devices belong to the same OEM
• Heirachical Transfer- This is a transfer from one Ink Refill QA Device to another Ink Refill QA Device, where the QA Devices belong to different organisation, say ComCo and OEM. This is typically performed when ComCo divides ink from its refill device to several refill devices belonging to several OEMs. Figure 381 is a representation of various authorised ink refill paths in the printing system. 26.4.1 Hierarchical transfer Referring to Figure 381 , this transfer is typically performed when ink is transferred from ComCo's Ink Refill QA Device to OEM's Ink Refill QA Device, or from QACo's Ink Refill QA Device to ComCo's Ink Refill QA Device. 26.4.1.1 Keys and access permission We will explain this using a transfer from ComCo to OEM. There is an ink-remaining field associated with the ComCo's Ink Refill QA Device. This ink- remaining field has two keys associated with: • The first key transfers ink to the device from another refill device (which is higher in the heirachy), fills/refills (upgrades) the device itself. This key has authenticated ReadWrite permission to the field. • The second key transfers ink from it to other devices (which are lower in the heirachy), fills/refills (upgrades) other devices from it. This key has authenticated decrement-only permission to the field. There is an ink-remaining field associated with the OEM's Ink refill device. This ink-remaining field has a single key associated with: • This key transfers ink to the device from another refill device (which is higher or at the same level in the hierarchy), fills/refills (upgrades) the device itself, and additionally transfers ink from it to other devices (which are lower in the heirachy), fills/refills (upgrades) other devices from it. Therefore, this key has both authenticated ReadWrite and decrement-only permission to the field. For a successful transfer ink from ComCo's refill device to an OEM's refill device, the ComCo's refill device and the OEM's refill device must share a common key or a variant key. This key is fill/refill key with respect to the OEM's refill device and it is the transfer key with respect to the ComCo's refill device. For a ComCo to successfully fill/refill its refill device from another refill device (which is higher in the heirachy possibly belonging to the QACo), the ComCo's refill device and the QACo's refill device must share a common key or a variant key. This key is fill/refill key with respect to the ComCo's refill device and it is the transfer key with respect to the QACo's refill device. 26.4.1.1.1 Ink - remaining field information Table 287 shows the field information for an Mo field storing logical ink-remaining amounts in the refill device and which has the ability to transfer down the heirachy.
Figure imgf000855_0001
Figure imgf000856_0001
a. This is a sample type only and is not included in the Type Map in Appendix A. b. Non authenticated Read Write permission. c. Authenticated Read Write permission. 26.4.2 Peer to Peer transfer Referring to Figure 381 , this transfer is typically performed when ink is transferred from OEM's Ink Refill Device to another Ink Refill Device belonging to the same OEM, or OEM's Ink Refill Device to Ink Device belonging to the same OEM. 26.4.2.1 Keys and access permission There is an ink-remaining field associated with the refill device which transfers ink amounts to other refill devices (peer devices), or to other ink devices. This ink-remaining field has a single key associated with: • This key transfers ink to the device from another refill device (which is higher or at the same level in the heirachy), fills/refills (upgrades) the device itself, and additionally transfers ink from it to other devices (which are lower in the heirachy), fills/refills (upgrades) other devices from it. This key is referred to as the fill/refill key and is used for both fill/refill and transfer. Hence, this key has both ReadWrite and Decrement-Only permission to the ink-remaining field in the refill device. 26.4.2.1.1 Ink-remaining field information Table 288 shows the field information for an Mo field storing logical ink-remaining amounts in the refill device with the ability to transfer between peers.
Figure imgf000857_0001
a. This is a sample type only and is not included in the Type Map in Appendix A. b. Non authenticated Read Write permission. c. Authenticated Read Write permission.
27 Functions
27.1 XFERAMOUNT Input: KeyRef, mOfExternal, mOfExternal, Chipld, FieldNumL, FieldNumE, XferValLength, XferVal, InputParameterCheck (optional), RE, SIG& RE2 Output: ResultFlag, FieldSelect, FieldVal, RL2, SIG0Ut Changes: MO and RL Availability Ink refill QA Device
27 A .1 Function description
The XferAmount function produces data and signature for updating a given Mo field. This data and signature when applied to the appropriate device through the WriteFieldsAuth function, will update the MO field of the device. The system calls the XferAmount function on the upgrade device with a certain XferVal, this XferVal is validated by the XferAmount function for various rules as described in Section 27.1.4, the function then produces the data and signature for the passing into the WriteFieldsAuth function for the device being upgraded. The transfer amount output consists of the new data for the field being upgraded, field data of the two sequence fields, and a signature using the refill key. When a transfer output is produced, the sequence field data in SEQ_1 is decremented by 2 from the previous value/as passed in with the input), and the sequence field data in SEQ_2 is decremented by 1 from the previous value (as passed in with the input). Additional InputParameterCheck value must be provided for the parameters not included in the SIGE, if the transmission between the System and Ink Refill QA Device is error prone, and these errors are not corrected by the transimission protocol itself. InputParameterCheck is SHA- 1[FieldNumL | FieldNumE | XferValLength \ XferVal], and is required to ensure the integrity of these parameters, when these inputs are received by the Ink Refill QA Device. This will prevent an incorrect transfer amount being deducted.
The XferAmount function must first calculate the SHA-1 [FieldNumL \ FieldNumE | XferValLength \ XferVal], compare the calculated value to the value received (InputParameterCheck) and only if the values match act upon the inputs. 27.1.2 Input parameters Table 289 describes each of the input parameters for XferAmount function.
Figure imgf000858_0001
Figure imgf000859_0001
27.1.2.1 Input signature verification data format
The input signature passed in to the XferAmount function is the output signature from the Read function of the Ink QA Device.
Figure 382 shows the input signature verification data format for the XferAmount function.
Table 290 gives the parameters included in S/GE for XferAmount.
Figure imgf000859_0002
Figure imgf000860_0001
The XferAmount function is not passed all the parameters required to generate SIGE. For producing SIGL which is used to test SIGE, the function uses the expected values of some the parameters. 27.1.3 Output parameters Table 291 describes each of the output parameters for XferAmount.
Figure imgf000860_0002
Table 292. Result Flag definitions for XferAmount
Figure imgf000861_0001
27.1.3.1 S/Gout Refer to Section 20.2.1 for details. 27.1.4 Function sequence The XferAmount command is illustrated by the following pseudocode: Accept input parameters-KeyRef, MOOfExternal, MlOfExternal , Chipld, FieldNumli, FieldNumE, XferValLength
# Accept XferVal words For i <- 0 to XferValLength Accept next XferVal EndFor
Accept RE, SIGB, RE2 #Generate message for passing into ValidateKeyRefAndSignature function data - (RWSense | MSelec | KeyldSelect | Chipld| WordSelect | M0 | Ml) # Refer to Figure 382.
# Validate KeyRef, and then verify signature ResultFlag = ValidateKeyRefAndSignature (KeyRef, data, RE,RL) If (ResultFlag ≠ Pass) Output ResultFlag Return Endlf
#Validate FieldNumE
# FieldNumE is present in the device being upgraded PresentFlagFieldNumE <- GetFieldPresent (MlOfExternal, FieldNumE)
# Check FieldNumE present flag If (PresentFlagFieldNumE ≠ 1) ResultFlag - FieldNumElnvalid Output ResultFlag Return Endlf # Check Seq Fields Exist and get their Field Num # Get Seqdata field SEQJL num for the device being upgraded XferSEQ_lFieldNum- GetFieldNum (MlOfExternal, SEQ 1)
# Check if the Seqdata field SEQJL is valid If (XferSEQ_lFieldNum invalid) ResultFlag - SeqFieldlnvalid Output ResultFlag Return Endlf # Get Seqdata field SEQ 2 num for the device being upgraded XferSEQ_2FieldNum<- GetFieldNum (MlOfExternal , SEQ_2 )
# Check if the Seqdata field SEQ 2 is valid If (XferSΞQ_2FieldNum invalid) ResultFlag <- SeqFieldlnvalid Output ResultFlag Return Endlf
#Check write permission for FieldNumE PermOKFieldNumE <- CheckFieldNumEPerm (MlOfExternal, FieldNumE) If (PermOKFieldNumE ≠ 1) ResultFlag - FieldNumEWritePermInvalid Output ResultFlag Return Endlf
#Check that both SeqData fields have Decrement -Only permission with the same key #that has write permission on FieldNumE PermOKXferSeqData <- CheckSeqDataFieldPerms (MlOfExternal, XferSEQ_lFieldNum, XferSEQ 2FieldNum, FieldNumE) If (Per OKXf erSegData ≠ 1) ResultFlag <- SeqWritePerm Invalid Output ResultFlag Return
Endlf
# Get SeqData SEQJL data from device being upgraded GetFieldDataWords (XferSEQ_lFieldNum, XferSEQ_lDataFromDevice, MOOfExternal, MlOfExternal)
# Get SeqData SEQJ2 data from device being upgraded GetFieldDataWords (XferSEQ_2FieldNum, XferSEQ_2DataFromDevice, MOOfExternal,MlOfExternal)
# FieldNumL is a present in the refill device PresentFlagFieldNumL <— GetFieldPresent (Ml, FieldNumL) If (PresentFlagFieldNumL ≠ 1) ResultFlag -- FieldNumLlnvalid Output ResultFlag Return Endlf
#Check permission for FieldNumL
PermOKFieldNumL <- CheckFieldNumLPerm(Ml, FieldNumL, KeyRef)
If (PermOKFieldNumL ≠ 1) ResultFlag <- FieldNumLWritePermlnvalid Output ResultFlag Return Endlf
#Find the type attribute for FieldNumE
TypeFieldNumE <- FindFieldNumType(M10fExternal, FieldNumE) #Find the type attribute for FieldNumL TypeFieldNumL <- FindFieldNumType (Ml, FieldNumL)
# Check type attribute for both fields match If(TypeFieldNumΞ ≠TypeFieldNumL) ResultFlag <- TypeMismatch Output ResultFlag Return Endlf
Do this if the Refill Device is tranferring Count-remaining for Printer upgrades # If the Type is count remaining, check that upgrade values associated with # the count remaining are valid. Refer to Section 28. for further details on # count remaining and upgrade value . If (TypeFieldNumL = TYPΞ_COUNT_RΞMAINING)Λ (TypeFieldNumE =TYPE_COUNT_REMAINING) #Upgrade value field is lower adjoining field UpgradeValueFieldNumE = FieldNumE -1 If (UpgradeValueFieldNumE < 0) # upgrade field doesn't exist for QA Device being upgraded ResultFlag <- UpgradeFieldElnvalid Output ResultFlag Return Endlf UpgradeValueFieldNumL = FieldNumL - 1 If (UpgradeValueFieldNumL < 0) # upgrade field doesn't exist for local device ResultFlag <- UpgradeFieldLlnvalid Output ResultFlag Return Endlf UpgradeValueCheckOK - UpgradeValCheck (UpgradeValueFieldNumL, MO , Ml,
UpgradeValueFieldNumL, OOfExternal, MlOfExternal, KeyRef) If (UpgradeValueCheckOK = 0) ResultFlag - UpgradeFieldMismatch Output ResultFlag Return Endlf Endlf
# Do this if Field Type is Count Remaining end
#Check whether the device being upgraded can hold the transfer amount
# (XferVal + AmountLeft
OverFlow - CanHold (FieldNumE , MOOf External , XferVal ) If OverFlow error ResultFlag - FieldNum ESizelnsufficient Output ResultFlag Return Endlf
#Check the refill device has the desired amount (XferVal < = Amountleft,)
UnderFlow <- HasAmount (FieldNumL, M0 ,XferVal) If UnderFlow error ResultFlag <- FieldNumLAmountlnsufficient Output ResultFlag Return Endlf
# All checks complete
# Generate Seqdata for SEQJL and SEQJ2 fields XferSEQ_lDataToDevice = XferSEQ_lDataFromDevice - 2 XferSEQ_2DataToDevice = XferSEQ_2DataFromDevice - 1
# Add DataSet to Xfer Entry Cache AddDataSetToXferEntryCache (Chipld, FieldNumE, FieldNumL,
XferLength, XferVal, XferSEQ_lDataFromDevice, XferSEQ_2DataFromDevice)
# Get current FieldDataE field data words to write to Xfer Entry cache
GetFieldDataWords (FieldNumE, FieldDataE,MOOfExternal,MlOfExternal)
#Deduct XferVal from FieldNumL and Write new value DeductAndWriteValToFieldNumL (XferVal, FieldNumL, MO)
#Generate new field data words for FieldNumE . The current FieldDataE is added to # XferVal to generate new FieldDataE
GenerateNewFieldData (FieldNumE, XferVal , FieldDataE)
# Generate FieldSelect and FieldVal for SeqData field SEQJL, SEQJ2 and # FieldDataE. . .
CurrentFieldSelect- 0
FieldVal - 0
GenerateFieldSelectAndFieldVal (FieldNumE, FieldDataE,
XferSEQ_lFieldNum, XferSEQ_lDataToDevice, XferSEQ_2FieldNum, XferSEQ_2DataToDevice,
FieldSelect, FieldVal)
#Generate message for passing into GenerateSignature function data - (RWSense | FieldSelect | Chipld| FieldVal) # Refer to Figure 373.
#Create output signature for FieldNumE SIGout<- GenerateSignature (KeyRef, data, RL2, REZ) Update RL2 to RL3 ResultFlag <— Pass Output ResultFlag, FieldData, RL2 ,SIGout Return Endlf
27.1.4.1 ResultFlag ValidateKeyRefAndSignature(KeyRef,data,RE,RL)
This function checks KeyRef is valid, and if KeyRef is valid, then input signature is verified using
KeyRef. CheckRange (KeyRef.keyNum) If invalid ResultFlag •<- InValidKey Output ResultFlag Return Endlf
#Generate message for passing into GenerateSignature function data <- (RWSense | MSelect | KeyldSelect | Chipld | WordSelect | M0 | Ml) # Refer to Figure 382 . #Generate Signature SIGL <r- GenerateSignature (KeyRef , data, RE, RL)
# Check input signature SIGE If ( SIGL = SIGE) Update RL to RL2 Else ResultFlag <— Bad Signature Output ResultFlag Return Endlf
27.1.4.2 GenerateFieldSelectAndFieldVal (FieldNumE, FieldDataE, XferSEQjl FieldNum, XferSEQ_1 DataToDevice, XferSEQ FieldNum, XferSEQJ2DataToDevice, FieldSelect, FieldVal)
This functions generates the FieldSelect and FieldVal for output from FieldNumE and its final data, and data to be written to Seq fields SEQ_1 and SEQ_2.
27.1.4.3 PresentFlag GetFieldPresent(M1, FieldNum) This function checks whether FieldNum is a valid. FieldSize [16] — 0 # Array to hold FieldSize assuming there are 16 fields
NumFields<- FindNumberOfFieldsInMO (Ml,FieldSize) #Refer to Section 19.4.1 If (FieldNum< NumFields) PresentFlag*- 1 Else PresentFlag<- 0 Endlf Return PresentFlag
27.1.4.4 NumFields FindNumOfFieldslnM0(Ml,FleldSize[]) Refer to Figure 19.4.1 for details.
27.1.4.5 FieldNum GetFieldNum(M1, Type) This function returns the field number based on the Type. FieldSize [16] <— 0 # Array to hold FieldSize assuming there are 16 fields NumFields<- FindNumberOfFieldsInMO (Ml,FieldSize) #Refer to Section 19.4.1 For i = 0 to NumFields If (Ml [i] .Type = Type) Return i # This is field Num for matching field EndFor i = 255 # If XferSession field was not found then return an invalid value Return i
27.1.4.6 PermOK CheckFieldNumEPerm(M1, FieldNumE)
This function checks authenticated write permission for FieldNum which holds the upgraded value. AuthRW <- Ml [FieldNum] .AuthRW NonAuthRW <- Ml [FieldNum] . NonAuthRW If (AuthRW = 1) Λ (NonAuthRW = 0 ) PermOK <- 1 Else PermOK <- 0 Endlf Return PermOK 27.1.4.7 PermOK CheckSeqDataFieldPerms(M1, XferSEQ_1 FieldNum, XferSEQ_2FieldNum, FieldNumE) This function checks that both SeqData fields have Decrement-Only permission with the same key that has write permission on FieldNumE. KeyNumForFieldNumE <- Ml [FieldNumE] .KeyNum # Isolate KeyNum for the field that will # be upgraded # Isolate KeyNum for both SeqData fields and check that they can be written using the same key KeyNumForSEQ_l - Ml [XferSEQ_lFieldNum] . KeyNum KeyNumForSEQ_2 <- Ml [XferSEQ_2FieldNum] .KeyNum If (KeyNumForSEQ_l ≠ KeyNumForSEQ_2) PermOK - 0 Return PermOK Endlf # Check that the write key for FieldNumE and SeqData field is not the same If (KeyNumForSEQ_l = KeyNumForFieldNumE) PermOK <- 0 Return PermOK Endlf #Isolate Decrement-Only permissions with the write key of FieldNumE KeyPermsSΞQ_l <- Ml [Xf erSEQ_lFieldNum] . KeyPerms [KeyNumForFieldNumE] KeyPermsSEQ_2 <- Ml [XferSEQ_2FieldNum] . KeyPerms [KeyNumForFieldNumE] # Check that both sequence fields have Decrement -Only permission for this key If (KeyPermsSEQ_l = 0 ) v (KeyPerms SΞQ_2 = 0 ) PermOK - 0 Return PermOK Endlf PermOK ■<- 1 Return PermOK
27.1.4.8 AddDataSetToXferEntryCache (Chipld, FieldNumE, FieldNumL, XferVal, SEQ Data, SEQJZData) This function adds a new dataset to the Xfer Entry cache. Dataset is a single record in the Xfer Entrycache. Refer to Section 27 for details.
# Search for matching Chipld FieldNumE is Cache DataSet <-SearchDataSetInCache (Chipld, FieldNumE) # If found If (DataSet is valid) DeleteDataSetlnCache (DataSet) # This creates a vacant dataset AddRecordToCache (Chipld, FieldNumE, FieldDataL,XferVal, SEQ_lData, SEQ_2Data) Endlf # Searches the cache for XferState complete/deleted Found<- SearchRecordsInCache (complete/deleted) If (Found =1) AddRecordToCache (Chipld, FieldNumE, FieldDataL,XferVal, SEQ_lData, SEQ_2Data) Else # This will overwrite the oldest DataSet in cache AddRecordToCache (Chipld, FieldNumE, FieldData , XferVal, SEQ_lData, SEQ_2Data) Return Endif Set XferState in record to Xfer Return 27.1.4.9 FieldType FindFieldNumType(M1, FieldNum) This function gets the Type attribute for a given field. FieldType - Ml [FieldNum] .Type Return FieldType 27.1.4.10 PermOK CheckFieldNumLPerm(M1,FieldNumL,KeyRef) This function checks authenticated write permissions using KeyReffor FieldNumL in the refill device. AuthRW <-M1 [FieldNumL] .AuthRW KeyNumAtt <- m [FieldNumL] .KeyNum DOForKeys <- M1 [FieldNumL] .DOForKeys [KeyNum] # Authenticated write allowed # ReadWrite key for field is the same as Input KeyRef.keyNum # Key has both ReadWrite and DecrementOnly Permission If (AuthRW = 1) Λ (KeyRef . keyNum = KeyNumAtt) Λ (DOForKeys = 1 PermOK<- 1 Else PermOK<- 0 Endlf Return. PermOK 27.1.4.11 CheckOK UpgradeValCheck(FieldNum1, MOOfFieldNuml , M10fFieldNum1, Field Num2, M0OfFieldNum2, M10fFieldNum2,KeyRef) This function checks the upgrade value corresponding to the count remaining. The upgrade value corresponding to the count remaining field is stored in the lower adjoining field. To upgrade the count remaining field, the upgrade value in refill device and the device being upgraded must match. #Check authenticated wri te permissions is allowed to the field #Check that only one key has ReadWrite access, #and all other keys are Readonly access PermCheckOKFieldNuml -CheckUpgradeKeyForField (FieldNuml,MlOfFieldNuml, KeyRef) If (PermCheckOKFieldNuml ≠ 1) CheckOK - 0 Return CheckOK Endlf
PermCheckOKFieldNum2 <-CheckUpgradeKeyForField (FieldNum2 , MlOfFieldNum2 , KeyRef) If (PermCheckOKFieldNum2 ≠ 1) CheckOK <- 0 Return CheckOK Endlf #Get the upgrade value associated with field GetFieldDataWords (FieldNuml, UpgradeValueFieldNuml,MOOfFieldNuml, Ml OfFieldNuml)
#Get the upgrade value associated with field GetFieldDataWords (FieldNum2 ,UpgradeValueFieldNum2 , OOfFieldNum2 , Ml OfFieldNum2) If (UpgradeValueFieldNuml ≠ Upgrade ValueFieldNum2 ) CheckOK <- 0 Return CheckOK Endlf # Get the type attribute for the field UpgradeTypeFieldNumK- GetUpgradeType (FieldNuml , MlOf FieldNuml) UpgradeTypeFieldNum2<- GetUpgradeType (FieldNum2 , MlOf FieldNum2 ) If (UpgradeTypeFieldNuml ≠ UpgradeTypeFieldNum2 ) CheckOK <- 0 Return CheckOK Endlf CheckOK <- l Return CheckOK 27.1.4.12 CheckOK CheckUpgradeKeyForField(FieldNum,M1,KeyRef) This function checks that authenticated write permissions is allowed to the field. It also checks that only one key has ReadWrite access and all other keys have Readonly access. KeyRef which updates count remaining must not have write access to the upgarde value field. KeyNum <-Ml [FieldNum] . KeyNum AuthRW r- Ml [FieldNum] .AuthRW NonAuthRW - Ml [FieldNum] .NonAuthRW DOForKeys*- Ml [FieldNum] . DOForKeys #Check that KeyRef doesn ' t have write permissions to the field I f ( KeyRe f . keyNum = KeyNum ) CheckOK <H 0 Return CheckOK Endlf #AuthRW access allowed or NonAuthRW not allowed If (AuthRW = 0 ) V (NonAuthRW =1) CheckOK <^ 0 Return CheckOK Endlf For i <- 0 to 7 # Keys other than KeyNum are allowed Readonly access, # DecrementOnly access not allowed for other keys (not KeyNum) If (i ≠ KeyNum) Λ (DOForKeys [i] = 1) CheckOK <- 0 Return CheckOK Endlf #ReadWrite access allowed for KeyNum, #ReadWrite and DecrementOnly access not allowed for KeyNum. If (i = KeyNum) Λ (DOForKeys [i] = 1) CheckOK <-0 Return CheckOK Endlf EndFor CheckOK - 1 Return CheckOK
27.1.4.13 UpgradeType GetUpgradeType(FieldNum, M1) This function gets the type attribute for the upgrade field. UpgradeType GetUpgradeType (FieldNum) UpgradeType<-Ml [FieldNum] . Type Return UpgradeType
27.1.4.14 GetFieldDataWords(FieldNum,FieldDataO, M0.M1) This function gets the words corresponding to a given field. CurrPos <— MaxWordlnM If FieldNum = 0 CurrPos <- MaxWordlnM Else CurrPos — (Ml [FieldNum -1] .EndPos) -1 # Next lower word after last word of the # previous field Endlf EndPos <- (Ml [FieldNum] .EndPos) For i - EndPos to CurrPos j <- 0 FieldData [j] <-M0[i] #Copy M0 word to FieldData array EndFor
27.2 STARTROLLBACK Input: KeyRef, Mo f External, mOf External, Chipld, FieldNumL, FieldNumE, InputParameterCheck (optional), RE, SIGE, RE2 Output: ResultFlag, FieldSelect, FieldVal, RL2, SIG0U, Changes: M0 and R Availability Ink refill QA Device and Parameter Upgrader QA Device
27.2.1 Function description
StartRollBack function is used to start a rollback sequence if the QA Device being upgraded didn't receive the transfer message correctly and hence didn't receive the transfer. The system calls the function on the upgrading QA Device, passing in FieldNumE and Chipld of the QA Device being upgraded, and FieldNumL of the upgrading QA Device. The upgrading QA Device checks that the QA Device being upgraded didn't actually receive the message correctly, by comparing the values read from the device with the values stored in the Xfer Entry cache. The values compared is the value of the sequence fields. After all checks are fulfilled, the upgrading QA Device produces the new data for the sequence fields and a signature. This is subsequently applied to the QA Device being upgraded (using the WriteFieldAuth function), which updates the sequence fields SEQ_1 and SEQ 2 to the pre-rollback values. However, the new data for the sequence fields and signature can only be applied if the previous data for the sequence fields produced by Xfer function has not been written. The output from the StartRollBack function consists only of the field data of the two sequence fields, and a signature using the refill key. When a pre-rollback output is produced, then sequence field data in SEQ_1 (as stored in the Xfer Entry cache, which is what is passed in to the XferAmount function) is decremented by 1 and the sequence field data in SEQJ2 (as stored in the Xfer Entry cache, which is what is passed in to the XferAmount function) is decremented by 2. Additional InputParameterCheck value must be provided for the parameters not included in the SIGE, if the transmission between the System and Ink Refill QA Device is error prone, and these errors are not corrected by the transimission protocol itself. InputParameterCheck is SHA- 1 [FieldNumL | FieldNumE], and is required to ensure the integrity of these parameters, when these inputs are received by the Ink Refill QA Device. The StartRollBack function must first calculate the SHA-1 [FieldNumL \ FieldNumE], compare the calculated value to the value received (InputParameterCheck) and only if the values match act upon the inputs.
27.2.2 Input parameters Table 293 describes each of the input parameters for StartRollback function.
Figure imgf000875_0001
Figure imgf000876_0001
27.2.2.1 Input signature verification data format Refer to Section 27.1.2.1. 27.2.3 Output parameters Table 294 describes each of the output parameters for StartRollback function.
Figure imgf000876_0002
Figure imgf000877_0001
Table 295. Result definition for StartRollBack
Figure imgf000877_0002
27.2.3.1 SIG0lΛ Refer to Section 20.2.1 for details. 27.2.4 Function sequence The StartRollBack command is illustrated by the following pseudocode: Accept input parameters-KeyRef, MOOfExternal, MIOfExternal, Chipld, FieldNumL, FieldNumE, RE, SIGE, RE2
Accept RE, SIGE, RE2 §Generate message for passing into ValidateKeyRefAndSignature function data - (RWSense | MSelect | KeyldSelect | Chipld | WordSelect | M0 | Ml) # Refer to Figure 382 .
# Validate KeyRef, and then verify signature ResultFlag = ValidateKeyRefAndSignature (KeyRef, data, RE,RL) If (ResultFlag ≠ Pass) Output ResultFlag Return Endlf
Check Seq Fields Exist and get their Field Num # Get Seqdata field SEQJL num for the device being upgraded XferSEQ lFieldNum<- GetFieldNum(MIOfExternal, SEQ 1) # Check if the Seqdata field SEQJL is valid If (XferSEQ_lFieldNum invalid) ResultFlag <- SeqFieldlnvalid Output ResultFlag Return Endlf
# Get Seqdata field SEQJ2 num for the device being upgraded XferSEQ 2FieldNum- GetFieldNum (MIOfExternal, SEQ 2)
# Check if the Seqdata field SEQJ2 is valid If (XferSEQ_2FieldNum invalid) ResultFlag <- SeqFieldlnvalid Output ResultFlag Return
Endlf
# Get SeqData SEQJL data from device being upgraded GetFieldDataWords (XferSEQ_lFieldNum,
XferSΞQ_lDataFromDevice, OOfExternal, MIOfExternal)
# Get SeqData SEQJ2 data from device being upgraded GetFieldDataWords (XferSEQ_2FieldNum, XferSEQ_2DataFromDevice, MOOfExternal , MIOfExternal)
# Check Xfer Entry in cache is correct - dataset exists, Field data
# and sequence field data matches and Xfer State is correct XferEntryOK <- CheckEntry (Chipld, FieldNumE, FieldNumL, XferSEQ_lDataFromDevice, XferSEQ 2DataFromDevice)
If ( XferEntryOK= 0 ) ResultFlag <- RollBacklnvalid Output ResultFlag Return Endlf
# Generate Seqdata for SEQJL and SEQJ2 fields XferSEQ_lDataToDevice = XferSEQ_lDataFromDevice - 1 XferSEQ_2DataToDevice = XferSEQ_2DataFromDevice - 2 # Generate FieldSelect and FieldVal for sequence fields SEQJL and SEQJ2 CurrentFieldSelect<- 0 FieldVal <- 0 GenerateFieldSelectAndFieldVal (XferSEQ_lFieldNum, XferSEQ_lDataToDevice , XferSEQ_2FieldNum, XferSEQ_2DataToDevice , FieldSelect , FieldVal )
#Generate message for passing into GenerateSignature function data <- (RWSense | FieldSelect ] Chipld | FieldVal ) # Refer to Figure 373 . #Create output signature for FieldNumE SIGou <— GenerateSignature (KeyRef , data, RL2 , RE2) Update RL2 to RL3 ResultFlag <— Pass Output ResultFlag, FieldData, RL2 , SIGout Return Endlf 27.3 ROLLBACKAMOUNT Input: KeyRef, mOf External, mOf External, Chipld, FieldNumL, FieldNumE, InputParameterCheck (optional), RE, SIGE Output: ResultFlag Changes: M0 and RL Availablity: Ink refill QA Device
27.3.1 Function description
RollBackAmount function finally adjusts the value of the FieldNumL of the upgarding QA Device to a previous value before the transfer request, if the QA Device being upgraded didn't receive the transfer message correctly (and hence was not upgraded). The upgrading QA Device checks that the QA Device being upgraded didn't actually receive the transfer message correctly, by comparing the sequence data field values read from the device with the values stored in the Xfer Entry cache. The sequence data field values read must match what was previously written using the StartRollBack function. After all checks are fulfilled, the upgrading
QA Device adjusts its FieldNumL.
Additional InputParameterCheck value must be provided for the parameters not included in the
SIGE, if the transmission between the System and Ink Refill QA Device is error prone, and these errors are not corrected by the transimission protocol itself. InputParameterCheck is SHA-
1 [FieldNumL | FieldNumE], and is required to ensure the integrity of these parameters, when these inputs are received by the Ink Refill QA Device.
The RollBackAmount function must first calculate the SHA-1 [FieldNumL \ FieldNumE], compare the calculated value to the value received (InputParameterCheck) and only if the values match act upon the inputs.
27.3.2 Input parameters Table 296 describes each of the input parameters for RollbackAmount function.
Figure imgf000880_0001
case, is the output from the Read function performed on the device which failed to upgrade. A correct SIGE = SIGκeyRef(Data | RE | RL)-
27.3.2.1 Input signature generation data format Refer to Section 27.1.2.1 for details. 27.3.3 Output parameters Table 297 describes each of the output parameters for RollbackAmount.
Figure imgf000881_0001
27.3.4 Function sequence The RollBackAmount command is illustrated by the following pseudocode: Accept input parameters-KeyRef , MOOfExternal , MIOfExternal , Chipld, FieldNumL, FieldNumE , RE, SIGE
^Generate message for passing into ValidateKeyRefAndSignature function data <- (RWSense | MSelect | KeyldSelect | Chipld|WordSelect | M0 | Ml) # Refer to Figure 382.
# Validate KeyRef, and then verify signature ResultFlag = ValidateKeyRefAndSignature (KeyRef, data,RE,RL) If (ResultFlag ≠ Pass) Output ResultFlag Return Endlf
# Check Seq Fields Exist and get their Field Num # Get Segdata field SEQJL num for the device being upgraded XferSEQ lFieldNurrπ- GetFieldNum(MIOfExternal, SEQ 1)
# Check if the Seqdata field SEQJL is valid If (XferSEQ_lFieldNum invalid) ResultFlag <- SeqFieldlnvalid Output ResultFlag Return Endlf
# Get Segdata field SEQ_2 num for the device being upgraded XferSEQ_2FieldNum- GetFieldNum (MIOfExternal, SEQ_2)
# Check if the Seqdata field SEQJ2 is valid If (XferSEQ_2FieldNum invalid) ResultFlag - SeqFieldlnvalid Output ResultFlag Return Endlf
# Get SeqData SEQJL data from device being upgraded GetFieldDataWords (XferSEQ_lFieldNum, XferSΞQ_lDataFromDevice,MOOfExternal,MIOfExternal)
# Get SeqData SEQJ2 data from device being upgraded GetFieldDataWords (XferSEQ_2FieldNum, XferSEQ_2DataFromDevice, MOOfExternal, MIOfExternal)
# Generate Seqdata for SEQJL and SEQJ2 fields wi th the data that is read XferSΞQ_lData = XferSEQ_lDataFromDevice + 1
XferSEQ_2Data = XferSEQ_2DataFromDevice + 2
# Check Xfer Entry in cache is correct - dataset exists, Field data # and sequence field data matches and Xfer State is correct
XferEntryOK <- CheckEntry(Chipld, FieldNumE, FieldNumL, XferSEQ IData, XferSEQ 2Data) If( XferEntryOK= 0) ResultFlag <- RollBacklnvalid Output ResultFlag Return
Endlf
# Get ^FieldDataL from DataSet GetVal (Chipld, FieldNumE, ΔFieldDataL)
# Add AFieldDataL to FieldNumL AddValToField (FieldNumL, ΔFieldDataL)
# Update XferState in DataSet to complete/deleted UpdateXferStateToComplete (Chipld, FieldNumE) ResultFlag - Pass
Output ResultFlag Return
FUNCTIONS UPGRADE DEVICE (PRINTER UPGRADE) 28 Concepts This section is very similar to Section 26. The differences between this section and Section 26 have been summarised and underlined, where required. 28.1 PURPOSE
In a printing application, a printer contains a Printer QA Device, which stores details of the various operating parameters of a printer, some of which may be upgradeable. The upgradeable parameters must be written (initially) and changed in an authorised manner.
The authorisation for the write or change is achieved by using a Parameter Upgrader QA Device which contains the necessary functions to allow a write or a change of a parameter value (e.g. a print speed) into another QA Device, typically a printer QA Device. This QA Device is also referred to as an upgrading QA Device. A parameter upgrader QA Device is able to perform a fixed number of upgrades, and this number is effectively a consumable value. The number of upgrades remaining is also referred to as count- remaining. With each write/change of an operating parameter in a Printer QA Device, the count- remaining decreases by 1 , and can be replenished by a value upgrader QA Device. The Parameter Upgrader QA Device can also be referred to as the Upgrading QA Device, and the Printer QA Device can also be referred to as the QA Device being upgraded.
The writing or changing of the parameter can also be referred to as a transfer of a parameter. The Parameter Upgrader QA Device copies its parameter value field to the parameter value field of Printer QA Device, and decrements the count-remaining field associated with the parameter value field by 1. 28.2 REQUIREMENTS
The transfer of a parameter has two basic requirements:
• The transfer can only be performed if the transfer request is valid. The validity of the transfer request must be completely checked by the Parameter Upgrader QA Device, before it produces the required output for the transfer. It must not be possible to apply the transfer output to the Printer QA Device, if the Parameter Upgrader QA Device has been already been rolled back for that particular transfer.
• A process of rollback is available if the transfer was not received by the Printer QA Device. A rollback is performed only if the rollback request is valid. The validity of the rollback request must be completely checked by the Parameter Upgrader QA Device , before the count-remaining value is incremented by 1. It must not be possible to rollback an Parameter Upgrader QA Device for a transfer, which has already been applied to the Printer QA Device i.e the Parameter Upgrader QA Device must only be rolled back for transfers that have actually failed.
28.3 BASIC SCHEME The transfer and rollback process is shown in Figure 383.
Following is a sequential description of the transfer and rollback process:
1. The System Reads the memory vectors MO and M1 of the Printer QA Device. The output from the read which includes the MO and M1 words of the Printer QA Device, and a signature, is passed as an input to the Transfer Request. It is essential that MO and M1are read together. This ensures that the field information for MO fields are correct, and have not been modified, or substituted from another device. Entire MO and M1 must be read to verify the correctness of the subsequent Transfer Request by the Parameter Upgrader QA Device.
2. The System makes a Transfer Request to the Parameter Upgrader QA Device with the field in the Parameter Upgrader QA Device whose data will be copied to the Printer QA Device, and the field in Printer QA Device to which this data will be copied to. The Transfer Request also includes the output from Read of the Printer QA Device. The Parameter Upgrader QA Device validates the Transfer Request based on the Read output, checks that it has enough count-remaining for a successful transfer, and then produces the necessary Transfer output. The Transfer Output typically consists of new field data for the field being refilled or upgraded, additional field data required to ensure the correctness of transfer/rollback, along with a signature.
3. The System then applies the Transfer Output on the Printer QA Device, by calling an authenticated Write on it, passing in the Transfer Output. The Write is either successful or not. If the Write is not successful, then the System will repeat calling the Write function using the same transfer output, which may be successful or not. If unsuccessful the System will initiate a rollback of the transfer. The rollback must be performed on the Parameter Upgrader QA Device, so that it can adjust its value to a previous value before the current Transfer Request was initiated. 4. The System starts a rollback by Reading the memory vectors M0 and M1 of the Printer QA Device.
5. The System makes a StartRollBack Request to the Parameter Upgrader QA Device with same input parameters as the Transfer Request, and the output from Read in (4). The Parameter Upgrader QA Device validates the StartRollBack Request based on the Read output, and then produces the necessary Pre-rollback output. The Pre-rollback output typically consists only of additional field data along with a signature.
6. The System then applies the Pre-rollback output on the Parameter Upgrader QA Device, by calling an authenticated Write on it, passing in the Pre-rollback output. The Write is either successful or not. If the Write is not successful, then either (6), or (5) and (6) must be repeated.
7. The System then Reads the memory vectors MO and M1 of the Printer QA Device.
8. The System makes a RollBack Request to the Parameter Upgrader QA Device with same input parameters as the Transfer Request, and the output from Read (7). The Parameter Upgrader QA Device validates the RollBack Request based on the Read output, and then rolls back its count-remaining field by incrementing it by 1. 28.3.1 Transfer The Printer QA Device stores upgradeable operating parameter values in MO fields, and its corresponding M-i words contains field information for its operating parameter fields. The field information consists of the size of the field, the Type of data stored in field and the access permission to the field. See Section 8.1.1 for details.
The Parameter Upgrader QA Device also stores the new operating parameter values (which will be written to the Printer QA Device) in its MO fields, and its coressponding M^ words contains field information for the new operating parameter fields. Additionally, the Parameter Upgrader QA Device has a count-remaining field associated with the new operating parameter value field. The count- remaining field occupies the higher field position when compared to its associated operating parameter value field. 28.3.1.1 Authorisation The basic authorisation for a transfer comes from a key, which has authenticated ReadWrite permission (stored in field information as KeyNum) to the operating parameter field in the Printer QA Device. We will refer to this key as the upgrade key. The same upgrade key must also have authenticated decrement-only permission to the count-remaining field (which decrements by 1 with every transfer) in the Parameter Upgrader QA Device. After validating the input upgrade request, the Parameter Upgrader QA Device will decrement the value of the count-remaining field by 1 , and produce data (by copying the data stored from its operating parameter field) and signature for the new operating parameter using the upgrade key. Note that the Parameter Upgrader QA Device can decrement its count-remaining field only if the upgrade key has the permission to decrement it. The data and signature produced by the Parameter Upgrader QA Device is subsequently applied to the Printer QA Device. The Printer QA Device will accept the new transferred operating parameter, only if the signature is valid. Note that the signature will only be valid if it was produced using the upgrade key which has write permission to the operating parameter field being written. The upgrade key has authenticated ReadWrite permission to the operating parameter field (which will change) in the Printer QA Device. The upgrade key has decrement-only permission to the the count-remaining field (which decrements by 1 with every transfer of field) in the Parameter Upgrader QA Device. 28.3.1.2 Data Type matching
The Parameter Upgrader QA Device validates the transfer request by matching the Type of the data in the field information of operating parameter field (stored in M1 ) of Printer QA Device to the Type of data in the field information of operating parameter field of the Parameter Upgrader QA Device. This ensures that equivalent data types are being transferred i.e Network_OEM1_printspeed_1500 is not transferred to Network_OEM1_printspeed_2000.
28.3.1.3 Addition validation
Additional validation of the transfer request must be performed before a transfer output is generated by the Parameter Upgrader QA Device. These are as follows: • For the Printer QA Device
1. Whether the field being upgraded is actually present.
2. Whether the field being upgraded can hold the changed value. • For the Parameter Upgrader QA Device:
1. Whether the new operating parameter field and its associated count-remaining is actually present.
2. Whether the count-remaining field has an upgrade left for the transfer to succeed.
28.3.1.4 Rollback facilitation
To facilitate a rollback, the Parameter Upgrade QA Device will store a list of transfer requests processed by it. This list is referred to as the Xfer Entry cache. Each record in the list consists of the transfer parameters corresponding to the transfer request. 28.3.2 Rollback
A rollback request will be validated by looking through the Xfer Entry cache of the Parameter Upgrader QA Device. After the right transfer request is found the Parameter Upgrade QA Device checks that the output from the transfer request was not applied to the Printer QA Device by comparing the current Read of the Printer QA Device to the values in the Xfer Entry cache, and finally rolling back the Parameter Upgrader QA Device count-remaining field by incrementing it by 1. The Parameter Upgrader QA Device must be absolutely sure that the Printer QA Device didn't receive the transfer. This factor determines the additional fields that must be written along with new operating parameter data, and also the parameters of the transfer request that must be stored in the Xfer Entry cache to facilitate a rollback, to prove that the Printer QA Device didn't actually receive the transfer.
The rollback process increments the count-remaining field by 1 in the Parameter Upgrader QA Device. 28.3.2.1 Sequence fields The rollback process must ensure that the transfer output (which was previously produced) for which the rollback is being performed, cannot be applied after the rollback has been performed. How do we achieve this? There are two separate decrement-only sequence fields (SEQ_1 and SEQJ2) in the Printer QA Device which can only be decremented by the Parameter Upgrader QA Device using the upgrade key. The nature of data to be written to the sequence fields is such that either the transfer output or the pre-rollback output can be applied to the Printer QA Device, but not both i.e they must be mutually exclusive. Refer to Table 285 for details.
The two sequence fields are initialised to OxFFFFFFFF using sequence key.The sequence key is different to the upgrade key, and has authenticated ReadWrite permission to both the sequence fields.
The transfer output consists of the new data for the field being upgraded, field data of the two sequence fields, and a signature using the upgrade key. The field data for SEQ_1 is decremented by 2 from the original value that was passed in with the transfer request. The field data for SEQ_2 is decremented by 1 from the original value that was passed in with the transfer request. The pre-rollback output consists only of the field data for the two sequence fields, and a signature using the upgrade key. The field data for SEQ_1 is decremented by 1 from the original value that was passed in with the transfer request. The field data for SEQ_2 is decremented by 2 from the original value that was passed in with the transfer request.
Since the two sequence fields are decrement-only fields, the writing of the transfer output to QA Device being upgraded will prevent the writing of the pre-rollback output to QA Device being upgraded, since the sequence fields are decrement-only fields, and only one possible set can be written. If the writing of the transfer output fails, then pre-rollback can be written. However, the transfer output cannot be written after the pre-rollback output has been written. Before a rollback is performed, the Parameter Upgrader QA Device must confirm that the sequence fields was successfully written to the pre-rollback values in the Printer QA Device. Because the sequence fields are decrement-only fields, the Printer QA Device will allow pre-rollback output to be written only if the transfer output has not been written.
28.3.2.1.1 Field information of the sequence data field
For a device to be upgradeable the device must have two sequence fields SEQ_1 and SEQ_2 which are written with sequence data during the transfer sequence. Thus all upgrading QA Devices, ink QA Devices and printer QA Devices must have two sequence fields. The upgrading QA Devices must have these fields because they can be upgraded as well. The sequence field information are defined in Table 298.
Figure imgf000889_0001
a. This is a sample type only and is not included in the Type Map in Appendix A. b. Non authenticated Read Write permission, c. Authenticated Read Write permission. 28.3.3 Upgrade states There are three states in an transfer sequence, the first state is initiated for every transfer, while the next two states are initiated only when the transfer fails. The states are - Xfer, StartRollback, and Rollback. 28.3.3.1 Upgrade Flow Figure 384 shows a typical upgrade flow. 28.3.3.2 Xfer This state indicates the start of the transfer process, and is the only state required if the transfer is successful. During this state, the Parameter Upgrader QA Device adds a new record to its Xfer Entry cache, decrements its count-remaining by 1 , produces new operating parameter field, new sequence data (as described in Section 28.3.2.1) and a signature based on the upgrade key. The Printer QA Device will subsequently write the new operating parameter field and new sequence data, after verifying the signature. If the new operating parameter field can be successfully written to the Printer QA Device, then this will finish a successful transfer.
If the writing of the new amount is unsuccessful (result returned is BAD SIG ), the System will re- transmit the transfer output to the Printer QA Device, by calling the authenticated Write function on it again, using the same transfer output.
If retrying to write the same transfer output fails repeatedly, the System will start the rollback process on Parameter Upgrader QA Device, by calling the Read function on the Printer QA Device, and subsequently calling the StartRollBack function on the Parameter Upgrader QA Device. After a successful rollback is performed, the System will invoke the transfer sequence again.
28.3.3.3 StartRollBack
This state indicates the start of the rollback process. During this state, the Parameter Upgrade QA
Device produces the next sequence data and a signature based on the upgrade key. This is also called a pre-rollback, as described in Section 26.3.2. The pre-rollback output can only be written to the Printer QA Device, if the previous transfer output has not been written. The writing of the pre-rollback sequence data also ensures, that if the previous transfer output was captured and not applied, then it cannot be applied to the Printer QA
Device in the future.
If the writing of the pre-rollback output is unsuccessful (result returned is BAD SIG ), the System will re-transmit the pre-rollback output to the Printer QA Device, by calling the authenticated Write function on it again, using the same pre-rollback output.
If retrying to write the same pre-rollback output fails repeatedly, the System will call the
StartRollback on the Parameter Upgrade QA Device again, and subsequently calling the authenticated Write function on the Printer QA Device using this output. 28.3.3.4 Rollback
This state indicates a successful deletion (completion) of a transfer sequence. During this state, the
Parameter Upgrader QA Device verifies the sequence data produced from StartRollBack has been correctly written to Printer QA Device, then rolls its count-remaining field to a previous value before the transfer request was issued. 28.3.4 Xfer Entry cache
The Xfer Entry data structure must allow for the following:
• Stores the transfer state and sequence data for a given transfer sequence.
• Store all data corresponding to a given transfer, to facilitate a rollback to the previous value before the transfer output was generated. The Xfer Entry cache depth will depend on the QA Chip Logical Interface implementation. For some implementations a single Xfer Entry value will be saved. If the Parameter Upgrader QA Device has no powersafe storage of Xfer Entry cache, a power down will cause the erasure of the Xfer Entry cache and the Parameter Upgrader QA Device will not be able to rollback to a pre-power-down value.
A dataset in the Xfer Entry cache will consist of the following:
• Information about the Printer QA Device: a. Chipld of the device. b. FieldNum of the M0 field (i.e what was being upgraded).
• Information about the Parameter Upgrader QA Device: a. FieldNum of the M0 field used to transfer the count-remaining from.
• Xfer State- indicating at which state the transfer sequence is. This will consist of: a. State definition which could be one of the following: - Xfer, StartRollBack and deleted (completed). b. The value of sequence data fields SEQ_1 and SEQ_2.
The Xfer Entry cache stores the FieldNum of the count-remaining field of the Parameter Upgrader QA Device. 28.3.4.1 Adding new dataset
A new dataset is added to Xfer Entry cache by the Xfer function.
There are three methods which can be used to add new dataset to the Xfer Entry cache. The methods have been listed below in the order of their priority:
1. Replacing existing dataset in Xfer Entry cache with new dataset based on Chipld and FieldNum of the Ink QA Device in the new dataset. A matching Chipld and FieldNum could be found because a previous transfer output corresponding to the dataset stored in the Xfer Entry cache has been correctly received and processed by the Parameter Upgrader QA Device, and a new transfer request for the same Printer QA Device, same field, has come through to the Parameter Upgrader QA Device. 2. Replace existing dataset cache with new dataset based on the Xfer State. If the Xfer State for a dataset indicates deleted (complete), then such a dataset will not be used for any further functions, and can be overwritten by a new dataset. 3. Add new dataset to the end of the cache. This will automatically delete the oldest dataset from the cache regardless of the Xfer State. 28.4 UPGRADING THE COUNT-REMAINING FIELD
This section is only applicable to the Parameter Upgrader QA Device.
The transfer of count-remaining is similar to transfer ink-remaining because both involve transferring of amounts. Therefore, this transfer uses the XferAmount function.
The XferAmount function performs additional checks when transferring count-remaining. This includes checking of the operating parameter field, associated with the count-remaining. They are as follows: • The operating parameter value of the upgrading QA Device and the QA Device being upgraded must match.
• The operating parameter field (in both devices) must be upgradeable by one key only, and all other keys must have Readonly access. This key which has authenticated ReadWrite permission to the operating parameter field, must be different to the key that has authenticated Read Write permission to the count-remaining field.
• The data Type for the operating parameter field in the upgrading QA Device must match the data Type for the operating parameter field in the QA Device being upgraded.
28.5 NEW OPERATING PARAMETER FIELD INFORMATION
This section is only applicable to the Parameter Upgrader QA Device.
This field stores the operating parameter value that is copied from the Parameter Upgrader QA
Device to the operating parameter field being updated in the Printer QA Device.
This field has a single key associated with it. This key has authenticated ReadWrite permission to this field and will be referred to as write-parameter key.
Table 299 shows the field information for the new operating parameter field in the Parameter
Upgrader QA Device.
Figure imgf000892_0001
a. This is a sample type only and is not included in the Type Map in Appendix A. b. Non authenticated Read Write permission, c. Authenticated Read Write permission. 28.6 DIFFERENT TYPES OF TRANSFER There can be three types of transfer:
• Parameter Transfer - This is transfer of an operating parameter value from a Parameter Upgrader QA Device to a Printer QA Device. This is performed when an upgradeable operating parameter is written (for the first time) or changed.
• Hierarchical refill - This is a transfer of count-remaining value from one Parameter Upgrader Refill QA Device to a Parameter Upgrader QA Device, where both QA Devices belong to the same OEM. This is typically performed when OEM divides the number of upgrades from one of its Parameter Upgrader QA Device to many of its Parameter Upgrader QA Devices.
• Peer to Peer refill - This is a transfer of count-remaining value from one Parameter Upgrader Refill QA Device to Parameter Upgrader Refill QA Device, where the QA Devices belong to different organisations, say ComCo and OEM. This is typically performed when ComCo divides number of upgrades from its Parameter Upgrader QA Device to several Parameter Upgrader QA Device belonging to several OEMs.
Transfer of count-remaining between peers, and hierarchical transfer of count-remaining, is similar to an ink transfer, but additional checks on the transfer request is performed when transferring count-remaining amounts. This is described in Section 28.4. 1.
Transfer of an operating parameter value decrements the count-remaining by 1 , hence is different to a ink-transfer.
Figure 385 is a representation of various authorised upgrade paths in the printing system.
28.6.1 Hierarchical transfers
Referring to Figure 385, this transfer is typically performed when count-remaining amount is transferred from ComCo's Parameter Upgrader Refill QA Device to OEM's Parameter Upgrader Refill QA Device, or from QACo's Parameter Upgrader Refill QA Device to ComCo's Parameter
Upgrader Refill QA Device.
This transfers are made using the XferAmount function (and not with the XferField described in
Section 29.1 ). because count-remaining transfer is similar to fill/refilling of ink amounts, where ink amount is replaced by count-remaining amount. 28.6.1.1 Keys and access permission
We will explain this using a transfer from ComCo to OEM.
There is a count-remaining field associated with the ComCo's Parameter Upgrader Refill QA
Device. This count-remaining field has two keys associated with:
• The first key transfers count-remaining to the device from another Parameter Upgrader Refill QA device(device is higher in the heirachy), fills/refills the device itself.
• The second key transfers count-remaining from it to other devices (which are lower in the heirachy), fills/refills other devices from it. There is a count-remaining field associated with the OEM's Parameter Upgrader Refill QA Device. This count-remaining field has a single key associated with: • This key transfers count-remaining to the device from another Parameter Upgrader Refilll QA device (which is higher or at the same level in the heirachy), fills/refills (upgrades) the device itself, and additionally transfers count-remaining from it to other devices (which are lower in the heirachy), fills/refills (upgrades) other devices from it. For a successful transfer of count-remaining from ComCo's refill device to an OEM's refill device, the ComCo's refill device and the OEM's refill device must share a common key or a variant key. This key is fill/refill key with respect to the OEM's refill device and it is the transfer key with respect to the ComCo's refill device.
For a ComCo to successfully fill/refill its refill device from another refill device (which is higher in the heirachy possibly belonging to the QACo), the ComCo's refill device and the QACo's refill device must share a common key or a variant key. This key is fill/refill key with respect to the ComCo's refill device and it is the transfer key with respect to the QACo's refill device. 28.6.1.1.1 Count-remaining field information
Table 300 shows the field information for an Mo field storing logical count-remaining amounts in the refill device, which has the ability to transfer down the heirachy.
Figure imgf000894_0001
Figure imgf000895_0001
a. Refer to Type Map in Appendix A for exact value. b. Non authenticated Read Write permission. c. Authenticated Read Write permission.
28.6.2 Peer to Peer transfer Referring to Figure 385, this transfer is typically performed when count-remaining amount is transferred from OEM's Parameter Upgrader Refill QA Device to another Parameter Device Refill QA Device belonging to the same OEM. 28.6.2.1 Keys and access permission There is an counf-rema/n/ng field associated with the refill device. This counf-rema/n/ng field has a single key associated with: • This key transfers count-remaining amount to the device from another refill device (which is higher or at the same level in the heirachy), fills/refills (upgrades) the device itself, and additionally transfers ink from it to other devices (which are lower in the heirachy), fills/refills (upgrades) other devices from it. This key is referred to as the fill/refill key and is used for both fill/refill and transfer. Hence, this key has both ReadWrite and Decrement-Only permission to the count-remaining field in the refill device. 28.6.2.1.1 Count-remaining field information Table 301 shows the field information for an o field storing logical count-remaining amounts in the refill device with the ability to transfer between peers. Table 301. Field information for ink-remaining field for refill devices transferring between peers
Figure imgf000895_0002
Figure imgf000896_0001
a. Refer to Type Map in Appendix A for exact value. b. Non authenticated Read Write permission. c. Authenticated Read Write permission. 29 Functions 29.1 XFERFIELD Input: KeyRef, mOfExternal, mOfExternal, Chipld, FieldNumL, FieldNumE, InputParameterCheck (Optional), RE, SIGE, RE2 Output: ResultFlag, Field data, R 2, SIG0Ut Changes: MO and RL Availablity: Parameter Upgrader QA Device 29.1.1 Function description The XferField is similar to the XferAmount function in that it produces data and signature for updating a given Mo field. This data and signature when applied to the appropriate device through the WriteFieldsAuth function, will upgrade the FieldNumE (m field) of a device to the same value as FieldNumL of the upgrading device. The system calls the XferField function on the upgrade device with a certain FieldNumL to be transferred to the device being upgraded The FieldNumE is validated by the XferField function according to various rules as described in Section 29.1.4. If validation succeeds the XferField function produces the data and signature for subsequent passing into the WriteFieldsAuth function for the device being upgraded. The transfer field output consists of the new data for the field being upgraded, field data of the two sequence fields, and a signature. When a transfer output is produced, the sequence field data in SEQjl is decremented by 2 from the previous value (as passed in with the input), and the sequence field data in SEQJ2 is decremented by 1 from the previous value (as passed in with the input). Additional InputParameterCheck value must be provided for the parameters not included in the SIGE, if the transmission between the System and Parameter Upgrader QA Device is error prone, and these errors are not corrected by the transimission protocol itself. InputParameterCheck is SHA-1 [FieldNumL \ FieldNumE \ XferValLength | XferVal], and is required to ensure the integrity of these parameters, when these inputs are received by the Parameter Upgrader QA Device. The XferField function must first calculate the SHA-1 [FieldNumL \ FieldNumE], compare the calculated value to the value received (InputParameterCheck) and only if the values match act upon the inputs.
29.1.2 Input parameters Table 302 describes each of the input parameters for XferField function.
Figure imgf000897_0001
Figure imgf000898_0001
29.1.2.1 Input signature verification data format Refer to Section 27.1.2.1. 29.1.3 Output parameters Table 303 describes each of the output parameters for XferField function.
Figure imgf000898_0002
Table 303. Result Flag definitions for XferField
Figure imgf000899_0001
29.1.3.1 Output signature generation data format Refer to Section 27.1.3.1. 29.1.4 Function sequence The XferField command is illustrated by the following pseudocode: Accept input parameters-KeyRef, MOOfExternal, MIOfExternal, Chipld, FieldNumL, FieldNumE, RE, SIGE, RE2
#βenerate message for passing into ValidateKeyRefAndSignature function data <- (RWSense I MSelect I KeyldSelect I Chipld I ordSelect I M0 I Ml) # Refer to Figure 382 .
# Validate KeyRef, and then verify signature ResultFlag = ValidateKeyRefAndSignature (KeyRef , data, RE, RL) If (ResultFlag ≠ Pass) Output ResultFlag Return Endlf # Validatate FieldNumE # FieldNumE is present in the device being upgraded PresentFlagFieldNumE <- GetFieldPresent (MIOfExternal , FieldNumE)
# Check FieldNumE present flag If (PresentFlagFieldNumE ≠ 1) ResultFlag <- FieldNumElnvalid Output ResultFlag Return Endlf
# Check Seq fields exist and get their Field Number
# Get Seqdata field SEQJL for the device being upgraded XferSEQ_lFieldNum<- GetFieldNum(MlOfExternal , SEQ_1)
# Check if the Seqdata field SEQJL is valid If (XferSEQ_lFieldNum invalid) ResultFlag <- SeqFieldlnvalid Output ResultFlag Return
Endlf
# Get Seqdata field SEQJ2 for the device being upgraded XferSEQ_2FieldNum- GetFieldNum (MIOfExternal, SEQ_2 )
# Check if the Seqdata field SEQJ2 is valid
If (XferSEQ_2FieldNum invalid) ResultFlag <- SeqFieldlnvalid Output ResultFlag Return Endlf
#Check write permission for FieldNumE PermOKFieldNumE - CheckFieldNumEPerm (MIOfExternal, FieldNumE)
If (PermOKFieldNumE ≠ l) ResultFlag - FieldNumEWritePermlnvalid Output ResultFlag Return Endlf #Check that both SeqData fields have Decrement -Only permission with the same key #that has write permission on FieldNumE
PermOKXferSeqData <- CheckSeqDataFieldPerms (MIOfExternal, XferSEQ_lFieldNum, XferSEQ_2FieldNum, FieldNumE) If (PermOKXferSeqData ≠ 1) ResultFlag <-SeqWritePermlnvalid Output ResultFlag Return Endlf
# Get SeqData SEQJL data from device being upgraded GetFieldDataWords (XferSEQ_lFieldNum, XferSEQ_lDataFromDevice, MOOfExternal,MIOfExternal)
# Get SeqData SEQJ2 data from device being upgraded GetFieldDataWords (XferSEQ_2FieldNum, XferSEQ_2DataFromDevice, MOO External,MIOfExternal)
# FieldNumL (upgrade value) is a valid field in the upgrading device PresentFlagFieldNumL <- GetFieldPresent (Ml, FieldNumL) If (PresentFlagFieldNumL ≠ 1) ResultFlag - FieldNumLlnvalid Output ResultFlag Return Endlf
#Get the CountRemaining field associated wi th the upgrade value field # The CountRemaining field is the next higher field from the upgrade value field FieldNumCountRemaining*- FieldNumL + 1
# FieldNumCountRemaining is a valid field in the upgrading device
PresentFlagFieldNumCountRemaining <- GetFieldPresent (Ml, FieldNumCountRemaining) If (PresentFlagFieldNumCountRemaining ≠ 1) ResultFlag <- CountRemainingFieldlnvalid Output ResultFlag Return Endlf
#Check permission for upgrade value field. Only one key (different # from KeRef . keyNum) has wri te permissions to the field and no key has decrement permissions .
CheckOK - CheckϋpgradeKeyForField(FieldNumL, Ml, KeyRef) If (CheckOK ≠ 1) ResultFlag <- FieldNumEKeyPermlnvalid Output ResultFlag Return Endlf
#Find the type attribute for FieldNumE TypeFieldNumE - FindFieldNumType (MIOfExternal, FieldNumE)
#Find the type attribute for FieldNumL (upgrade value) TypeFieldNumL - FindFieldNumType (Ml, FieldNumL)
If (TypeFieldNumE ≠ TypeFieldNumL) ResultFlag - TypeMismatch Output ResultFlag Return Endlf # Check permissions for CountRemaining field
# Check upgrades are available in the CountRemaining field of the
# upgrading device i . e value of CountRemaining is non- zero positive number CountRemainingOK <-CheckCountRemaining (FieldNumCountRemaining, MO,
Ml) If (CountRemainingOK ≠ 1) ResultFlag <- NoUpgradesRemaining Output ResultFlag Return
Endlf
#Get the size of the FieldNumL (upgrade value) If (FieldNumL = 0) FieldSizeOfFieldNumL- MaxWordlnM- Ml [FieldNumL] .EndPos Else FieldSizeOfFieldNumL<- Ml [FieldNumL-1] .EndPos- Ml [FieldNumL] .EndPos Endlf
#Get the size of the FieldNumE (field being updated) If (FieldNumL = 0) FieldSizeOfFieldNumE- MaxWordlnM- MIOfExternal [FieldNumE -
1] .EndPos Else FieldSizeOfFieldNumE-*- MIOfExternal [FieldNumE-1] .EndPos - MIOfExternal [FieldNumL] .EndPos Endlf
# Check whether the device being upgraded can hold the upgrade value from
# FieldNumL If (FieldSizeOf FieldNumE < FieldSizeOf FieldNumL) ResultFlag - FieldNum ESizelnsufficient Output ResultFlag Return Endlf
# All checks complete
# Generate Seqdata for SEQJL and SEQJ2 fields XferSEQ_lDataToDevice = XferSΞQ_lDataFromDevice - 2 XferSEQ_2DataToDevice = XferSΞQ_2DataFromDevice - 1
# Add DataSet to Xfer Entry Cache
AddDataSetToXferEntryCache (Chipld, FieldNumE, FieldNumL, XferSEQ_lDataFromDevice, XferSEQ_2DataFromDevice)
#Decrement CountRemaining field by one
DecrementField (FieldNumCountRemaining, MO)
#Get the upgrade value words from FieldNumE of the upgrading device GetFieldDataWords (FieldNumL, UpgradeValue, M0 , Ml)
#Generate new field data words for FieldNumE . The upgrade value is copied to FieldDataE FieldDataE*- UpgradeValue
# Generate FieldSelect and FieldVal for SeqData field SEQJL, SEQJ2 and
# FieldDataE . . . CurrentFieldSelect<- 0
FieldVal <- 0
GenerateFieldSelectAndFieldVal (FieldNumE, FieldDataE,
XferSEQ_lFieldNum, XferSEQ_lDataToDevice,XferSEQ_2FieldNum,
XferSEQ_2DataToDevice, FieldSelect, FieldVal)
#Generate message for passing into GenerateSignature function data <- (RWSense I FieldSelect I Chipld I FieldVal) # Refer to Figure 373 . #Create output signature for FieldNumE SIGout<— GenerateSignature (KeyRef , data, RL2 , RE2) Update RL2 to RL3 ResultFlag <- Pass Output ResultFlag, FieldSelect , FieldVal , RL2 ,SIGout Return Endlf 29.1.4.1 CountRemainingOK CheckCountRemainingFieldNumL(FieldNumCountRemaining,M1,M0) This functions checks permissions for CountRemaining field and also checks that upgrades are available in the CountRemaining field of the upgrading device. AuthRW <- Ml [FieldNumCountRemaining] .AuthRW NonAuthRW <r- Ml [FieldNumCountRemaining] . NonAuthRW DOForKeys — I [FieldNumCountRemaining] . DOForKeys [KeyNum] Type <— I [FieldNumCountRemaining] . Type If (AuthRW = 1 Λ NonAuthRW = 0 Λ (DOForKeys = 1Λ (Type = TYPE_COUNT_RΞMAINING ) PermOK <-l Else PermOK <- 0 Return PermOK Endlf #Get the count -remaining value from the upgrading device GetFieldDataWords (FieldNumCountRemaining, CountRemainingValue , M0 , Ml ) If (CountRemainingValue <= 0 ) PermOK «- 0 Return PermOK Endlf PermOK <- 1 Return PermOK 29.2 ROLLFJACKFIELD Input: KeyRef, mOf External, mOi 'External, Chipld, FieldNumL, FieldNumE, InputParameterCheck (optional), RE, SIGE Output: ResultFlag Changes: MO and RL Availablity: Parameter Upgrader QA Device 29.2.1 Function description
The RollBackField function is very similar to the RollBackAmount function, the only difference being that the RollBackField function adjusts the value of the count-remaining field associated with the upgrade value field of the upgrading device, instead of the upgrade value field itself. A successful rollback, increments the count-remaining by 1.
The Parameter Upgrader QA Device checks that the Printer QA Device didn't actually receive the transfer message correctly, by comparing the sequence data field values read from the device with the values stored in the Xfer Entry cache. The sequence data field values read must match what was previously written using the StartRollBack function. After all checks are fulfilled, the Parameter Upgrader QA Device adjusts its FieldNumL.
Additional InputParameterCheck value must be provided for the parameters not included in the SIGE, if the transmission between the System and Parameter Upgrader QA Device is error prone, and these errors are not corrected by the transimission protocol itself. InputParameterCheck is SHA-1 [FieldNumL \ FieldNumE], and is required to ensure the integrity of these parameters, when these inputs are received by the Parameter Upgrader QA Device.
The RollBackField function must first calculate the SHA-1 [FieldNumL \ FieldNumE], compare the calculated value to the value received (InputParameterCheck) and only if the values match act upon the inputs. 29.2.2 Input parameters Table 305 describes each of the input parameters for RollBackField function.
Figure imgf000906_0001
Figure imgf000907_0001
29.2.2.1 Input signature generation data format Refer to Section 27.1.2.1 for details. 29.2.3 Output parameters Table 306 describes each of the output parameters for RollBackField.
Figure imgf000907_0002
29.2.4 Function sequence The RollBackField command is illustrated by the following pseudocode: Accept input parameters-KeyRef , MOOfExternal , MIOfExternal , Chipld, FieldNumL, FieldNumE, RE, SIGE
#Generate message for passing into GenerateSignature function data <- (RWSense I MSelect I KeyldSelect I Chipld I WordSelect I MO I Ml) # Refer to Figure 382.
# Validate KeyRef, and then verify signature
ResultFlag = ValidateKeyRefAndSignature (KeyRef, data,RE,RL) If (ResultFlag ≠ Pass) Output ResultFlag Return Endlf
# Check Seq fields exist and get their Field Number
# Get Seqdata field SEQ_1 num for the device being upgraded XferSEQ_lFieldNum<- GetFieldNum(MIOfExternal, SEQ_1)
# Check if the Seqdata field SEQJL is valid If (XferSEQ_lFieldNum invalid) ResultFlag <- SeqFieldlnvalid Output ResultFlag Return Endlf
# Get Segdata field SEQJ2 num for the device being upgraded XferSEQ_2FieldNum<- GetFieldNum (MIOfExternal , SEQ_2 )
# Check if the Seqdata field SEQJ2 is valid If (XferSEQ_2FieldNum invalid) ResultFlag <- SeqFieldlnvalid Output ResultFlag Return
Endlf
# Get SeqData SEQJL data from device being upgraded GetFieldDataWords (XferSΞQ_lFieldNum,
XferSEQ lDataFromDevice,MOOfExternal,MIOfExternal) # Get SegData SEQJ2 data from device being upgraded GetFieldDataWords (XferSEQ_2FieldNum, XferSEQ_2DataFromDevice, MOOfExternal, MIOfExternal)
# Generate Seqdata for SEQJL and SEQJ2 fields wi th the data that is read XferSEQ_lData = XferSEQ_lDataFromDevice + 1 XferSEQ 2Data = XferSEQ 2DataFromDevice + 2
# Check Xfer Entry in cache is correct - dataset exists, Field data # and sequence field data matches and Xfer State is correct XferΞntryOK <- CheckEntry(Chipld, FieldNumE, FieldNumL, XferSEQ_lData, XferSEQ_2Data)
If( XferEntryOK= 0) ResultFlag <- RollBacklnvalid Output ResultFlag Return Endlf # Increment associated CountRemaining by 1 IncrementCountRemaining (FieldNumCountRemaining) # Update XferState in DataSet to complete /deleted UpdateXferStateToComplete (Chipld, FieldNumE) ResultFlag - Pass Output ResultFlag Return
EXAMPLE SEQUENCE OF OPERATIONS 30 Concepts
The QA Chip Logical Interface interface devices do not initiate any activities themselves. Instead the System reads data and signature from various untrusted devices, and sends the data and signature to a trusted device for validation of signature, and then uses the data to perform operations required for printing, refilling, upgrading and key replacement. The system will therefore be responsible for performing the functional sequences required for printing, refilling, upgrading and key replacement. It formats all input parameters required for a particular function, then calls the function with the input parameters on the appropriate QA Chip Logical Interface instance, and then processes/stores the output parameters from the function appropriately. Validation of signatures is achieved by either of the following schemes:
• Direct - the signature produced by an untrusted device is directly passed in for validation to the trusted device. The direct validation requires the untrusted device to share a common key or a variant key with the trusted device. Refer to Section 7 for further details on common and variant keys.
• Translation - the signature produced by an untrusted is first validated by the translating device, and a new signature of the read data is produced by the translation device for validation by the trusted device. Several translation device may be chained together - the first translation device validates the signature from the untrusted device, and the last translation device produces the final signature for validation by the trusted device. The translation device must share a common key or a variant key with the trusted/untrusted device and among themselves, if several translation devices are chained together for signature validation.
30.1 REPRESENTATION
Each functional sequence consists of the following devices (refer to Section 4.3):
• System.
• A trusted QA Device - which may be a system trusted QA Device, or an Parameter Upgrader QA Device, or a Ink Refill QA Device, or a Key Programmer QA Device depending on the function performed. This device is referred to as device A.
• An untrusted QA Device - which may be a Printer QA Device, or an Ink QA Device. This device is referred to as device B.
• A translation QA Device will be used if a translation scheme is used to validate signatures. This device is referred to as device C. The command sequence produced by the system for further sequences will be documented as shown in Table 307. Table 307. Command sequence representation
Figure imgf000910_0001
Therefore, a typical direct signature validation sequence can be represented by Figure 386 and Table 308.
For a direct signature to be used, A and B must share a common or a variant key i.e B.Kn1 = A.Kn2 or B.Kn1 = FormKeyVariant(A.Kn2 , B.Chipld).
Table 308. Command sequence for direct signature validation
Figure imgf000911_0001
A typical signature validation using translation can be represented by
Figure 387 and Table 309.
For validating signatures using translation: • A and C must share a common or a variant key i.e C.Kn3 = A.Kn2 or C.Kn3 = FoπmKeyVariant(A.Kn2 , C.Chipld). • B and C must share a common or a variant key i.e C.Kn2 = B.Kni or B.K„ι = FormKeyVariant(C.Kn2, B.Chipld).
Table 309. Command sequence for signature validation using translation
Figure imgf000912_0001
31 In field use
This section covers functional sequences for printer and ink QA Devices, as they perform their usual function of printing. 31.1 STARTUP SEQUENCE
At startup of any operation (a printer startup or an upgrade startup), the system determines the properties of each QA Device it is going to communicate with. These properties are:
• Software version of the QA Device. This includes SoftwareReleaseldMajor and Soft- wareReleaseldMinor. The SoftwareReleaseldMajor identifies the functions available in the QA Device. Refer to Section 13.2 for details.
• The number of memory vectors in the QA Device.
• The number of keys in the QA Device.
• The Chipld of the QA Device.
The properties allow the system to determine which functions are available in a given QA Device, as well as the value of input parameters required to communicate with the QA Device. Table 310 shows the startup sequence. Table 310. Startup command sequence
Figure imgf000913_0001
31.1.1 Clearing the preauthorisation field
Preauthorisation of ink is one of the schemes that a printer may use to decrement logical ink as physical ink is used. This is discussed in details in Section 31.4.3. If the printer uses preauthorisation, the system must read the preauthorisation field at startup. If the preauthorisation field is not clear, then the system must apply (decrement) the preauth amount to the corresponding ink field, by performing a non-authenticated write of the decremented amount to the appropriate ink field, and then clear the preauthorisation field by performing an authenticated write to the preauthorisation field. 31.2 PRESENCE ONLY AUTHENTICATION
The purpose of presence only authentication is to determine whether the printer should or shouldn't work with the ink cartridge. 31.2.1 Without data interpretation
This sequence is performed when the printer authenticates the ink cartridge. The authentication consists of verifying a signature generated by the untrusted ink QA Device (in the ink cartridge) using the system's trusted QA Device.
For signature to be valid, the trusted QA Device (A) and the untrusted ink QA Device (B) must share a common or a variant key i.e B.Knι = A.Kn2 or B.Kn1 = FormKeyVariant(A.Kn2 , B.Chipld).
A single word of a single M is read because the system is only interested in the validity of signature for a given data.
If the printer wants to verify the signature and doesn't require any data from the ink cartridge
(because it is cached in the printer), then the printer calls the Read function with SigOnly set to 1.
The Read returns only the signature of the data as requested by the input parameters. The printer then sends its cached data and signature (from the Read function) to its trusted QA Device for verification. The printer may use this signature verification scheme if it has read the data previously from the ink QA Device, and the printer knows that the data in the ink QA Device has not changed from value that was read earlier by the printer.
Table 311 shows the command sequence for performing presence only authentication requiring both data and signature.
Figure imgf000914_0001
31.2.2 With data interpretation
This sequence is performed when the printer reads the relevant data from the untrusted QA Device in the ink cartridge. The system validates the signature from the external ink QA Device, and then uses this data for further processing. For signature to be valid, the trusted QA Device (A) and the untrusted QA Device (B) must share a common or a variant key i.e B.Knι = A.Kn2 or B.Kn1 = FormKeyVariant(A.Kn2 , B.Chipld). The data read assists the printer to determine the following before printing can commence:
• Which fields in Mo store logical ink amounts in the ink QA Device.
• The size of the ink fields in the ink QA Device. Refer to Section 8.1.1.1.
• The type of ink.
• The amount of ink in the field.
Table 312 shows the command sequence for performing presence only authentication (with data interpretation).
Figure imgf000915_0001
31.2.2.1 Locating ink fields and determining ink amounts remaining
Before printing can commence, the printer must determine the ink fields in the ink cartridge so that it can decrement these fields with the physical use of ink. The printer must also verify that the ink in the ink cartridge is suitable for use by the printer.
This process requires reading data from the ink QA Device and then comparing the data to what is required. To perform the comparison the printer must store a list for each ink it uses.
The ink list must consist of the following: • Ink Id - A identifier for the ink
• Keyld - The Keyld of the key used to fill/refill this ink.
• Type - This is the type attribute of the ink. The ink list stored in the printer is shown in Table 313.
Figure imgf000916_0001
a. These Types are only used as an example, b. These Keylds are only used as an example. The printer will perform a Read of the ink QA Device's M0, M1 and Keylds to determine the following:
• The correct ink field (M0 field) in the ink QA Device. • The amount of ink-remaining in the field.
The ink QA Device's M1 and Keyld helps the printer determine the location of the ink field and ink QA Device's M0 and M1 helps determine the amount of ink-remaining in the field. 31.2.2.2 FieldNum FindFieldNum(keyldRequired, typeRequired)
This function returns a FieldNum of an M0 field, whose authenticated ReadWrite access key's Keyld is keyldRequired, and whose Type attribute matches typeRequired. If no matching field is found it returns a FieldNum = 255. This function must be available in the printer system so that it can determine the ink field required by it. The function sequence is described below. # Get total number of fields in the ink QA Device FieldSize [16] - 0 # Array to hold FieldSize assuming there are IS fields NumFields- FindNumberOfFieldsInMO (Ml,FieldSize) # Refer to Section 19.4.1. # Loop through Keylds read assuming all Keylds have been read from ink QA Device For i - 0 to 7 #Check if Keyld read matches
If (Keyldt= keyldRequired # Matching Keyld found KeyNum <- i # Get the KeyNum of the matching Keyld
# Now look through the field to check which field has #write permissions with this KeyNum
For j - 0 to NumOfFields AuthRW <- Mi [J l -AuthRW # Isolate AuthRW for field # Check authenticated write is allowed to the field If (AuthRW = 1) KeyNumj - Mι fj ] . KeyNum # Isolate KeyNum of the field Typej <-M1 [j ] . Type #Islotate Type attribute of the field # Check if Key is write key for the field and type of Ink Id#2 If (KeyNum = KeyNumj ) Λ (Typβj = typeRequired) FieldNum <-j return FieldNum Endlf Endlf EndFor # Loop through to next field FieldNum <— 255 # Error - no field found return FieldNum Endlf EndFor # Loop through to next Keyld For e.g if the printer wants to find an ink field that matches Ink ld#2 (from Table 313) in the ink QA Device, it must call the function FindFieldNum with keyldRequired = Keyld of Network_OEM_lnkFill/Refill Key and typeRequired = TYPE_HIGHQUALITY_CYANJNK. 31.2.2.3 Ink-remaining amount
This can be determined by using the function GetFieldDataWords(FleldNum,FieldDataQ, M0,M1) described in Section 27.1.4.14. FieldNum must be set to the value returned from function in Section
31.2.2.2. FieldData returns the ink-remaining amount.
The function GetFieldDataWords(FieldNum,FieldData[], M0,M1) must be implemented in the printer system.
31.3 PRESENCE ONLY AUTHENTICATION THROUGH THE TRANSLATE FUNCTION
This sequence is performed when the printer reads the data from the untrusted ink QA Device in the ink cartridge but uses a translating QA Device to indirectly validate the read data. The translating
QA Device validates the signature using the key it shares with the untrusted QA Device, and then signs the data using the key it shares with the trusted QA Device. The trusted QA Device then validates the signature produced by the translating QA Device.
For validating signatures using translation: • A and C must share a common or a variant key i.e C.Kn3 = A.Kn2or C.Kn3= FormKeyVariant(A.Kn2 , C.Chipld). • B and C must share a common or a variant key i.e C.Kn2 = B.Kni or B.Kn1 = FormKeyVariant(C.Kn2, B.Chipld).
Table 314 shows a command sequence for presence only authentication using translation
Figure imgf000918_0001
Figure imgf000919_0001
31.4 UPDATING THE INK-REMAINING
This sequence is performed when the printer is printing. The ink QA Device holds the logical amount of ink-remaining corresponding to the physical ink left in the cartridge. This logical ink amount must decrease, as physical ink from the ink cartridge is used for printing. 31.4.1 Sequence of update
The primary question is when to deduct the logical ink amount - before or after the physical ink is used. a. Print first (use physical ink) and then update the logical ink. If the power is cut off after a physical print and before a logical update, then the logical update is not performed. Therefore, the logical ink-remaining is more than the physical ink-remaining. Performing repeated power cuts will increase the differential amount, and finally any physical ink could be used to refill the QA Device. b. Update the logical ink and then print (use physical ink). This is better than (a) because other physical inks cannot be used. However, if a problem occurs during printing, after the logical amount has already been deducted, there will be a disparity between logical and physical amounts. This might result in the printer not printing even if physical ink is present in the ink cartridge. The amount of disparity can be reduced by increasing the frequency of updating logical ink i.e update after each line instead of after each page. c. Preauthorise logical ink. Preauthorise certain amount of ink (depends on the frequency of logical updates) before print and clear it at the end of printing. If power is cut off after a page is printed, then on start up, the printer reads the preauthorisation field, if it has not been cleared, it applies the preauth amount to the ink-remaining amount, and then clears the preauthorisation field. 31.4.2 Basic update
Some printers may use one of methods described in Section 31.4.1 (a) or (b) to update logical ink amounts in the ink QA Device. This method of updating the ink is termed as a basic update. The decremented amount is written to the appropriate ink field (which has been previously determined using Section 31.2.2) in Mo- The printer verifies the write, by reading the signature of the written data, then passing it to the Test function of the trusted QA Device.
For signature to be valid, the trusted QA Device (A) and ink QA Device (B) must share a common or a variant key i.e B.Knι = A.Kn2 or B.Kπ1 = FormKeyVariant(A.Kn2 , B.Chipld). Table 315. Command sequence for updating the ink-remaining (basic)
Figure imgf000920_0001
31.4.3 Preauthorisation This section describes the update of logical ink amounts using preauthorisation. The basic preauthorisation sequence is as follows: a. Preauthorise before the first print. Preauthorisation amount depends on the printer model. Example amounts could be the ink required for an fully covered A4 page or an A3 page. Value corresponding to the preauth amount is written to the preauth field in the ink QA Device. Note: The preauth value must be correctly interpreted on different printer models i.e if a preauthorisation amount of A4 page is set in the ink cartridge in printer1(model1), and later the ink cartridge is placed in printer2(model2) with its preauth still set, printer2 must deduct an A4 page worth of ink from ink-remaining amount. b. Print the page. c. Write the deducted logical amount to the ink field of the ink QA Device and validate the write by reading the signature of the ink field. d. Repeat b to c till the last page has been printed. e. Clear the preauth amount. f. If the power is cut off before the preauth is applied, on startup apply the preauth amount to the corresponding ink field, by performing a non authenticated write of the decremented amount and clear the preauth amount by performing an authenticated write of the preauth field. 31.4.3.1 Set up of the preauth field
Only a single preauth gield must exist in an Ink QA Device. Preauth field will consist of a single M0 word but can be optionally extended to two M0 words by using a different value of type attribute. Figure 388 shows the setup of preauth field's attributes in 1 - . The preauth field has authenticated ReadWrite access using the INKJUSAGEj EY i.e INKJJSAGEJ EY can perform authenticated writes to this field. This key or its variant is shared between the ink QA Device and the printer QA Device to validate any data read from the ink cartridge. For signature to be valid, B.Kn1 = A.Kn2 or B.Knι = FormKeyVariant(A.Kn2 , B.Chipld), where Knι = INKJJSAGEJKEY. The system performs a WriteAuth to the preauth field using this key, to set up the preauth amount, and to clear the preauth amount. The preauth field is identified by two attributes:
• Type attribute - TYPE_PREAUTH . Refer to Appendix A.
• Keyld of KeyNum attribute must be the same as the Keyld of the INKJJSAGEJKEY which the printer uses to validate the any data read from the ink QA Device. The Preauth field can be applied to a single ink field or multiple ink fields.
31.4.3.2 Preauth applied to a single ink field
In this case the entire preauth field is used to store the preauth amount and is only linked to one ink field. 31.4.3.3 Preauth applied to multiple ink fields
Multiple preauth fields can be accommodated in a single Mo field by a scheme shown in Figure
388A.
This scheme supports a maximum of 8 ink fields being present in the Ink QA Device.
The field in 0 is divided into two parts- preauth field select and preauth amount. Each bit in preauth field select corresponds to a single ink field, and the preauth amount for each ink field is the same.
If an ink cartridge uses multiple inks which are preauthorised, then each of the inks will have a corresponding preauth field bit. Before a particular ink is used for printing the corresponding preauth field bit is set. The preauth amount field is also set if the previous amount is zero. At finish, the preauth field bit is cleared. If more than one ink is used, the preauth bit for each ink field is set, and at finish each bit is cleared with last bit clearing the preauth amount as well.
31.4.3.4 Locating preauth fields and determining preauth field value The preauth field can be located in the same manner as the ink field. If the printer wants to find the preauth field in the ink QA Device, it must call the function FindFieldNum (see Section 31.2.2.2) with keyldRequired = Keyld of Network_OEMJnk_Usage_Key and typeRequired = TYPE_PREAUTH. The preauth field value can be read in the same manner as the ink-remaining amount. This requires using of the function GetFieldDataWords(FieldNum,FieldData[l, M0,M1) described in Section 27.1.4.14. FieldNum must be set to the value returned from function FindFieldNum, which in this case is the field number of the preauth field. FieldData returns the value of the preauth field. 31.4.3.5 Command sequence The command sequence can be broken up into three parts: • Start of print sequence. • During print sequence. • End of print sequence.. 31.4.3.5.1 Start of print sequence This sets up the preauth amount before the start of printing. Table 316 shows the command sequence for start of print sequence. The first Random- Read-Test sequence determines the preauth field in the ink QA Device and its value. The Random-SignM- WriteFieldsAuth sequence, then writes to the preauth field the new preauth value. Table 316. Updating the consumable remaining (preauth) start of print sequence
Figure imgf000922_0001
Figure imgf000923_0001
31.4.3.5.2 During print sequence This set of commands are repeated at equal intervals to update logical ink amounts to the ink QA Device during printing. Table 317 shows the command sequence for the print sequence. The WriteFields writes the updated value to the ink field. Random-Read-Test reads back the value written and tests whether the value read matches the value written. Table 317. Updating the consumable remaining (preauth) during print sequence
Figure imgf000923_0002
Figure imgf000924_0001
31.4.3.5.3 End of print sequence This sequence clears preauth amount before the print sequence is completed. Table 318 shows the command sequence for the end of print sequence. The preauth field is read using the Random-Read-Test sequence. And the preauth field is cleared using the Random-SignM-WriteFieldsAuth sequence. Table 318. Updating the consumable remaining (preauth) end of print sequence
Figure imgf000924_0002
16 B.WriteFieldsAuth KeyRef = n1 , FieldNum = same as Seq 5 [FieldSelect], FieldData = same as Seq 5 [FieldVal], RE= RB1, SIGE = SIGA ResultFlag = Pass /Fail
31.4.4 Preauthorisation through the Translate function This is performed when the system trusted QA Device doesn't share a key with the ink QA Device, and uses a translating QA Device to Translate a Read from the ink QA Device, and to Translate a SignM to the ink QA Device. The basic translate principle involves translating the Read data from the untrusted QA Device, to the Test data of the trusted QA Device, and translating the SignM data from the trusted QA Device, to the WriteFieldsAuth data of the untrusted QA Device. For validating signatures using translation: • The trusted QA Device (A) and the translating QA Device (C) must share a common or a variant key i.e C.Kn3 = A.Kn2 or C.Kn3 = FormKeyVariant(A.Kn2 , C. Chipld). • The ink QA Device (B) and the translating QA Device (C) must share a common or a variant keyi.e C.Kπ2 = B.Kn1 or B.Knι = FormKeyVariant(C.Kn2, B.Chipld). Only the start of print sequence is described using Translate. The rest of the sequences in preauthorisation can be modified to apply translation using this example. Table 319 shows the command sequence for preauth (start of print sequence) using translation. Table 319. Preauth(start of print sequence) using translate command
Figure imgf000925_0001
Figure imgf000926_0001
31.5 UPGRADING THE PRINTER PARAMETERS This sequence is performed when a printer's operating parameter is upgraded. The Parameter Upgrader QA Device stores the upgrade value which is copied to the operating parameter field of the Printer QA Device, and the count-remaining associated with upgrade value is decremented by 1 in the Parameter Upgrader QA Device. The Parameter Upgrader QA Device output the data and signature only after completing all necessary checks for the upgrade. 31.5.1 Basic The basic upgrade is used when the Parameter Upgrader QA Device and Printer QA Device being upgraded share a common key or a variant key i.e B.Knι = A.Kn2or B.Knι = FormKeyVariant(A.Kn2 , B.Chipld), where B is the Printer QA Device and A is the Parameter Upgrader QA Device. Therefore, the messages and their signatures, generated by each of them can be correctly interpreted by the other. The transfer sequence is performed using Random-Read-Random-XferField-WriteFieldsAuth . Table 320 shows the command sequence for a basic upgrade. Table 320. Basic upgrade command sequence
Figure imgf000927_0001
Figure imgf000928_0001
31.5.2 Using the Translate function The upgrade through the Translate function is used when the Parameter Upgrader QA Device and the Printer QA Device don't share a key between them. The translating QA Device shares a key with the Parameter Upgrader QA Device and a second key with the Printer QA Device. Therefore the messages and their signatures, generated by the Parameter Upgrader QA Device and the Printer QA Device are translated appropriately by the translating QA Device. The translating QA Device validates the Read from the Printer QA Device, and translates it for input to the XferField function. The translating QA Device will validate the output from the XferField function, and then translate it for input to WriteFieldsAuth message of the Printer QA Device. For validating signatures using translation: • The Parameter Upgrader QA Device (A) and the translating QA Device (C) must share a common or a variant key i.e C.Kn3 = A.Kn2 or C.Kn3 = FormKeyVariant(A.Kn2 , C.Chipld). • The Printer QA Device (B) and the translating QA Device (C) must share a common or a variant key i.e C.Kn2 = B.Kn1 or B.Knι = FormKeyVariant(C.Kn2, B.Chipld). Table 321 shows the command sequence for a basic refill using translation. Table 321. An upgrade with translate command sequence
Figure imgf000928_0002
Figure imgf000929_0001
31.6 RECOVERING FROM A FAILED UPGRADE This sequence is performed if the upgrade failed (for e.g Printer QA Device didn't receive the upgrade message correctly and hence didn't upgrade successfully). The Parameter Upgrader QA Device therefore needs to be rolled back to the previous value before the upgrade. In this case, the count-remaining associated with the upgrade value in the Parameter Upgrader QA Device is increased by one. The Parameter Upgrader QA Device checks that the Printer QA Device didn't actually receive the message correctly using the StartRollBack function. The RollBackField performs further comparisons on sequence fields and FieldNumE of the Printer QA Device to values stored in the XferEntry cache. After performing all checks, the Parameter Upgrader QA Device increments the count remaining field associated with the upgrade value field by one. Refer to Section 26 and Section 28 for details. The rollback is started using the Random-Read-Random-StartRollBack-WriteFieldsAuth and the rollback of the Parameter Upgrader QA Device is performed using Random-Read-RollBackField sequence. Table 322 shows the command sequence for a rollback upgrade.
Figure imgf000930_0001
B.Read KeyRef = nl, SigOnly = 0, MSelect =0x03(indicates Mo and M1), KeyldSelect = 0x00 (no Keylds required), WordSelectForDesiredM (for Mo)= OxFFFF (Read all Mo ords), WordSelectForDesiredM (for 1)= 0xFFFF(Read all Mιwords), RE= RA2 If ResultFlag = Pass then MWords = SelectedWordsOfSelectedMs as per input [MSelect] and [WordSelectForDesiredM], RB2 = RL, SIGB = SIGout Refer to Section 15.3.1 A.RollBack KeyRef = n2, oOf External = First 16 words of MWords, M1Of External Last 16 Field words of MWords, Chipld = Chipld of B, FieldNum E= The field which was not upgraded in the Printer QA Device, FieldNumL = The upgrade value in the Parameter Upgrader QA Device which couldn't be copied to FieldNumE of the Printer QA Device, RE= RB2, SIGE= SIGB ResultFlag = Pass/Fail
31.7 RE/FILLING THE CONSUMABLE (INK)
This sequence is performed when an ink cartridge is first manufactured or after all the physical ink has been used, it can be filled or refilled. The re/fill protocol is used to transfer the logical ink from the Ink Refill QA Device to the Ink QA Device in the ink cartridge.
The Ink Refill QA Device stores the amount of logical ink corresponding to the physical ink in the refill station. During the refill, the required logical amount (corresponding to the physical transfer amount) is transferred from the Ink Refill QA Device to the Ink QA Device.
The Ink Refill QA Device output the transfer data only after completing all necessary checks to ensure that correct logical ink type is being transferred e.g Network_OEM1_infrared ink is not transferred to Network_OEM2_cyan ink. Refer to the XferAmount command in Section 27.1.
31.7.1 Basic refill
The basic refill is used when the Ink Refill QA Device and the Ink QA Device share a common key or a variant key i.e B.K„ι = A.Kn2or B.Knι = FormKeyVariant(A.Kn2 , B.Chipld) where B is the Ink QA
Device and A is the Ink Refill QA Device. Therefore, the messages and their signatures, generated by each of them can be correctly interpreted by the other.
The Xfer Sequence is started using Random-Read-Random-StartXfer-WriteAuth and the the Xfer
Amount is written to the QA Device being refilled using Random-Read-Random-XferAmount-
WriteFieldsAuth sequence. Table 323 - the command sequence for a basic refill.
Figure imgf000932_0001
31.7.2 Using the Translate function The refill through the Translate function is used when the Ink Refill QA Device and the Ink QA Device don't share a key between them. The translating QA Device shares a key with the Ink Refill QA Device and a second key with the Ink QA Device. Therefore the messages and their signatures, generated by the Ink Refill QA Device and the Ink QA Device, are translated appropriately by the translating QA Device. The translating QA Device validates the Read from the Ink QA Device, and translates it for input to the XferAmount function. The translating QA Device will validate the output from the XferAmount function, and then translate it for input to WriteFieldsAuth message of the Ink QA Device. For validating signatures using translation: • The Ink Refill QA Device (A) and the translating QA Device (C) must share a common or a variant key i.e C.Kn3 = A.Kn2 or C.Kn3 = FormKeyVariant(A.Kπ2 , C.Chipld). • The Ink Refill QA Device being refilled (B) and the translating QA Device (C) must share a common or a variant key i.e C.Kn2 = B.Knι or B.Knι = FormKeyVariant(C.Kn2, B.Chipld). Table 324. A basic refill using translation command sequence
Figure imgf000933_0001
Figure imgf000934_0001
31.8 RECOVERING FROM A FAILED REFILL This sequence is performed if the refill failed (for e.g Ink QA Device didn't receive the refill message correctly and hence didn't refill successfully). The Ink Refill QA Device therefore needs to be rolled back to the previous value before the refill. The Ink Refill QA Device checks that the Ink QA Device didn't actually receive the message correctly using the StartRollBack function. The RollBackAmount performs further comparisons on sequence data field and FieldNumE of the Ink QA Device, to values stored in the XferEntry cache. After performing all checks, the Ink Refill QA Device adjusts its ink field to a previous value before the transfer request was processed by it. Refer to Section 26 and Section 28 for details. The rollback is started using the Random-Read-Random-StartRollBack-WriteFieldsAuth and the rollback of the Ink Refill QA Device is performed using Random-Read-RollBackAmount sequence. Table 325. Rollback amount command sequence
Figure imgf000935_0001
Figure imgf000936_0001
31.9 UPGRADING/REFILLING/FILLING THE UPGRADER This sequence is performed when a count-remaining field in the Parameter QA Device must be updated or when the ink-remaining field in the Ink Refill QA Device requires re/filling. In case of the Parameter QA Device, another Parameter Upgrader Refill QA Device transfers its count-remaining value to the Parameter QA Device using the transfer sequence described in Section 31.4. Also refer to Section 28.6. This means the count-remaining in the Paramater Upgrader Refill QA Device must be decremented by the same amount that Parameter Upgrader QA Device is incremented by i.e a credit transfer occurs. In case of the Ink Refill QA Device, another Ink Refill QA Device transfers its ink-remaining value to the Ink Refill QA Device using the transfer sequence described in Section 31.4. Also refer to Section 26.4. This means the logical ink-remaining in the Ink Refill QA Device must be decremented by the same amount that QA Device being refilled is incremented by i.e a credit transfer occurs. 32 Setting up for field use This section consists of setting up the data structures in the QA Device correctly for field use. All data structures are first programmed to factory values. Some of the data structures can then be changed to application specific values at the ComCo or the OEM, while others are set to fixed values. 32.1 INSTANTIATING THE QA CHIP LOGICAL INTERFACE This sequence is performed when the QA Device is first created. Table 326 shows the data structure on final program load. Table 326. Data structure set up during final program load
Figure imgf000937_0001
Each key slot has the same Kbatoh- If each key slot had a different Kbatch . and any one of the Kbatch was compromised then the entire batch would be compromised till the Kbatch was replaced to another key. Hence, each key slot having a different Kbateh doesn't have any security advantages but requires more keys to be managed. 32.2 SETTING UP APPLICATION SPECIFIC DATA The section defines the sequences for configuring the data structures in the QA Device to application specific data. 32.2.1 Replacing keys The QA Devices are programmed with production batch keys at final program load. The COMCO keys replace the production batch keys before the QA Devices are shipped to the ComCo. The ComCo replaces the COMCO keys to COMCOJDEM when shipping QA Devices to its OEMs. The OEM replaces the COMCOJDEM to COMCOjOEMjapp as the QA Devices are placed in ink cartridges or printers. The replacement occurs without the ComCo or the OEM knowing the actual value of the key. The actual value of the keys is only to known to QACo. The ComCo or the OEM is able to perform these replacements because the QACo provides them with a key programming QA Device with keys appropriately set which can generate the necessary messages and signatures to replace the old key with the new key.
Table 327 shows the command sequence for ReplaceKey. The GetProgramKey gets the new encrypted key from the key programming QA Device, and the encrypted new key is passed into the QA Device whose key is being replaced through the ReplaceKey function. Depending on the OldKeyRef and NewKeyRef objects a common encrypted key or a variant encrypted key can be produced for the ReplaceKey function Table 327. ReplaceKey command sequence
Figure imgf000938_0001
32.2.2 Setting up Readonly data This sets the permanent functional parameters of the application where the QA Device has been placed. These parameters remain unchanged for the lifetime of the QA Device. In case of the ink0 cartridge such parameters are colour and viscosity of the ink. These values are written to M2+ memory vectors using the WriteM1+ function, and its permissions are set to Readonly by SetPerm function. These values are typically set at the OEM. Table 328 shows the command sequence for setting up Readonly data. Table 328. Readonly data setup command sequence5
Figure imgf000938_0002
Figure imgf000939_0001
In case of the SBR4320, the values written to M2+ memory vectors is write-once only i.e they are set to Readonly as soon as they are written to once, therefore the command sequence consists only of
Seq No 1 in Table 329.
32.2.3 Defining fields in 0
The QACo must determine the field definitions for M0 depending on the application of the QA
Device. These field definitions will consist of the following: Number of fields and the size of each field. The Type attribute of each field. The access permission for each field. Following fields have been presently defined in an ink QA Device: ink-remaining field. See Section 26 for details. Preauthorisation field. See Section 31.4.3 for details. Sequence data fields SEQ_1 and SEQ_2. See Section 26 for details. Following fields have been presently defined in a printer QA Device: Operating parameter field. See Section 28 for details. Sequence data fields SEQ_1 and SEQ_2. See Section 26 for details. After the field definitions are determined, they are formatted as per Section 8.1.1.4. These formatted values are then written to Mι using a WriteM1+ function. Table 329. Defining M0 fields command sequence
Figure imgf000939_0002
32.2.4 Writing values to fields in 0 The writing of Mo fields for an Ink QA Device will typically occur when the ink cartridge is filled with physical ink for the first time, and the equivalent logical ink is written to the Ink QA Device. Refer to
Section 31.7 for details.
The writing of Mo fields for a Printer QA Device will typically occur when the printer parameters are written for the first time. The procedure for writing of a printer parameter for the first time or upgrading a printer parameters is exactly the same. Refer to Section 31.5 for details.
Before any value is written to a field, the key slot containing the key which has authenticated
ReadWrite access to the field must be locked.
Both Ink QA Device and Printer QA Device has a sequence data fields SEQ_1 and SEQ_2 as described in Section 27. These two fields must be initialised to OxFFFFFFFF, refer to Section 27 for details.
The Ink QA Device/Printer QA Device and the trusted QA Device writing to it, share the sequence key or a variant sequence key between them i.e B.Knι = A.Kn2or B.Kn1 = FormKeyVariant(A.Kn2,
B.Chipld), where B is the Ink QA Device/Printer QA Device and A is the trusted QA Device. The command sequence used is described in Table 330. Table 330. Command sequence for writing sequence data fields to the QA Devices.
Figure imgf000940_0001
32.3 SETTING UP THE UPGRADING QA DEVICE The upgrading QA Device must be set up either as an Ink Refill QA Device or as a Parameter
Upgrader QA Device.
Each upgrading QA Device must go through the following set up:
• The upgrading QA Device must be set to factory defaults. Refer to Section 32.1. At the end of this process the upgrading QA Device is either an Ink Refill QA Device or a Parameter Upgrader QA Device with production batch keys and M0 fields set to deafult. • The upgrading QA Device must be programmed with the appropriate keys and upgrade data before it can start upgrading other QA Devices. Following must be performed on each upgrade QA Device: a. The upgrading QA Device must be programmed with the appropriate keys required to upgrade other QA Devices and to upgrade itself when necessary. b. The M0 fields must be correctly defined and set in M1. For a Ink Refill QA Device the ink-remaining field must be defined and set. For a printer upgrade QA Device the upgrade value field and the count-remaining field must be defined and set. All upgrade QA Devices must also have a sequence datat fields SEQ_1 and SEQ_2 which are used to upgrade the upgrading QA Device itself. c. Finally, M0 fields defined in b must be written with appropriate values so that the upgrade QA Device can perform upgrades. An Ink Refill QA Device will typically store the logical ink equivalent to the physical ink in a refill station, hence the Ink Refill QA Device's ink-remaining field must be written with the equivalent logical ink amount. For a Parameter Upgrader QA Device the upgrade value field and the count-remaining field must be written. The upgrade value depends on the type of upgrade the Parameter Upgrader QA Device can perform i.e one Parameter Upgrader QA Device can upgrade to 10 ppm (pages per minute) while another Parameter Upgrader QA Device can upgrade to 5ppm. The count- remaining is the number of times the Parameter Upgrader QA Device is permitted to write the associated upgrade value to other QA Devices. The count-remaining field must be written to a positive non-zero value for the Parameter Upgrader QA Device to perform successful upgrades. Refer to Section 32.3.1 and Section 32.3.2 for details. 32.3.1 Setting up the Ink Refill QA Device 32.3.1.1 Setting up the keys
The Ink Refill QA DeviceQA Device could be transferring ink between peers or transferring ink down the heirachy, accordingly the peer to peer Ink Refill QA Device has two keys (fill/refill key and sequence key ) as described in Section 27, and a Ink Refill QA Device transferring down the heirachy has three keys (fill/refill key, transfer key and sequence key). These keys must be programmed into the Ink Refill QA Device using the sequence described in Section 32.2.1.
The Key Programming QA Device must be programmed with the appropriate production batch keys , and the fill/refill, transfer key and sequence key
The GetProgramKey function is called on the Key Programming QA Device with OldKeyRef (OldKeyRef - refer to Section 32.2.1) pointing to a production batch key, and the NewKeyRef (NewKeyRef - refer to Section 32.2.1 ) pointing to either a fill/refill key or a transfer key or a sequence key. The outputs from the GetProgramKey (signature and encrypted New Key) is passed in to ReplaceKey function of the Ink Refill QA Device. The GetProgramKey function must be called (on the Key Programming QA Device) for replacing each of the production batch keys in the Ink Refill QA Device. The output of the GetProgramKey will be passed in to the ReplaceKey function called on the Ink Refill QA Device. The successful processing of the ReplaceKey function will replace an old key(production keys ) to a corresponding new key ( either a fill/refill key or a transfer key or a sequence key).
32.3.1.2 Setting up the M0 field information in M
The ink-remaining field and the sequence data fields SEQ l and SEQJ2 must be defined and set in the Ink Refill QA Device using the sequence described in Section 32.2.3.
32.3.1.3 Transferring ink amounts
Finally, the logical ink amounts are transferred to the ink-remaining field using the sequence described in Section 31.7.
The QACo will transfer to the ComCo Ink Refill QA Device at the top of the heirachy using the command sequence in Table 331.
For a successful transfer from QACo to ComCo, ComCo and QACo must share a common key or a variant key be i.e ComCo.Knι = QACo.Kn2or ComCo.Kn = FormKeyVariant(QACo.Kn2
,ComCo.Chipld)Knι is the fill/refill key for the ComCo refill QA Device- Table 331. Command sequence for writing ink-remaining amounts to the highest QA Device in the heirachy.
Figure imgf000942_0001
32.3.1.4 Setting up sequence data fields
The Ink Refill QA Device has sequence data fields SEQ_1 and SEQ_2 (as described in Section 27) because its ink-remaining fields can be refilled as well. These two fields must be initialised to OxFFFFFFFF, refer to Section 27 for details. The Ink Refill QA Device and the trusted QA Device writing to it, share the sequence key or a variant sequence key between them i.e B.Knι = A.Kn2or B.Knι = FormKeyVariant(A.Kn2, B.Chipld), where B is the Ink Refill QA Device and A is the trusted QA Device. The command sequence used is described in Table 331. 32.3.2 Setting up the Parameter Upgrader QA Device
32.3.2.1 Setting up the keys
The Parameter Upgrader QA Device could be transferring upgrades between peers or transferring upgrades down the heirachy, accordingly the peer to peer Parameter Upgrader QA Device has three keys (write-parameter key, fill/refill key and sequence key) as described in Section 28.6 and Section 26, and a Parameter Upgrader QA Device transferring down the heirachy has four keys (write-parameter key, fill/refill key, transfer key and sequence Key). These keys must be programmed into the Parameter Upgrader QA Device using the sequence described in Section 32.2.1. The Key Programming QA Device must be programmed with the appropriate production batch keys , and write-parameter key, fill/refill key, transfer key and sequence key
The GetProgramKey function is called on the Key Programming QA Device with OldKeyRef (OldKeyRef - refer to Section 32.2.1) pointing to a production batch key, and the NewKeyRef (NewKeyRef - refer to Section 32.2.1 ) pointing to either a write-parameter key, or a fill/refill key, or a transfer key, or a sequence key. The outputs from the GetProgramKey (signature and encrypted New Key) is passed in to ReplaceKey function of the Parameter Upgrader QA Device.
32.3.2.2 Setting up the M0 field in M1
The upgrade value field and the count-remaining field must be defined and set in the upgrade QA Device using the sequence described in Section 32.2.3.
32.3.2.3 Writing upgrade value to the upgrade field The upgrade value is written to upgrade field using the write-parameter key. The upgrade QA Device and the trusted QA Device writing to it, share the write-parameter key or a variant write- parameter key between them i.e B.Knι = A.Kn2 or B.Kn1 = FormKeyVariant(A.Kn2, B.Chipld), where B is the upgrade QA Device and A is the trusted QA Device. The command sequence used is described in Table 331. 32.3.2.4 Transferring count-remaining amounts
Finally, the logical count-remaining amounts are transferred to the count-remaining field using the sequence described in Section 31.7.
The QACo will also transfer to the ComCo's upgrade QA Device using the command sequence in Table 331. For a successful transfer from QACo to ComCo, ComCo and QACo must share a common key or a variant key be i.e ComCo.Knι = QACo.Kn2or ComCo.Knι = FormKeyVariant(QACo.Kn2 ,ComCo.Chipld). Knι is the fill/refill key for the ComCo upgrade QA Device. 32.3.2.5 Setting up sequence data fields
The Parameter Upgrader QA Device has sequence data fields SEQ_1 and SEQ_2 (as described in Section 27) because its count-remaining fields can be refilled as well. These two fields must be initialised to OxFFFFFFFF, refer to Section 27 for details. The Parameter Upgrader QA Device and the trusted QA Device writing to it, share the sequence key or a variant sequence key between them i.e B.Knι = A.Kn2or B.Knι = FoπmKeyVariant(A.Kn2, B.Chipld), where B is the Parameter Upgrader QA Device and A is the trusted QA Device. The command sequence used is described in Table 331. 32.4 SETTING UP THE KEY PROGRAMMER The key programming QA Device is set up to replace keys in other QA Devices. Each key programming QA Device must go through the following set up: • The key programming QA Device must be instantiated to factory defaults. Refer to Section 32.1. At the end of instantiation the key programming QA Device has production batch keys and no key replacement data. • The key programming QA Device must be programmed with the appropriate keys and key replacement map before it can start to replace keys in other QA Devices.
32.4.1 Setting up the keys
The key programming QA Device must be programmed with the key replacement map key. The key replacement map key is described in details in Section 24. The key programming QA Device must programmed with the old and new keys for the QA Devices it is going to perform key replacement on.
Each of the keys is set in the key programming QA Device using the sequence described in Section 32.2.1.
32.4.2 Setting up key replacement map field information First the key replacement map field information is worked out as per Section 24.1. This field information is set in M1 as per the sequence described Section 32.2.3.
32.4.3 Setting up key replacement map
Finally, the key replacement map field must be written with the valid mapping using the key replacement map key. The key programming QA Device and the trusted QA Device writing to it must share the key replacement map key or a variant of the key replacement map key between them.
For a successful write of the key replacement map B.Knι = A.Kn2or B.Kπι = FormKeyVariant(A.Kn2, B.Chipld), where B is the key replacement QA Device and A is the trusted QA Device. The command sequence used is described in Table 331. Appendix A: Field Types Table 332 lists the field types that are specifically required by the QA Chip Logical Interface and therefore apply across all applications. Additional field types are application specific, and are defined in the relevant application documentation. Table 332. Predefined Field Types
Figure imgf000945_0001
Appendix B: Key and field definition for different QA Devices B.1 PARAMETER UPGRADER QA DEVICE
B.1.1 Peer to peer QA Device Table 333. Key definitions for a peer to peer Parameter Upgrader QA Device
Figure imgf000945_0002
Table 334. Field definitions for a peer to peer Parameter Upgrader QA Device
Figure imgf000946_0001
Figure imgf000947_0001
a. Authenticated ReadWrite permission b. Non-authenticated ReadWrite permission c. KeyPerms d. This is a sample type only e. KeyNum f. Key Slot Number g.Fill/Refill key has authenticated decrement-only permission to the sequence data fields
B.1.2 Heirarchical Transfer QA Device Key definitions Table 335. Key definitions for a Parameter Upgrader QA Device (transferring down the heirachy)
Figure imgf000947_0002
Figure imgf000948_0001
Field definitions Table 336. Field definitions for Parameter Upgrader QA Device transferring down the hierachy
Figure imgf000948_0002
Figure imgf000949_0001
a. Authenticated ReadWrite permission b. Non-authenticated ReadWrite permission c. KeyPerms d. This is a sample type only e. KeyNum f. Key Slot Number g.Fill/Refill key has authenticated decrement-only permission to the sequence data fields B.2 INK REFILL QA DEVICE
B .2.1 Peer to peer QA Device Key definitions Table 337. Key definitions for a peer to peer Ink Refill QA Device
Figure imgf000950_0001
Field definitions Table 338. Field definitions for a peer to peer Ink Refill QA Device
Figure imgf000950_0002
Figure imgf000951_0001
a. Authenticated ReadWrite permission b. Non-authenticated ReadWrite permission c. Decrement-Only For Keys d. This is a sample type only e. KeyNum f. Key Slot Number g.Fill/Refill key has authenticated decrement-only permission to the sequence data fields B.2.2 Heirarchical Transfer QA Device Key definitions Table 339. Key definitions for a ink refill QA Device (transferring down the heirachy)
Figure imgf000951_0002
Field definitions Table 340. Field definitions for a Ink Refill QA Device (transferring down the heirachy)
Figure imgf000952_0001
Figure imgf000953_0001
a. Authenticated ReadWrite permission b. Non-authenticated ReadWrite permission c. KeyPerms d. This is a sample type only e. KeyNum f. Key Slot Number g.Fill/Refill key has authenticated decrement-only permission to the sequence data fields B.3 KEY PROGRAMMING QA DEVICE B.3.1 Key definitions Table 341. Key definitions for a Key Programming QA Device
Figure imgf000953_0002
Table 342. Field definitions for a key replacement QA Device
Figure imgf000954_0001
a. Authenticated ReadWrite permission b. Non-authenticated ReadWrite permission c. KeyPerms d. KeyNum
B.4 INK QA DEVICE
B.4.1 Key definitions Table 343. Key definitions for a Ink QA Device
Figure imgf000954_0002
B.4.2 Field definitions Table 344. Field definitions for a Ink QA Device
Figure imgf000955_0001
Figure imgf000956_0001
a. Authenticated ReadWrite permission b. Non-authenticated ReadWrite permission c. KeyPerms d. This is a sample type only e. KeyNum f. Key Slot Number g.Fill/Refill key has authenticated decrement-only permission to the sequence data fields
B.5 PRINTER QA DEVICE B.5.1 Key definition
Table 345. Key definitions for a Printer QA Device
Figure imgf000956_0002
PECID/SOPECID This key is used to verify the data read from the printer QA Device. This Key key is unique to each printer. Also used to translate data from the ink QA Device to the trusted printer system QA Device.
B.5.2 Field definition Table 346. Field definitions for a Printer QA Device
Figure imgf000957_0001
Figure imgf000958_0001
a. Authenticated ReadWrite permission b. Non-authenticated ReadWrite permission c. KeyPerms d. This is a sample type only e. KeyNum f. Key Slot Number g.Fill/Refill key has authenticated decrement-only permission to the sequence data fields
B.6 TRUSTED PRINTER SYSTEM QA DEVICE B.6.1 Key definition
Table 347.
Figure imgf000958_0002
INTRODUCTION
1 Background
This document describes a QA Chip that can be used to hold contains authentication keys together with circuitry specially designed to prevent copying. The chip is manufactured using a standard
Flash memory manufacturing process, and is low cost enough to be included in consumables such as ink and toner cartridges. The implementation is approximately 1 mm2 in a 0.25 micron flash process, and has an expected die manufacturing cost of approximately 10 cents in 2003.
Once programmed, the QA Chips as described here are compliant with the NSA export guidelines since they do not constitute a strong encryption device. They can therefore be practically manufactured in the USA (and exported) or anywhere else in the world.
Note that although the QA Chip is designed for use in authentication systems, it is microcoded, and can therefore be programmed for a variety of applications.
2 Nomenclature The following symbolic nomenclature is used throughout this document: Table 348. Summary of symbolic nomenclature
Figure imgf000959_0001
3 PSEUDOCODE
3.1 Asynchronous The following pseudocode: var = expression means the var signal or output is equal to the evaluation of the expression.
3.2 Synchronous The following pseudocode: var <— expression means the var register is assigned the result of evaluating the expression during this cycle.
3.3 Expression Expressions are defined using the nomenclature in Table 348 above. Therefore: var = (a = b) is interpreted as the var signal is 1 if a is equal to b, and 0 otherwise. 4 DIAGRAMS
Black lines are used to denote data, while red lines are used to denote 1-bit control-signal lines.
LOGICAL INTERFACE 5 Introduction
The QA Chip has a physical and a logical external interface. The physical interface defines how the QA Chip can be connected to a physical System, while the logical interface determines how that System can communicate with the QA Chip. This section deals with the logical interface. 5.1 OPERATING MODES
The QA Chip has four operating modes - Idle Mode, Program Mode, Trim Mode and Active Mode.
• Active Mode is entered on power-on Reset when the fuse has been blown, and whenever a specific authentication command arrives from the System. Program code is only executed in Active Mode. When the reset program code has finished, or the results of the command have been returned to the System, the chip enters Idle Mode to wait for the next instruction.
• Idle Mode is used to allow the chip to wait for the next instruction from the System.
• Trim Mode is used to determine the clock speed of the chip and to trim the frequency during the initial programming stage of the chip (when Flash memory is garbage). The clock frequency must be trimmed via Trim Mode before Program Mode is used to store the program code.
• Program Mode is used to load up the operating program code, and is required because the operating program code is stored in Flash memory instead of ROM (for security reasons).
Apart from while the QA Chip is executing Reset program code, it is always possible to interrupt the QA Chip and change from one mode to another. 5.1.1 Active Mode Active Mode is entered in any of the following three situations:
• power-on Reset when the fuse has been blown
• receiving a command consisting of a global id write byte (0x00) followed by the ActiveMode command byte (0x06)
• receiving a command consisting of a local id byte write followed by some number of bytes representing opcode and data.
In all cases, Active Mode causes execution of program code previously stored in the flash memory via Program Mode. If Active Mode is entered by power-on Reset or the global id mechanism, the QA Chip executes specific reset startup code, typically setting up the local id and other IO specific data. The reset startup code cannot be interrupted except by a power-down condition. The power-on reset startup mechanism cannot be used before the fuse has been blown since the QA Chip cannot tell whether the flash memory is valid or not. In this case the globalid mechanism must be used instead. If Active Mode is entered by the local id mechanism, the QA Chip executes specific code depending on the following bytes, which function as opcode plus data. The interpretation of the following bytes depends on whatever software happens to be stored in the QA Chip. 5.1.2 Idle Mode
The QA Chip starts up in Idle Mode when the fuse has not yet been blown, and returns to Idle Mode after the completion of another mode. When the QA Chip is in Idle Mode, it waits for a command from the master by watching the low speed serial line for an id that matches either the global id (0x00), or the chip's local id.
• If the primary id matches the global id (0x00, common to all QA Chips), and the following byte from the master is the Trim Mode id byte, and the fuse has not yet been blown, the QA Chip enters Trim Mode and starts counting the number of internal clock cycles until the next byte is received. Trim Mode cannot be entered if the fuse has been blown. • If the primary id matches the global id (0x00, common to all QA Chips), and the following byte from the master is the Program Mode id byte, and the fuse has not yet been blown, the QA Chip enters Program Mode. Program Mode cannot be entered if the fuse has been blown.
• If the primary id matches the global id (0x00, common to all QA Chips), and the following byte from the master is the Active Mode id bytes, the QA Chip enters Active Mode and executes startup code, allowing the chip to set itself into a state to subsequently receive authentication commands (includes setting a local id and a trim value).
• If the primary id matches the chip's local id, the QA Chip enters Active Mode, allowing the subsequent command to be executed. The valid 8-bit serial mode values sent after a global id are as shown in Table 349: Table 349. Command byte values to place chip in specific mode
Figure imgf000962_0001
5.1.3 Trim Mode
Trim Mode is enabled by sending a global id byte (0x00) followed by the Trim Mode command byte (OxAB). Trim Mode can only be entered while the fuse has not yet been blown.
The purpose of Trim Mode is to set the trim value (an internal register setting) of the internal ring oscillator so that Flash erasures and writes are of the correct duration. This is necessary due to the 2:1 variation of the clock speed due to process variations. If writes an erasures are too long, the Flash memory will wear out faster than desired, and in some cases can even be damaged. Note that the 2:1 variation due to temperature still remains, so the effective operating speed of the chip is
7-14 MHz around a nominal 10MHz.
Trim Mode works by measuring the number of system clock cycles that occur inside the chip from the receipt of the Trim Mode command byte until the receipt of a data byte. When the data byte is received, the data byte is copied to the trim register and the current value of the count is transmitted to the outside world.
Once the count has been transmitted, the QA Chip returns to Idle Mode.
At reset, the internal trim register setting is set to a known value r. The external user can now perform the following operations: • send the global id+write followed by the Trim Mode command byte send the 8-bit value v over a specified time t send a stop bit to signify no more data send the global id+read followed by the Trim Mode command byte receive the count c • send a stop bit to signify no more data
At the end of this procedure, the trim register will be v, and the external user will know the relationship between external time t and internal time c. Therefore a new value for v can be calculated.
The Trim Mode procedure can be repeated a number of times, varying both t and v in known ways, measuring the resultant c. At the end of the process, the final value for v is established (and stored in the trim register for subsequent use in Program Mode). This value v must also be written to the flash for later use (every time the chip is placed in Active Mode for the first time after power-up).
For more information about the internal workings of Trim Mode and the accuracy of trim in the QA
Chip, see Section 11.2 on page 994. 5.1.4 Program Mode
Program Mode is enabled by sending a global id byte (0x00) followed by the Program Mode command byte.
If the QA Chip knows already that the fuse has been blown, it simply does not enter Program Mode.
If the QA Chip does not know the state of the fuse, it determines whether or not the internal fuse has been blown by reading 32-bit word 0 of the information block of flash memory. If the fuse has been blown the remainder of data from the Program Mode command is ignored, and the QA Chip returns to Idle Mode.
If the fuse is still intact, the chip enters Program Mode and erases the entire contents of Flash memory. The QA Chip then validates the erasure. If the erasure was successful, the QA Chip receives up to 4096 bytes of data corresponding to the new program code and variable data. The bytes are transferred in order byte0 to byte4095.
Once all bytes of data have been loaded into Flash, the QA Chip returns to Idle Mode. Note that Trim Mode functionality must be performed before a chip enters Program Mode for the first time. Otherwise the erasure and write durations could be incorrect.
Once the desired number of bytes have been downloaded in Program Mode, the LSS Master must wait for 80μs (the time taken to write two bytes to flash at nybble rates) before sending the new transaction (e.g. Active Mode). Otherwise the last nybbles may not be written to flash. 5.1.5 After Manufacture
Directly after manufacture the flash memory will be invalid and the fuse will not have been blown. Therefore power-on-reset will not cause Active Mode. Trim Mode must therefore be entered first, and only after a suitable trim value is found, should Program Mode be entered to store a program. Active Mode can be entered if the program is known to be valid. LOGICAL VIEW OF CPU
6 Introduction
The QA Chip is a 32-bit microprocessor with on-board RAM for scratch storage, on-board flash for program storage, a serial interface, and specific security enhancements. The high level commands that a user of an QA Chip sees are all implemented as small programs written in the CPU instruction set.
The following sections describe the memory model, the various registers, and the instruction set of the CPU.
7 Memory Model The QA Chip has its own internal memory, broken into the following conceptual regions:
• RAM variables (3Kbits = 96 entries at 32-bits wide), used for scratch storage (e.g. HMAC- SHA1 processing).
• Flash memory (8Kbyt.es main block + 128 bytes info block) used to hold the non-volatile authentication variables (including program keys etc), and program code. Only 4 KBytes + 64 bytes is visible to the program addressing space due to shadowing. Shadowing is where half of each byte is used to validate and verify the other half, thus protecting against certain forms of physical and logical attacks. As a result, two bytes are read to obtain a single byte of data (this happens transparently). 7.1 RAM The RAM region consists of 96 x 32-bit words required for the general functioning of the QA Chip, but only during the operation of the chip. RAM is volatile memory: once power is removed, the values are lost. Note that in actual fact memory retains its value for some period of time after power- down, but cannot be considered to be available upon power-up. This has issues for security that are addressed in other sections of this document. RAM is typically used for temporary storage of variables during chip operation. Short programs can also be stored and executed from the RAM. RAM is addressed from 0 to 5F. Since RAM is in an unknown state upon a RESET (RstL), program code should not assume the contents to be 0. Program code can, however, set the RAM to be a particular known state during execution of the reset command (guaranteed to be received before any other commands). 7.2 FLASH VARIABLES
The flash memory region contains the non-volatile information in the QA Chip. Flash memory retains its value after a RESET or if power is removed, and can be expected to be unchanged when the power is next turned on.
Byte 0 of main memory is the first byte of the program run for the command dispatcher. Note that the command dispatcher is always run with shadows enabled.
Bytes 0-7 of the information block flash memory is reserved as follows:
• byte 0-3 = fuse. A value of 0x5555AAAA indicates that the fuse has been blown (think of a physical fuse whose wire is no longer intact).
• bytes 4-7 = random number used to XOR all data for RAM and flash memory accesses After power-on reset (when the fuse is blown) or upon receipt of a globalld Active command, the 32- bit data from bytes 4-7 in the information block of Flash memory is loaded into an internal ChipMask register. In Active Mode (the chip is executing program code), all data read from the flash and RAM is XORed with the ChipMask register, and all data written to the flash and RAM is XORed with the ChipMask register before being written out. This XORing happens completely transparently to the program code. Main flash memory byte 0 onward is the start of program code. Note that byte 0 onward needs to be valid after being XORed with the appropriate bytes of ChipMask. Even though CPU access is in 8-bit and 32-bit quantities, the data is actually stored in flash a nybble-at-a-time. Each nybble write is written as a byte containing 4 sets of b/-.b pairs. Thus every byte write to flash is writing a nybble to real and shadow. A write mask allows the individual targetting of nybble-at-a-time writes.
The checking of flash vs shadow flash is automatically carried out each read (each byte contains both flash and shadow flash). If all 8 bits are 1 , the byte is considered to be in its erased form1, and returns 0 as the nybble. Otherwise, the value returned for the nybble depends on the size of the overall access and the setting of bit 0 of the 8-bit WriteMask. • All 8-bit accesses (i.e. instruction and program code fetches) are checked to ensure that each byte read from flash is 4 sets of b/->b pairs. If the data is not of this form, the chip hangs until a new command is issued over the serial interface.
• With 32-bit accesses (i.e. data used by program code), each byte read from flash is checked to ensure that it is 4 sets of b/-.b pairs. A setting of WriteMask0 = 0 means that if the data is
1TSMC's flash memory has an erased state of all 1s not valid, then the chip will hang until a new command is issued over the serial interface. A setting of WriteMasko = 1 means that each invalid nybble is replaced by the upper nybble of the WriteMask. This allows recovery after a write or erasure is interrupted by a power-down. 8 Registers A number of registers are defined for use by the CPU. They are used for control, temporary storage, arithmetic functions, counting and indexing, and for I/O.
These registers do not need to be kept in non-volatile (Flash) memory. They can be read or written without the need for an erase cycle (unlike Flash memory). Temporary storage registers that contain secret information still need to be protected from physical attack by Tamper Prevention and Detection circuitry and parity checks.
All registers are cleared to 0 on a RESET. However, program code should not assume any RAM contents have any particular state, and should set up register values appropriately. In particular, at the startup entry point, the various address registers need to be set up from unknown states.
8.1 GO A 1-bit GO register is 1 when the program is executing, and 0 when it is not. Programs can clear the GO register to halt execution of program code once the command has finished executing.
8.2 ACCUMULATOR AND Z FLAG
The Accumulator is a 32-bit general-purpose register that can be thought of as the single data register. It is used as one of the inputs to all arithmetic operations, and is the register used for transferring information between memory registers.
The Z register is a 1-bit flag, and is updated each time the Accumulator is written to. The Z register contains the zero-ness of the Accumulator. Z = 1 if the last value written to the Accumulator was 0, and 0 if the last value written was non-0. Both the Accumulator and Z registers are directly accessible from the instruction set. 8.3 ADDRESS REGISTERS
8.3.1 Program Counter Array and Stack Pointer
A 12-level deep 12-bit Program Counter Array (PCA) is defined. It is indexed by a 4-bit Stack Pointer (SP). The current Program Counter (PC), containing the address of the currently executing instruction, is effectively PCA[SP]. A single register bit, PCRamSel determines whether the program is executing from flash or RAM (0 = flash, 1 = RAM).
The PC is affected by calling subroutines or returning from them, and by executing branching instructions. The SP is affected by calling subroutines or returning from them. There is no bounds checking on calling too many subroutines: the oldest entry in the execution stack will be lost. The entry point for program code is defined to be address 0 in Flash. This entry point is used whenever the master signals a new transaction. 8.3.2 A0-A3
There are 4 8-bit address registers Each register has an associated memory mode bit designating the address as in Flash (0) or RAM (1).
When an An register is pointing to an address in RAM, it holds the word number. When it is pointing to an address in Flash, it points to a set of 32-bit words that start at a 128-bit (16 byte) alignment. The A0 register has a special use of direct offset e.g. access is possible to (A0),0-7 which is the 32- bit word pointed to by A0 offset by the specified number of words.
8.3.3 WriteMask
The WriteMask register is used to determine how many nybbles will be written during a 32-bit write to Flash, and whether or not an invalid nybble will be replaced during a read from Flash.
During writes to flash, bit n (of 8) determines whether nybble n is written. The unit of writing is a nybble since half of each byte is used for shadow data. A setting of OxFF means that all 32-bits will be written to flash (as 8 sets of nybble writes).
During 32-bit reads from flash (occurs as 8 reads), the value of WriteMask0 is used to determine whether a read of invalid data is replaced by the upper nybble of WriteMask. If 0, a read of invalid data is not replaced, and the chip hangs until a new command is issued over the serial interface. If
1 , a read of invalid data is replaced by the upper nybble of the WriteMask.
Thus a WriteMask setting of 0 (reset setting) means that no writes will occur to flash, and all reads are not replaced (causing the program to hang if an invalid value is encountered). 8.4 COUNTERS
A number of special purpose counters/index registers are defined: Table 350. Counter/Index registers
Figure imgf000967_0001
All these counter registers are directly accessible from the instruction set. Special instructions exist to load them with specific values, and other instructions exist to decrement or increment them, or to branch depending on the whether or not the specific counter is zero.
There are also 2 special flags (not registers) associated with C1 and C2, and these flags hold the zero-ness of C1 or C2. The flags are used for loop control, and are listed here, for although they are not registers, they can be tested like registers. Table 351. Flags for testing C1 and C2
Figure imgf000968_0001
8.5 RTMP The single bit register RTMP allows the implementation of LFSRs and multiple precision shift registers.
During a rotate right (ROR) instruction with operand of RB, the bit shifted out (formally bit 0) is written to the RTMP register. The bit currently in the RTMP register becomes the new bit 31 of the Accumulator. Performing multiple ROR RB commands over several 32-bit values implements a multiple precision rotate/shift right.
The XRB operand operates in the same way as RB, in that the current value in the RTMP register becomes the new bit 31 of the Accumulator. However with the XRB instruction, the bit formally known as bit 0 does not simply replace RTMP (as in the RB instruction). Instead, it is XORed with RTMP, and the result stored in RTMP, thereby allowing the implementation of long LFSRs. 8.6 REGISTERS USED FOR I/O
Several registers are defined for communication between the master and the QA Chip. These registers are Localld, InByte and OutByte.
Localld (7 bits) defines the chip-specific id that this particular QA Chip will accept commands for. InByte (8 bits) provides the means for the QA Chip to obtain the next byte from the master. OutByte (8 bits) provides the means for the QA Chip to send a byte of data to the master. From the QA Chip's point of view:
• Reads from InByte will hang until there is 1 byte of data present from the master.
• Writes to OutByte will hang if the master has not already consumed the last OutByte.
When the master begins a new command transaction, any existing data in InByte and OutByte is lost, and the PC is reset to the entry point in the code, thus ensuring correct framing of data.
8.7 REGISTERS USED FOR TRIMMING CLOCK SPEED
A single 8-bit Trim register is used to trim the ring oscillaor clock speed. The register has a known value of 0x00 during reset to ensure that reads from flash will succeed at the fastest process corners, and can be set in one of two ways: • via Trim Mode, which is necessary before the QA Chip is programmed for the first time; or
• via the CPU, which is necessary every time the QA Chip is powered up before any flash write or erasure accesses can be carried out. 8.8 REGISTERS USED FOR TESTING FLASH
There are a number of registers specifically for testing the flash implementation. A single 32-bit write to an appropriate RAM address allows the setting of any combination of these flash test registers.
RAM consists of 96 x 32-bit words, and can be pointed to by any of the standard An address registers. A write to a RAM address in the range 97-127 does nothing with the RAM (reads return
0), but a write to a RAM address in the range 0x80-0x87 will write to specific groupings of registers according to the low 3 bits of the RAM address. A 1 in the address bit means the appropriate part of the 32-bit Accumulator value will be written to the appropriate flash test registers. A 0 in the address bit means the register bits will be unaffected.
The registers and address bit groupings are listed in Table 352: Table 352. Flash test registers settable from CPU in RAM address range 0x80- 0x872
Figure imgf000969_0001
This is from the programmer's perspective. Addresses sent from the CPU are byte aligned, so the MRU needs to test bit n+2. Similarly, checking DRAM address > 128 means testing bit 7 of the address in the CPU, and bit 9 in the MRU. unshadowed shadowed
Figure imgf000970_0001
When none of the address register bits 0-2 are set (e.g. a write to RAM address 0x80), then invalid writes will clear the illChip and retryCount registers.
For example, set the A0 register to be 0x80 in RAM. A write to (A0),0 will write to none of the flash test registers, but will clear the illChip and retryCount registers. A write to (A0),7 will write to all of the flash test registers. A write to (A0),2 will write to the enableFlashTest and flashTest registers only. A write to (A0),4 will write to the flashTime and timerSel registers etc.
Finally, a write to address 0x88 in RAM will cause a device erasure. If infoBlockSel is 0, then the device erasure will only be of main memory. If infoBlockSel is 1 , then the device erasure is of both main memory and the information block (which will also clear the ChipMask and the Fuse). Reads of invalid RAM areas will reveal information as follows:
• all invalid addresses in RAM (e.g. 0x80) will return the illChip flag in the low bit (illChip is set whenever 16 consecutive bad reads occur for a single byte in memory)
• all invalid addresses in RAM with the low address bit set (e.g. 0x81., or (A0),1 when A0 holds 0x80), will additionally return the most recent retryCount setting (only updated by the chip when a bad read occurs), i.e. bit 0 = illChip, bits 4-1 = retryCount. 8.9 REGISTER SUMMARY Table 353 provides a summary of the registers used in the CPU. Table 353. Register summary
Figure imgf000971_0001
8.10 STARTUP
Whenever the chip is powered up, or receives a 'write' command over the serial interface, the PC and PCRamSel get set to 0 and execution begins at 0 in Flash memory. The program (starting at 0) needs to determine how the program was started by reading the InByte register.
If the first byte read is OxFF, the chip is being requested to perform software reset tasks. Execution of software reset can only be interrupted by a power down. The reset tasks include setting up RAM to contain known startup state information, setting up Trim and locallD registers etc. The CPU signals that it is now ready to receive commands from an external device by writing to the OutByte register.
An external Master is able to read the OutByte (and any further outbytes that the CPU decides to send) if it so wishes by a read using the localld. Otherwise the first byte read will be of the form where the least significant bit is 0, and bits 7-1 contain the localld of the device as read over the serial interface. This byte is usually discarded since it nominally only has a value of differentiation against a software reset request. The second and subsequent bytes contain the data message of a write using the localld. The CPU can prevent interruption during execution by writing 0 to the localld and then restoring the desired localld at the later stage.
9 Instruction Set
The CPU operates on 8-bit instructions and typically on 32-bit data items. Each instruction typically consists of an opcode and operand, although the number of bits allocated to opcode and operand varies between instructions.
9.1 BASIC OPCODES (SUMMARY) The opcodes are summarized in Table 354: Table 354. Opcode bit pattern map
Figure imgf000972_0001
Figure imgf000973_0001
Table 355 is a summary of valid operands for each opcode. The table is ordered alphabetically by opcode mnemonic. The binary value for each operand can be found in the subsequent sections. Table 355. Valid operands for opcodes
Figure imgf000973_0002
immediate form of instruction
Figure imgf000974_0001
Additional pseduo-opcodes (for programming convenience) are as follows: DEC=ADD OxFF.. INC= ADD 0x01 NOT=XOR 0xFF.. LDZ = LD 0 SC {C1 , C2}, Ace = ROR {C1 , C2} RD = ROR Inbyte WR = ROR OutByte LDMASK = ROR WriteMask LDID = ROR Id NOP = XOR 0
9.2 ADDRESSING MODES The CPU supports a set of addressing modes as follows: • immediate • accumulator indirect • indirect fixed • indirect indexed
9.2.1 Immediate In this form of addressing, the operand itself supplies the 32-bit data. Immediate addressing relies on 3 bits of operand, plus an optional 8 bits at PC+1 to determine an 8- bit base value. Bits 0 to 1 of the opcode byte determine whether the base value comes from the opcode byte itself, or from PC+1, as shown in Table 356. Table 356. Selection for base value in immediate mode
Figure imgf000975_0001
The base value is computed by using CMDo as bit 0, and copying CMDi into the upper 7 bits.
The resultant 8 bit base value is then used as a 32-bit value, with 0s in the upper 24 bits, or the 8-bit value is replicated into the upper 32 bits. The selection is determined by bit 2 of the opcode byte, as follows: Table 357. Replicate bits selection
Figure imgf000975_0002
Opcodes that support immediate addressing are LD, ADD, XOR, AND, OR. The SC and LIA instructions are also immediate in that they store the data with the opcode, but they are not in the same form as that described here. See the detail on the individual instructions for more information. Single byte examples include: LD 0 ADD 1 • ADD OxFF... # this subtracts 1 from the ace
• XOR OxFF... # this performs an effective logical NOT operation Double byte examples include:
• LD 0x05 # a constant
• AND 0x0 F # isolates the lower nybble • LD 0x36... # useful for HMAC processing
9.2.2 Accumulator indirect
In this form of addressing, the Accumulator holds the effective address. Opcodes that support Accumulator indirect addressing are JPl, JSI and ERA. In the case of JPl and JSI, the Accumulator holds the address to jump to. In the case of ERA, the Accumulator holds the address of the page in flash memory to be erased. Examples include: • JPl • JSI ERA
9.2.3 Indirect fixed
In this form of addressing, address register AO is used as a base address, and then a specific fixed offset is added to the base address to give the effective address.
Bits 2-0 of the opcode byte specify the fixed offset from A0, which means the fixed offset has a range of 0 to 7.
Opcodes that support indirect indexed addressing are LD, ST, ADD, XOR, AND, OR. Examples include: • LD (A0), 2 • ADD (AO), 3 • AND (A0), 4 • ST (A0), 7
9.2.4 Indirect indexed In this form of addressing, an address register is used as a base address, and then an index register is used to offset from that base address to give the effective address. The address register is one of 4, and is selected via bits 2-1 of the opcode byte as follows: Table 358. Address register selection
Figure imgf000976_0001
Bit 0 of the opcode byte selects whether index register C1 or C2 is used: The counter is selected as follows: Table 359. Interpretation of counter for DBR
Figure imgf000977_0001
Opcodes that support indirect indexed addressing are LD, ST, ADD, XOR. Examples include: • LD (A2), C1 • ADD (A1 ), C1 • ST (A3), C2 Since C1 and C2 can only deeement, processing of data structures typically works by loading Cn with some number n and decrementing to 0. Thus (Ax),n is the first word accessed, and (Ax),0 is the last 32-bit word accessed in the loop. 9.3 ADD - ADD TO ACCUMULATOR Mnemonic: ADD Opcode: lOlOxxxx, and lllOlxxx Usage: ADD effective-address, or ADD immediate-value The ADD instruction adds the specified 32-bit value to the Accumulator via modulo 232 addition. The iiioixxx form of the opcode follows the immediate addressing rules (see Section 9.2.1 on page 973). The lOlOxxxx form of the opcode defines an effective address as follows: Table 360: Interpretation of operand for ADD (101 Oxxxx)
Figure imgf000977_0002
The Z flag is also set during this operation, depending on whether the result (loaded into the Accumulator) is zero or not. 9.4 AND - BITWISE AND Mnemonic: AND Opcode: lOOOOxxx, and lioioxxx Usage: AND effective-address, or AND immediate-value The AND instruction performs a 32-bit bitwise AND operation on the Accumulator. The noioxxx form of the opcode follows the immediate addressing rules (see Section 9.2.1 on page 973). The 10 o o θχχχ form of the opcode follows the indirect fixed addressing rules (see Section 9.2.3 on page 975).
The Z flag is also set during this operation, depending on whether the resultant 32-bit value (loaded into the Accumulator) is zero or not.
9.5 DBR - DECREMENT AND BRANCH Mnemonic: DBR Opcode: ooiixxxx Usage: DBR Counter, Offset This instruction provides the mechanism for building simple loops. The counter is selected from bit 0 of the opcode byte as follows: Table 361. Interpretation of counter for DBR
Figure imgf000978_0001
If the specified counter is non-zero, then the counter is decremented and the designated offset is added to the current instruction address (PC for 1-byte instructions, PC+1 for 2-byte instructions). If the specified counter is zero, it is decremented (all bits in the counter become set) and processing continues at the next instruction (PC+1 or PC+2). The designated offset will typically be negative for use in loops. The instruction is either 1 or two bytes, as determined by bits 3-1 of the opcode byte:
• If bits 3-1 = 000, the instruction consumes 2 bytes. The 8 bits at PC+1 are treated as a signed number and used as the offset amount. Thus OxFF is treated as -1, and 0x01 is treated as +1.
• If bits 3-1 ≠ 000, the instruction consumes 1 byte. Bits 3-1 are treated as a negative number (the sign bit is implied) and used as the offset amount. Thus 111 is treated as -1 , and 001 is treated as -7. This is useful for small loops.
The effect is that if the branch is back 1-7 bytes (1 byte is not particularly useful), then the single byte form of the instruction can be used. If the branch is forward, or backward more than 7 bytes, then the 2-byte instruction is required. 9.6 ERA - ERASE Mnemonic: ERA Opcode: oinoooi Usage: ERA
This instruction causes an erasure of the 256-byte page of flash memory pointed to by the Accumulator. The Accumulator is assumed to contain an 8-bit pointer to a 128-bit (16 byte) aligned structure (same structure as the address registers). The page number to be erased comes from bits 7-4, and the lower 4 bits are ignored.
Note that the size of the flash memory page being erased is actually 512 bytes, but in terms of data storage and addressing from the point of view of the CPU, there is only 256 bytes in the page. 9.7 HALT - HALT CPU OPERATION Mnemonic: HALT Opcode: omoioi Usage: HALT
The HALT instruction writes a 0 to the internal GO register, thereby causing the CPU to terminate the currently executing program. The CPU will only be restarted with a new localld transaction from the Master or by a globalld plus Active Mode byte. 9.8 JMP - JUMP Mnemonic: JMP Opcode: ooooxxxx Usage: JMP effective-address
The JMP instruction provides for a method of branching to a specified address. The instruction loads the PC with the effective address.
The new PC is loaded as follows: bits 11-8 are obtained from bits 3-0 of the JMP opcode byte, and bits 7-0 are obtained from PC+1. 9.9 JPl - JUMP INDIRECT Mnemonic: JPl Opcode: onoooii Usage: JPl
The JPl instruction loads the PC with the lower 12 bits of the Accumulator, and sets the PCRamSel register with bit 15 of the Accumulator. Note that the stack is unaffected (unlike JSI).
9.10 JPZ - JUMP TO ZERO Mnemonic: JPZ Opcode: onoooio Usage: JPZ The JPZ instruction loads the PC and PCRamSel with 0, thereby causing a jump to address 0 in Flash memory.
Programmers will not typically use the JPZ command. However the CPU executes this instruction whenever a new command arrives over the serial interface, so that the code entry point is known i.e. every time the chip receives a new command, execution begins at address 0 in flash. This does not change the status of any other internal register settings (e.g. the flash test registers).
9.11 JSI - JUMP SUBROUTINE INDIRECT Mnemonic: JSI Opcode: omooii Usage: JSI
The JSI instruction allows the jumping to a subroutine whose address is obtained from the Accumulator. The instruction pushes the current PC onto the stack, loads the PC with the lower 12 bits of the Accumulator, and sets the PCRamSel register with bit 15 of the Accumulator.
The stack provides for 12 levels of execution (11 subroutines deep). It is the responsibility of the programmer to ensure that this depth is not exceeded or the deepest return value will be overwritten (since the stack wraps). Programs can take advantage of the fact that the stack wraps. 9.12 JSR - JUMP SUBROUTINE Mnemonic: JSR Opcode: o o o lxxxx Usage: JSR effective-address
The JSR instruction provides for the most common usage of the subroutine construct. The instruction pushes the current PC onto the stack, and loads the PC with the effective address. The new PC is loaded as follows: bits 11 -8 are obtained from bits 3-0 of the JSR opcode byte, and bits 7-0 are obtained from PC+1.
The stack provides for 12 levels of execution (11 subroutines deep). It is the responsibility of the programmer to ensure that this depth is not exceeded or the return value will be overwritten (since the stack wraps). Programs can take advantage of the fact that the stack wraps. 9.13 JSZ - JUMP TO SUBROUTINE AT ZERO Mnemonic: JSZ Opcode: omooio Usage: JSZ
The JSZ instruction jumps to the subroutine at flash address 0 (i.e. it pushes the current PC onto the stack, and loads the PC and PCRamSel with 0).
Programmers will not typically use the JSZ command. It exists merely as a result of opcode decoding minimization and can be used to assist with the testing of the chip. 9.14 LD - LOAD ACCUMULATOR Mnemonic: LD Opcode: lOllxxxx, and llllOxxx Usage: LD effective-address, or LD immediate-value
The LD instruction loads the Accumulator with the 32-bit value.
The linoxxx form of the opcode follows the immediate addressing rules (see Section 9.2.1 on page 973). The lOllxxxx form of the opcode defines an effective address as follows: Table 362. Interpretation of operand for LD (1011xxxx)
Figure imgf000981_0001
The Z flag is also set during this operation, depending on whether the value loaded into the
Accumulator is zero or not.
9.15 LIA - LOAD IMMEDIATE ADDRESS Mnemonic: LIA Opcode: oiiiixxx Usage: LIAF AddressRegister, Value # for flash addresses LIAR AddressRegister, Value # for ram addresses The LIA instruction transfers the data from PC+1 into the designated address register (A0-A3), and sets the memory mode bit for that address register. Bit 0 specifies whether the address is in flash or ram, as follows: Table 363. Interpretation of memory mode for LIA
Figure imgf000981_0002
The address register to be targetted is selected via bits 2-1 of the instruction. 9.16 OR - BITWISE OR Mnemonic: OR Opcode: lOO Olxxx, and lioilxxx Usage: OR effective-address, or OR immediate-value
The OR instruction performs a 32-bit bitwise OR operation on the Accumulator. The lioilxxx form of the opcode follows the immediate addressing rules (see Section 9.2.1 on page 973). The loooixxx form of the opcode follows the indirect fixed addressing rules (see Section 9.2.3 on page 975).
The Z flag is also set during this operation, depending on whether the resultant 32-bit value (loaded into the Accumulator) is zero or not. 9.17 RIA - ROTATE IN ADDRESS Mnemonic: RIA Opcode: miixxx Usage: RIAF AddressRegister # for flash addresses RIAR AddressRegister # for ram addresses The RIA instruction transfers the lower 8 bits of the Accumulator into the designated address register (A0-A3), sets the memory mode bit for that address register, and rotates the Accumulator right by 8 bits.
Bit 0 specifies whether the address is in flash or ram, as follows: Table 364. Interpretation of memory mode for RIA
Figure imgf000982_0001
The address register to be targetted is selected via bits 2-1 of the instruction. 9.18 ROR - ROTATE RIGHT Mnemonic: ROR Opcode: liooxxxx Usage: ROR Value
The ROR instruction provides a way of rotating the Accumulator right a set number of bits. The bit(s) coming in at the top of the Accumulator (to become bit 31 ) can either come from the previous lower bits of the Accumulator, from the serial connection, or from external flags. The bit(s) rotated out can also be output from the serial connection, or combined with an external flag. The allowed operands are as follows: Table 365. Interpretation of operand for ROR
Figure imgf000982_0002
Figure imgf000983_0001
The Z flag is also set during this operation, depending on whether resultant 32-bit value (loaded into the Accumulator) is zero or not. In its simplest form, the operand for the ROR instruction is one of 1, 3, 8, 24, 31, indicating how many bit positions the Accumulator should be rotated. For these operands, there is no external input or output - the bits of the Accumulator are merely rotated right. Note that these values are the equivalent to rotating left 31, 29, 24, 8, 1 bit positions.
With operand WriteMask, the lower 8 bits of the Accumulator are transferred to the WriteMask register, and the Accumulator is rotated right by 1 bit. This conveniently allows successive nybbles to be masked during Flash writes if the Accumulator has been preloaded with an appropriate value (eg 0x01).
With operands C1 and C2, the lower appropriate number of bits of the Accumulator (3 for C1, 6 for C2) are transferred to the C1 or C2 register and the lower 6 bits of the Accumulator are loaded with the previous value of the Cn register. The remaining upper bits of the Accumulator are set as follows: bit 31-24 are copied from previous bits 7-0, and bits 23-6 are copied from previous bits 31-14
(effectively junk). As a result, the Accumulator should be subsequently masked if the programmer wants to compare for specific values).
With operand ID, the 7 low-order bits are transferred from the Accumulator to the Localld register, the low-order 8 bits of the Accumulator are copied to the Trim register if the Trim register has not already been written to after power-on reset, and the Accumulator is rotated right by 8 bits. This means that the ROR ID instruction needs to be performed twice, typically during Global Active Mode - once to set Trim, and once to set Localld. Note there is no way to read the contents of the localld or Trim registers directly. However the Localld sent to the program for a command is available as bits 7-1 of the first byte obtained from InByte after program startup. With operand InByte, the next serial input byte is transferred to the highest 8 bits of the Accumulator. The InByteValid bit is also cleared. If there is no input byte available from the client yet, execution is suspended until there is one. The remainder of the Accumulator is shifted right 8 bit positions (bit31 becomes bit 23 etc.), with lowest bits of the Accumulator shifted out. With operand OutByte, the Accumulator is shifted right 8 bit positions. The byte shifted out from bits 7-0 is stored in the OutByte register and the OutByteValid flag is set. It is therefore ready for a client to read. If the OutByteValid flag is already set, execution of the instruction stalls until the OutByteValid flag cleared (when the OutByte byte has been read by the client). The new data shifted in to the upper 8 bits of the Accumulator is what was transferred to the OutByte register (i.e. from the Accumulator). Finally, the RB and XRB operands allow the implementation of LFSRs and multiple precision shift registers. With RB, the bit shifted out (formally bit 0) is written to the RTMP register. The register currently in the RTMP register becomes the new bit 31 of the Accumulator. Performing multiple ROR RB commands over several 32-bit values implements a multiple precision rotate/shift right. The XRB operates in the same way as RB, in that the current value in the RTMP register becomes the new bit 31 of the Accumulator. However with the XRB instruction, the bit formally known as bit 0 does not simply replace RTMP (as in the RB instruction). Instead, it is XORed with RTMP, and the result stored in RTMP. This allows the implementation of long LFSRs, as required by the authentication protocol. 9.19 RTS - RETURN FROM SUBROUTINE Mnemonic: RTS Opcode: oinoioo Usage: RTS
The RTS instruction pulls the saved PC from the stack, adds 1 , and resumes execution at the resultant address. The effect is to cause execution to resume at the instruction after the most recently executed JSR or JSI instruction.
Although 12 levels of execution are provided for (11 subroutines), it is the responsibility of the programmer to balance each JSR and JSI instruction with an RTS. A RTS executed with no previous JSR will cause execution to begin at whatever address happens to be pulled from the stack. Of course this may be desired behaviour in specific circumstances. 9.20 SC - SET COUNTER Mnemonic: SC Opcode: oiooxxxx Usage: SC Counter Value
The SC instruction is used to transfer a 3-bit Value into the specified counter. The operand determines which of counters C1 and C2 is to be loaded as well as the value to be loaded. Value is stored in bits 3-1 of the 8-bit opcode, and the counter is specified by bit 0 as follows: Table 366. Interpretation of counter for SC
Figure imgf000984_0001
Since counter C1 is 3 bits, Value is copied directly into C1.
For counter C2, C22-o are copied to C2s-3, and Value is copied to C22-o. Two SC C2 instructions are therefore required to load C2 with a given 6-bit value. For example, to load C2 with OxOC, we would have SC C2 1 followed by SC C24.
9.21 ST - STORE ACCUMULATOR Mnemonic: ST Opcode: oioixxxx Usage: ST effective-address
The ST instruction stores the 32-bit Accumulator at the effective address. The effective address is determined as follows: Table 367. Interpretation of operand for ST (0101xxxx)
Figure imgf000985_0001
If the effective address in Flash memory, only those nybbles whose corresponding WriteMask bit is set will be written to Flash. Programmers should be very aware of flash characteristics (write time, longevity, page size etc. when storing data in flash).
There is always the possibility that power could be removed during a write to Flash. If this occurs, the flash will be in an indeterminate state. If the QA Chip is warned by the external system that power is about to be removed (via the master causing a transition to Idle Mode), the write will be aborted cleanly at the nearest nybble boundary (writes occur in the order of least significant to most significant).
9.22 TBR - TEST AND BRANCH Mnemonic: TBR Opcode: ooioxxxx Usage: TBR Value Offset
The Test and Branch instruction tests the status of the Z flag (the zero-ness of the Accumulator), and then branches if a match ocurs.
The zero-ness is selected from bit 0 of the opcode byte as follows: Table 368. Interpretation of zero-ness for TBR
Figure imgf000986_0001
If the specified zero-test matches, then the designated offset is added to the current instruction address (PC for 1-byte instructions, PC+1 for 2-byte instructions). If the zero-test does not match, processing continues at the next instruction (PC+1 or PC+2). The instruction is either 1 or two bytes, as determined by bits 3-1 of the opcode byte:
• If bits 3-1 = 000, the instruction consumes 2 bytes. The 8 bits at PC+1 are treated as a signed number and used as the offset amount to be added to PC+1. Thus OxFF is treated as -1, and 0x01 is treated as +1.
• If bits 3-1 ≠ 000, the instruction consumes 1 byte. Bits 3-1 are treated as a positive number (the sign bit is implied) and used as the offset amount to be added to PC. Thus 111 is treated as 7, and 001 is treated as 1. This is useful for skipping over a small number of instructions.
The effect is that if the branch is forward 1-7 bytes (1 byte is not particularly useful), then the single byte form of the instruction can be used. If the branch is backward, or forward more than 7 bytes, then the 2-byte instruction is required. 9.23 XOR - BITWISE EXCLUSIVE OR Mnemonic: XOR Opcode: lOOlxxxx, and lllOOxxx Usage: XOR effective-address, or XOR immediate-value
The XOR instruction performs a 32-bit bitwise XOR operation on the Accumulator. The llio Oxxx form of the opcode follows the immediate addressing rules (see Section 9.2.1 on page 973). The lOOlxxxx form of the opcode has an effective address as follows: Table 369. Interpretation of operand for XOR (1001xxxx)
Figure imgf000986_0002
The Z flag is also set during this operation, depending on whether the result (loaded into the Accumulator) is zero or not. IMPLEMENTATION
10 Introduction
This chapter provides the high-level definition of a CPU capable of implementing the functionality required of an QA Chip.
10.1 PHYSICAL INTERFACE
10.1.1 Pin connections
The pin connections are described in Table 370. Table 370. Pin connections to QA Chip
Figure imgf000987_0001
The system operating clock SysClk is different to SCIk. SysClk is derived from an internal ring oscillator based on the process technology. In the FPGA implementation SysClk is obtained via a 5th pin. 10.1.2 Size and cost
The QA Chip uses a 0.25 μm CMOS Flash process for an area of 1mm2 yielding a 10 cent manufacturing cost in 2002. A breakdown of area is listed in Table 371. Table 371. Breakdown of Area for QA Chip
Figure imgf000987_0002
Note that there is no specific test circuitry (scan chains or BIST) within the QA Chip (see Section 10.3.10 on page 992), so the total transistor count is as shown in Table 371. 10.1.3 Reset The chip performs a RESET upon power-up. In addition, tamper detection and prevention circuitry in the chip will cause the chip to either RESET or erase Flash memory (depending on the attack detected) if an attack is detected. 10.2 OPERATING SPEED
The base operating system clock SysClk is generated internally from a ring oscillator (process dependant). Since the frequency varies with operating temperature and voltage, the clock is passed through a temperature-based clock filter before use (see Section 10.3.3 on page 988). The frequency is built into the chip during manufacture, and cannot be changed. The frequency is in the range 7-14 MHz.
10.3 GENERAL MANUFACTURING COMMENTS
Manufacturing comments are not normally made when normally describing the architecture of a chip. However, in the case of the QA Chip, the physical implementation of the chip is very much tied to the security of the key. Consequently a number of specialized circuits and components are necessary for implementation of the QA Chip. They are listed here. Flash process Internal randomized clock Temperature based clock filter Noise generator • Tamper Prevention and Detection circuitry Protected memory with tamper detection Boot-strap circuitry for loading program code Data connections in polysilicon layers where possible OverUnderPower Detection Unit • No scan-chains or BIST 10.3.1 Flash process
The QA Chip is implemented with a standard Flash manufacturing process. It is important that a Flash process be used to ensure that good endurance is achieved (parts of the Flash memory can be erased/written many times). 10.3.2 Internal randomized clock
To prevent clock glitching and external clock-based attacks, the operating clock of the chip should be generated internally. This can be conveniently accomplished by an internal ring oscillator. The length of the ring depends on the process used for manufacturing the chip. Due to process and temperature variations, the clock needs to be trimmed to bring it into a range usable for timing of Flash memory writes and erases.
The internal clock should also contain a small amount of randomization to prevent attacks where light emissions from switching events are captured, as described below. Finally, the generated clock must be passed through a temperature-based clock filter before being used by the rest of the chip (see Section 10.3.3 on page 988).
The normal situation for FET implementation for the case of a CMOS inverter (which involves a pMOS transistor combined with an nMOS transistor) as shown in Figure 353. During the transition, there is a small period of time where both the nMOS transistor and the pMOS transistor have an intermediate resistance. The resultant power-ground short circuit causes a temporary increase in the current, and in fact accounts for around 20% of current consumed by a CMOS device. A small amount of infrared light is emitted during the short circuit, and can be viewed through the silicon substrate (silicon is transparent to infrared light). A small amount of light is also emitted during the charging and discharging of the transistor gate capacitance and transmission line capacitance.
For circuitry that manipulates secret key information, such information must be kept hidden. Fortunately, IBM's PICA system and LVP (laser voltage probe) both have a requirement for repeatability due to the fact that the photo emissions are extremely weak (one photon requires more than 105 switching events). PICA requires around 109 pases to build a picture of the optical waveform. Similarly the LVP requires multiple passes to ensure an adequate SNR. Randomizing the clock stops repeatability (from the point of view of collecting information about the same position in time), and therefore reduces the possibility of this attack.
10.3.3 Temperature based clock filter The QA Chip circuitry is designed to operate within a specific clock speed range. Although the clock is generated by an internal ring oscillator, the speed varies with temperature and power. Since the user supplies the temperature and power, it is possible for an attacker to attempt to introduce race- conditions in the circuitry at specific times during processing. An example of this is where a low temperature causes a clock speed higher than the circuitry is designed for, and this may prevent an XOR from working properly, and of the two inputs, the first may always be returned. These styles of transient fault attacks are documented further in [1]. The lesson to be learned from this is that the input power and operating temperature cannot be trusted.
Since the chip contains a specific power filter, we must also filter the clock. This can be achieved with a temperature sensor that allows the clock pulses through only when the temperature range is such that the chip can function correctly.
The filtered clock signal would be further divided internally as required.
10.3.4 Noise Generator
Each QA Chip should contain a noise generator that generates continuous circuit noise. The noise will interfere with other electromagnetic emissions from the chip's regular activities and add noise to the ldd signal. Placement of the noise generator is not an issue on an QA Chip due to the length of the emission wavelengths. The noise generator is used to generate electronic noise, multiple state changes each clock cycle, and as a source of pseudo-random bits for the Tamper Prevention and Detection circuitry (see Section 10.3.5 on page 989).
A simple implementation of a noise generator is a 64-bit maximal period LFSR seeded with a non- zero number.
10.3.5 Tamper Prevention and Detection circuitry
A set of circuits is required to test for and prevent physical attacks on the QA Chip. However what is actually detected as an attack may not be an intentional physical attack. It is therefore important to distinguish between these two types of attacks in an QA Chip: • where you can be certain that a physical attack has occurred.
• where you cannot be certain that a physical attack has occurred.
The two types of detection differ in what is performed as a result of the detection. In the first case, where the circuitry can be certain that a true physical attack has occurred, erasure of flash memory key information is a sensible action. In the second case, where the circuitry cannot be sure if an attack has occurred, there is still certainly something wrong. Action must be taken, but the action should not be the erasure of secret key information. A suitable action to take in the second case is a chip RESET. If what was detected was an attack that has permanently damaged the chip, the same conditions will occur next time and the chip will RESET again. If, on the other hand, what was detected was part of the normal operating environment of the chip, a RESET will not harm the key. A good example of an event that circuitry cannot have knowledge about, is a power glitch. The glitch may be an intentional attack, attempting to reveal information about the key. It may, however, be the result of a faulty connection, or simply the start of a power-down sequence. It is therefore best to only RESET the chip, and not erase the key. If the chip was powering down, nothing is lost. If the System is faulty, repeated RESETs will cause the consumer to get the System repaired. In both cases the consumable is still intact.
A good example of an event that circuitry can have knowledge about, is the cutting of a data line within the chip. If this attack is somehow detected, it could only be a result of a faulty chip (manufacturing defect) or an attack. In either case, the erasure of the secret information is a sensible step to take. Consequently each QA Chip should have 2 Tamper Detection Lines - one for definite attacks, and one for possible attacks. Connected to these Tamper Detection Lines would be a number of Tamper Detection test units, each testing for different forms of tampering. In addition, we want to ensure that the Tamper Detection Lines and Circuits themselves cannot also be tampered with. At one end of the Tamper Detection Line is a source of pseudo-random bits (clocking at high speed compared to the general operating circuitry). The Noise Generator circuit described above is an adequate source. The generated bits pass through two different paths - one carries the original data, and the other carries the inverse of the data. The wires carrying these bits are in the layer above the general chip circuitry (for example, the memory, the key manipulation circuitry etc.). The wires must also cover the random bit generator. The bits are recombined at a number of places via an XOR gate. If the bits are different (they should be), a 1 is output, and used by the particular unit (for example, each output bit from a memory read should be ANDed with this bit value). The lines finally come together at the Flash memory Erase circuit, where a complete erasure is triggered by a 0 from the XOR. Attached to the line is a number of triggers, each detecting a physical attack on the chip. Each trigger has an oversize nMOS transistor attached to GND. The Tamper Detection Line physically goes through this nMOS transistor. If the test fails, the trigger causes the Tamper Detect Line to become 0. The XOR test will therefore fail on either this clock cycle or the next one (on average), thus RESETing or erasing the chip.
Figure 349 illustrates the basic principle of a Tamper Detection Line in terms of tests and the XOR connected to either the Erase or RESET circuitry.
The Tamper Detection Line must go through the drain of an output transistor for each test, as illustrated by Figure 350. It is not possible to break the Tamper Detect Line since this would stop the flow of 1s and 0s from the random source. The XOR tests would therefore fail. As the Tamper Detect Line physically passes through each test, it is not possible to eliminate any particular test without breaking the Tamper Detect Line. It is important that the XORs take values from a variety of places along the Tamper Detect Lines in order to reduce the chances of an attack. Figure 351 illustrates the taking of multiple XORs from the Tamper Detect Line to be used in the different parts of the chip. Each of these XORs can be considered to be generating a ChipOK bit that can be used within each unit or sub-unit. A typical usage would be to have an OK bit in each unit that is ANDed with a given ChipOK bit each cycle. The OK bit is loaded with 1 on a RESET. If OK is 0, that unit will fail until the next RESET. If the Tamper Detect Line is functioning correctly, the chip will either RESET or erase all key information. If the RESET or erase circuitry has been destroyed, then this unit will not function, thus thwarting an attacker.
The destination of the RESET and Erase line and associated circuitry is very context sensitive. It needs to be protected in much the same way as the individual tamper tests. There is no point generating a RESET pulse if the attacker can simply cut the wire leading to the RESET circuitry. The actual implementation will depend very much on what is to be cleared at RESET, and how those items are cleared.
Finally, Figure 352 shows how the Tamper Lines cover the noise generator circuitry of the chip. The generator and NOT gate are on one level, while the Tamper Detect Lines run on a level above the generator. 10.3.6 Protected memory with tamper detection
It is not enough to simply store secret information or program code in flash memory. The Flash memory and RAM must be protected from an attacker who would attempt to modify (or set) a particular bit of program code or key information. The mechanism used must conform to being used in the Tamper Detection Circuitry (described above).
The first part of the solution is to ensure that the Tamper Detection Line passes directly above each flash or RAM bit. This ensures that an attacker cannot probe the contents of flash or RAM. A breach of the covering wire is a break in the Tamper Detection Line. The breach causes the Erase signal to be set, thus deleting any contents of the memory. The high frequency noise on the Tamper Detection Line also obscures passive observation.
The second part of the solution for flash is to always store the data with its inverse. In each byte, 4 bits contains the data, and 4 bits (the shadow) contains the inverse of the data. If both are 0, this is a valid erase state, and the value is 0. Otherwise, the memory is only valid if the 4 bits of shadow are the inverse of the main 4 bits. The reasoning is that it is possible to add electrons to flash via a FIB, but not take electrons away. If it is possible to change a 0 to 1 for example, it is not possible to do the same to its inverse, and therefore regardless of the sense of flash, an attack can be detected.
The second part of the solution for RAM is to use a parity bit. The data part of the register can be checked against the parity bit (which will not match after an attack). The bits coming from Flash and RAM can therefore be validated by a number of test units (one per bit) connected to the common Tamper Detection Line. The Tamper Detection circuitry would be the first circuitry the data passes through (thus stopping an attacker from cutting the data lines). In addition, the data and program code should be stored in different locations for each chip, so an attacker does not know where to launch an attack. Finally, XORing the data coming in and going to Flash with a random number that varies for each chip means that the attacker cannot learn anything about the key by setting or clearing an individual bit that has a probability of being the key (the inverse of the key must also be stored somewhere in flash).
Finally, each time the chip is called, every flash location is read before performing any program code. This allows the flash tamper detection to be activated in a common spot instead of when the data is actually used or program code executed. This reduces the ability of an attacker to know exactly what was written to.
10.3.7 Boot-strap circuitry for loading program code
Program code should be kept in protected flash instead of ROM, since ROM is subject to being altered in a non-testable way. A boot-strap mechanism is therefore required to load the program code into flash memory (flash memory is in an indeterminate state after manufacture).
The boot-strap circuitry must not be in a ROM - a small state-machine suffices. Otherwise the boot code could be trivially modified in an undetectable way. The boot-strap circuitry must erase all flash memory, check to ensure the erasure worked, and then load the program code.
The program code should only be executed once the flash program memory has been validated via Program Mode. Once the final program has been loaded, a fuse can be blown to prevent further programming of the chip.
10.3.8 Connections in polysilicon layers where possible
Wherever possible, the connections along which the key or secret data flows, should be made in the polysilicon layers. Where necessary, they can be in metal 1 , but must never be in the top metal layer (containing the Tamper Detection Lines).
10.3.9 OverUnder Power Detection Unit
Each QA Chip requires an OverUnder Power Detection Unit (PDU) to prevent Power Supply Attacks. A PDU detects power glitches and tests the power level against a Voltage Reference to ensure it is within a certain tolerance. The Unit contains a single Voltage Reference and two comparators. The PDU would be connected into the RESET Tamper Detection Line, thus causing a RESET when triggered.
A side effect of the PDU is that as the voltage drops during a power-down, a RESET is triggered, thus erasing any work registers.
10.3.10 No scan chains or BIST Test hardware on an QA Chip could very easily introduce vulnerabilities. In addition, due to the small size of the QA Chip logic, test hardware such as scan paths and BIST units could in fact take a sizeable chunk of the final chip, lowering yield and causing a situation where an error in the test hardware causes the chip to be unusable. As a result, the QA Chip should not contain any BIST or scan paths. Instead, the program memory must first be validated via the Program Mode mechanism, and then a series of program tests run to verify the remaining parts of the chip.
11 Architecture
Figure 389 shows a high level block diagram of the QA Chip. Note that the tamper prevention and detection circuitry is not shown.
11.1 ANALOGUE UNIT Figure 390 shows a block diagram of the Analogue Unit. Blocks shown in yellow provide additional protection against physical and electrical attack and, depending on the level of security required, may optionally be implemented.
11.1.1 Ring oscillator
The operating clock of the chip (SysClk) is generated by an internal ring oscillator whose frequency can be trimmed to reduce the variation from 4:1 (due to process and temperature) down to 2:1
(temperature variations only) in order to satisfy the timing requirements of the Flash memory. The length of the ring depends on the process used for manufacturing the chip. A nominal operating frequency range of 10 MHz is sufficient. This clock should contain a small amount of randomization to prevent attacks where light emissions from switching events are captured. Note that this is different to the input SCIk which is the serial clock for external communication. The ring oscillator is covered by both Tamper Detection and Prevention lines so that if an attacker attempts to tamper with the unit, the chip will either RESET or erase all secret information. FPGA Note: the FPGA does not have an internal ring oscillator. An additional pin (SysClk) is used instead. This is replaced by an internal ring oscillator in the final ASIC.
11.1.2 Voltage reference The voltage reference block maintains an output which is substantially independant of process, supply voltage and temperature. It provides a reference voltage which is used by the PDU and a reference current to stabilise the ring oscillator. It may also be used as part of the temperature based clock filter described in Section 10.3.3 on page 988.
11.1.3 OverUnder power detection unit The OverUnder Power Detection Unit (PDU) is the same as that described in Section 10.3.9 on page 992.
The Under Voltage Detection Unit provides the signal PwrFailing which, if asserted, indicates that the power supply may be turning off. This signal is used to rapidly terminate any Flash write that may be in progress to avoid accidentally writing to an indeterminate memory location. Note that the PDU triggers the RESET Tamper Detection Line only. It does not trigger the Erase
Tamper Detection Line.
The PDU can be implemented with regular CMOS, since the key does not pass through this unit. It does not have to be implemented with non-flashing CMOS.
The PDU is covered by both Tamper Detection and Prevention lines so that if an attacker attempts to tamper with the unit, the chip will either RESET or erase all secret information.
11.1.4 Power-on Reset and Tamper Detect Unit
The Power-on Reset unit (POR) detects a power-on condition and generates the PORstL signal that is fed to all the validation units, including the two inside the Tamper Detect Unit (TDU). All other logic is connected to RstL, which is the PORstL gated by the VAL unit attached to the Reset tamper detection lines (see Section 10.3.5 on page 989) within the TDU. Therefore, if the Reset tamper line is asserted, the validation will drive RstL low, and can only be cleared by a power-down.
If the tamper line is not asserted, then RstL = PORstL.
The TDU contains a second VAL unit attached to the Erase tamper detection lines (see Section
10.3.5 on page 989) within the TDU. It produces a TamperEraseOK signal that is output to the MIU (1 = the tamper lines are all OK, 0 = force an erasure of Flash). 11.1.5 Noise generator
The Noise Generator (NG) is the same as that described in Section 10.3.4 on page 988. It is based on a 64-bit maximal period LFSR loaded with a set non-zero bit pattern on RESET. The NG must be protected by both Tamper Detection and Prevention lines so that if an attacker attempts to tamper with the unit, the chip will either RESET or erase all secret information.
In addition, the bits in the LFSR must be validated to ensure they have not been tampered with (i.e. a parity check). If the parity check fails, the Erase Tamper Detection Line is triggered. Finally, all 64 bits of the NG are ORed into a single bit. If this bit is 0, the Erase Tamper Detection Line is triggered. This is because 0 is an invalid state for an LFSR. 11.2 TRIM UNIT
The 8-bit Trim register within the Trim Unit has a reset value of 0x00 (to enable the flash reads to succeed even in the fastest process corners), and is written to either by the PMU during Trim Mode or by the CPU in Active Mode. Note that the CPU is only able to write once to the Trim register between power-on-reset due to the TrimDone flag which provides overloading of LocalldWE. The reset value of Trim (0) means that the chip has a nominal frequency of 2.7MHz - 10MHz. The upper of the range is when we cannot trim it lower than this (or we could allow some spread on the acceptable trimmed frequency but this will reduce our tolerance to ageing, voltage and temperature which is the range 7MHz to 14MHz). The 2.7MHz value is determined by a chip whose oscillator runs at 10MHz when the trim register is set to its maximum value, so then it must run at 2.7MHz when trim = 0. This is based on the non-linear frequency-current characteristic of the oscillator. Chips found outside of these limits will be rejected.
The frequency of the ring oscillator is measured by counting cycles6, in the PMU, over the byte period of the serial interface. The frequency of the serial clock, SCIk, and therefore the byte period will be accurately controlled during the measurement. The cycle count (Fmeas) at the end of the period is read over the serial bus and the Trim register updated (Trimval) from its power on default (POD) value. The steps are shown in Figure 391. Multiple measure - read - trim cycles are possible to improve the accuracy of the trim procedure.
A single byte for both Fmeas and Trimval provide sufficient accuracy for measurement and trimming of the frequency. If the bus operates at 400kHz, a byte (8 bits) can be sent in 20μs. By dividing the maximum oscillator frequency, expected to be 20MHz, by 2 results in a cycle count of 200 and 50 for the minimum frequency of 5MHz resulting in a worst case accuracy of 2%. Figure 392 shows a block diagram of the Trim Unit:
6Note that the PMU counts using 12-bits, saturates at OxFFF, and returns the cycle count divided by 2 as an 8- bit value. This means that multiple measure-read-trim cycles may be necessary to resolve any amibguity. In any case, multiple cycles are necessary to test the correctness of the trim circuitry during manufacture test. The 8-bit Trim value is used in the analog Trim Block to adjust the frequency of the ring oscillator by controlling its bias current. The two Isbs are used as a voltage trim, and the 6 msbs are used as a frequency trim.
The analog Trim Clock circuit also contains a Temperature filter as described in Section 10.3.3 on page 988.
11.3 IO UNIT
The QA Chip acts as a slave device, accepting serial data from an external master via the IO Unit (IOU). Although the IOU actually transmits data over a 1-bit line, the data is always transmitted and received in 1-byte chunks. The IOU receives commands from the master to place it in a specific operating mode, which is one of:
• Idle Mode: is the startup mode for the IOU if the fuse has not yet been blown. Idle Mode is the mode where the QA Chip is waiting for the next command from the master. Input signals from the CPU are ignored. • Program Mode: is where the QA Chip erases all currently stored data in the Flash memory (program and secret key information) and then allows new data to be written to the Flash. The IOU stays in Program Mode until told to enter another mode.
• Active Mode: is the startup mode for the IOU if the fuse has been blown (the program is safe to run). Active Mode is where the QA Chip allows the program code to be executed to process the master's specific command. The IOU returns to Idle Mode automatically when the command has been processed, or if the time taken between consuming input bytes (while the master is writing the data) or generating output bytes (while the master is reading the results) is too great.
• Trim Mode: is where the QA Chip allows the generation and setting of a trim value to be used on the internal ring oscillator clock value. This must be done for safety reasons before a program can be stored in the Flash memory. See Section 12 on page 997 for detailed information about the IOU.
11.4 CENTRAL PROCESSING UNIT
The Central Processing Unit (CPU) block provides the majority of the circuitry of the 4-bit microprocessor. Figure 393 shows a high level view of the block.
11.5 MEMORY INTERFACE UNIT
The Memory Interface Unit (MIU) provides the interface to flash and RAM. The MIU contains a Program Mode Unit that allows flash memory to be loaded via the IOU, a Memory Request Unit that maps 8-bit and 32-bit requests into multiple byte based requests, and a Memory Access Unit that generates read/write strobes for individual accesses to the memory. Figure 394 shows a high level view of the MIU block. 11.6 MEMORY COMPONENTS
The Memory Components block isolates the memory implementation from the rest of the QA Chip. Thό entire contents of the Memory Components block must be protected from tampering. Therefore the logic must be covered by both Tamper Detection Lines. This is to ensure that program code, keys, and intermediate data values cannot be changed by an attacker. The 8-bit wide RAM also needs to be parity-checked.
Figure 395 shows a high level view of the Memory Components block. It consists of δKBytes of flash memory and 3072 bits of parity checked RAM.
11.6.1 RAM The RAM block is shown here as a simple 96 x 32-bit RAM (plus parity included for verification).
The parity bit is generated during the write.
The RAM is in an unknown state after RESET, so program code cannot rely on RAM being 0 at startup.
The initial version of the ASIC has the RAM implemented by Artisan component RA1SH (96 x 32-bit RAM without parity). Note that the RAMOutEn port is active low i.e. when 0, the RAM is enabled, and when 1 , the RAM is disabled.
11.6.2 Flash memory
A single Flash memory block is used to hold all non-volatile data. This includes program code and variables. The Flash memory block is implemented by TSMC component SFC0008_08B9_HE [4], which has the following characteristics: 8K x 8-bit main memory, plus 128 x 8-bit information memory 512 byte page erase Endurance of 20,000 cycles (min) Greater than 100 years data retention at room temperature • Access time: 20 ns (max) Byte write time: 20μs (min) Page erase time: 20ms (min) Device erase time: 200 ms (min) Area of 0.494mm2 (724.66μm x 682.05μm) The FlashCtrl line are the various inputs on the SFC0008_08B9_HE required to read and write bytes, erase pages and erase the device. A total of 9 bits are required (see [4] for more information). Flash values are unchanged by a RESET. After manufacture, the Flash contents must be considered to be garbage. After an erasure, the Flash contents in the SFC0008_08B9_HE is all 1s.
11.6.3 VAL blocks The two VAL units are validation units connected to the Tamper Prevention and Detection circuitry (described in Section 10.3.5 on page 989), each with an OK bit. The OK bit is set to 1 on PORstL, and ORed with the ChipOK values from both Tamper Detection Lines each cycle. The OK bit is ANDed with each data bit that passes through the unit.
In the case of VALi, the effective byte output from the flash will always be 0 if the chip has been tampered with. This will cause shadow tests to fail, program code will not execute, and the chip will hang.
In the case of VAL2, the effective byte from RAM will always be 0 if the chip has been tampered with, thus resulting in no temporary storage for use by an attacker.
12 I/O Unit
The I/O Unit (IOU) is responsible for providing the physical implementation of the logical interface described in Section 5.1 on page 960, moving between the various modes (Idle, Program, Trim and Active) according to commands sent by the master.
The IOU therefore contains the circuitry for communicating externally with the external world via the SCIk and SDa pins. The IOU sends and receives data in 8-bit chunks. Data is sent serially, most significant bit (bit 7) first through to least significant bit (bit 0) last. When a master sends a command to an QA Chip, the command commences with a single byte containing an id in bits 7-1, and a read/write sense in bit 0, as shown in Figure 396.
The IOU recognizes a global id of 0x00 and a local id of Localld (set after the CPU has executed program code at reset or due to a global id / ActiveMode command on the serial bus). Subsequent bytes contain modal information in the case of global id, and command/data bytes in the case of a match with the local id.
If the master sends data too fast, then the IOU will miss data, since the IOU never holds the bus. The meaning of too fast depends on what is running. In Program Mode, the master must send data a little slower than the time it takes to write the byte to flash (actually written as 2 x 8-bit writes, or 40μs). In ActiveMode, the master is permitted to send and request data at rates up to 500 KHz. None of the latches in the IOU need to be parity checked since there is no advantage for an attacker to destroy or modify them.
The IOU outputs 0s and inputs 0s if either of the Tamper Detection Lines is broken. This will only come into effect if an attacker has disabled the RESET and/or erase circuitry, since breaking either Tamper Detection Lines should result in a RESET or the erasure of all Flash memory. The lOU's InByte, InByteValid, OutByte, and OutByteValid registers are used for communication between the master and the QA Chip. InByte and InByteValid provide the means for clients to pass commands and data to the QA Chip. OutByte and OutByteValid provide the means for the master to read data from the QA Chip. • Reads from InByte should wait until InByteValid is set. InByteValid will remain clear until the master has written the next input byte to the QA Chip. When the IOU is told (by the FEU or MU) that InByte has been read, the IOU clears the InByteValid bit to allow the next byte to be read from the client. • Writes to OutByte should wait until OutByteValid is clear. Writing OutByte sets the OutByteValid bit to signify that data is available to be transmitted to the master. OutByteValid will then remain set until the master has read the data from OutByte. If the master requests a byte but OutByteValid is clear, the IOU sends a NAck to indicate the data is not yet ready. When the chip is reset via RstL, the IOU enters ActiveMode to allow the PMU to run to load the fuse. Once the fuse has been loaded (when MIUAvail transitions from 0 to 1 ) the IOU checks to see if the program is known to be safe. If it is not safe, the IOU reverts to IdleMode. If it is safe (FuseBIown = 1 ), the IOU stays in ActiveMode to allow the program to load up the localld and do any other reset initialization, and will not process any further serial commands until the CPU has written a byte to the OutByte register (which may be read or not at the discretion of the master using a localld read). In both cases the master is then able to send commands to the QA Chip as described in Section 5.1 on page 960.
Figure 397 shows a block diagram of the IOU.
With regards to InByteValid inputs, set has priority over reset, although both set and reset in correct operation should never be asserted at the same time. With regards to lOSetlnByte and lOLoadlnByte, if lOSetlnByte is asserted, it will set InByte to be OxFF regardless of the setting of lOLoadlnByte. The two VAL units are validation units connected to the Tamper Prevention and Detection circuitry (described in Section 10.3.5 of the Architecture Overview chapter), each with an OK bit. The OK bit is set to 1 on PORstL, and ORed with the ChipOK values from both Tamper Detection Lines each cycle. The OK bit is ANDed with each data bit that passes through the unit. In the case of VALi, the effective byte output from the chip will always be 0 if the chip has been tampered with. Thus no useful output can be generated by an attacker. In the case of VAL2, the effective byte input to the chip will always be 0 if the chip has been tampered with. Thus no useful input can be chosen by an attacker.
There is no need to verify the registers in the IOU since an attacker does not gain anything by destroying or modifying them.
The current mode of the IOU is output as a 2-bit lOMode to allow the other units within the QA Chip to take correct action. lOMode is defined as shown in Table 372: Table 372. lOMode values
Figure imgf000999_0001
The Logic blocks generate a 1 if the current lOMode is in Program Mode, Active Mode or Trim Mode respectively. The logic blocks are:
Logic1 lOMode = 01 (Program) Logic2 lOMode = 10 (Active) Logic3 lOMode = 11 (Trim)
12.1 STATE MACHINE
There are two state machines in the IOU running in parallel. The first is a byte-oriented state machine, the second is a bit-oriented state machine. The byte-oriented state machine keeps track of the operating mode of the QA Chip while the bit-oriented state machine keeps track of the low- level bit Rx/Tx protocol. The SDa and SCIk lines are connected to the respective pads on the QA Chip. The IOU passes each of the signals from the pads through 2 D-types to compensate for metastability on input, and then a further latch and comparitor to ensure that signals are only used if stable for 2 consecutive internal clock cycles. The circuit is shown in Section 12.1.1 below. 12.1.1 Start/Stop control signals The StartDetected and StopDetected control signals are generated based upon monitoring SDa synchronized to SCIk. The StartDetected condition is asserted on the falling edge of SDa synchronized to SCIk, and the StopDetected condition is asserted on the rising edge of SDa synchronized to SCIk. In addition we generate feSCIk which is asserted on the falling edge of SCIk, and reSClk which is asserted on the rising edge of SCIk. Finally, feSclkPrev is the value of feSCIk delayed by a single cycle. Figure 398 shows the relationship of inputs and the generation of SDaReg, reSClk, feSCIk, feSclkPrev, StartDetected and StopDetected.
The SDaRegSelect logic compensates for the 2:1 variation in clock frequency. It uses the length of the high period of the SCIk (from the saturating counter) to select between sdaδ, sdaβ and sda7 as the valid data from 300ns before the falling edge of SCIk as follows. The minimum time for the high period of SCIk is 600ns. If the counter <= 4 (i.e. 5 or fewer cycles with SCIk = 1 ) then SDaReg output = sda5 (sample point is equidistant from rising and falling edges). If the counter = 5 or 6 (i.e. 6 or 7 samples where SCIk = 1 ) , then SDaReg output = sdaβ. If the counter = 7 (the counter saturates when there are 8 samples of SCIk = 1), then SDaReg output = sda7. This is shown in pseducode below: If { (counter2 = 0) v (counter = 4)) SDaReg = sda5 Elself (counter = 7) SDaReg = sda7 Else SDaReg = sda6 Endlf The counter also provides a means of enabling start and stop detection. There is a minimum of a 600ns setup and 600ns hold time for start and stop conditions. At 14MHz this means samples 4 and 5 after the rising edge (sample 1 is considered to be the first sample where SCIk = 1 ) could, potentially include a valid start or stop condition. At 7 MHz samples 4 and 5 represent 284 and 355ns respectively, although this is after the rising edge of SCIk, which itself is 100ns after the setup of data (i.e. 384 and 455ns respectively and therefore safe for sampling). Thus the data will be stable (although not a start or stop). Since we detect stops and starts using sda5 and sdaδ, we can only validly detect starts and stops 6 cycles after a rising edge, and we need to not-detect starts and stops 4 cycles before the failing edge. We therefore only detect starts and stops when the counter is >= 6 (i.e. when sclk3 and sclk2 are 0 and 1 respectively, sda2 holds sample 1 coincident with the rising edge, sdal holds sample 2, sdaO holds sample 3, we load the counter with 0 and sample SDa to obtain the new sdaO which will hold sample 4 at the end of the cycle. Thus while the counter is incrementing from 0 to 1 , sdaO will hold sample 4. Therefore sample 4 will be in sdaθ when the counter is 6.
12.1.2 Control of SDa and SCIk pins
The SCIk line is always driven by the master. The SDa line is driven low whenever we want to transmit an ACK (SDa is active low) or a 0-bit from OutByte. The generation of the SDa pin is shown in the following pseudocode: TxAck = (bitSM_state = ack) Λ ( (byteSM_state = do rite) v ( ( (byteSM_state = getGlobalCmd) v (byteSM_state = checkld) ) Λ AckCmd) ) TxBit <— (byteSM_state = doRead) Λ (bitSM_state = xferBit) Λ -ιOutByte_,bitcount SDa = -ι (TxAck v TxBit) # only drive the line when we are xmitting a 0 The slew rate of the SDa line should be restricted to minimise ground bounce. The pad must guarantee a fall time > 20ns. The rise time will be controlled by the external pull up resistor and bus capacitance.
12.1.3 Bit-oriented state machine The bit-oriented state machine keeps track of the general flow of serial transmission including start/data/ack/stop as shown in the following pseudocode: idle ΞndByte = FALSE EndAck = FALSE If (StartDetected) state — starting Else state <— idle Endlf
starting EndByte = FALSE EndAck = FALSE NAck <- 0 If (StopDetected) state <- idle Elself (feSClkPrev) bitCount - 0 state <r- xferBit Else state <r- starting# includes StartDetected Endlf
xferBit EndAck = FALSE EndByte = (feSclkPrev Λ (bitCount = 0) ) # after feSclk bitCount must be 1..8 If (feSCIk) shiftLeft [ioByte, SDaReg] # capture the bit in the ioByte shift register bitCount - bitCount + 1 # modulo count due to 3 bit bitCount Endlf If (StopDetected) state <— idle Elself (StartDetected) state <— starting Elself (EndByte) state <- ack Else state <- xferBit Endlf ack EndByte = FALSE EndAck = feSclkPrev If (StopDetected) state <— idle Elself (StartDetected) state <- starting Elself (EndAck) state - xferBit # bitCount is already 0 Else If (feSCIk) NAck <- SDaReg # active low, so 0 = ACK, 1 = NACK Endlf state <— ack Endlf 12.1.4 Byte-oriented state machine
The following pseudocode illustrates the general startup state ofthe IOU and the receipt of a transmission from the master. rstL # setup state of registers on reset lOMode - ActiveMode # to force the fuse to be loaded OutByteValid - 0 OutByte <- 0 InByteValid <- 1 # required InByte <- OxFF # byte = FF = the 'reset' command localld <- 0 # loads localld with the globalld so no localld exists state <- wait4fuse wait4fuse If (MIUAvail) If (FuseBlown) # this must be done same cycle as seeing MIUAvail go high state - wait4cpu Else lOMode <- IdleMode # CPU will now require an external ActiveMode to start state <- idle Else state - wait4fuse Endlf wait4cpu If (CPUOutByte E) # wait for CPU reset activities to finish state - idle # note: we're still in ActiveMode Else state - wait4cpu Endlf idle If (StartDetected) state <- checkld Else state <- idle Endlf The first byte received must be checked to ensure it is meant for everyone (globalld of 0) or specifically for us (localld matches). We only send an ACK to a read when there is data available to send. In addition, writes to the general call address (0) are always ACKed, but reads from the general call address are only ACKed before the fuse has been blown. checkld is rite = (ioByte0 = 0 ) isRead = (ioByte0 = 1) isGlobal = (ioByte7-ι = 0 ) global = isGlobal Λ isWrite local = (ioByte7-1 = locallD) Λ isWrite Λ -lisGlobal localR = (ioByte7-1 = locallD) Λ isRead Λ (-iGlobalW v -.FuseBlown) If (StopDetected) state - idle Elself (EndByte) AckC d n = (globalW v localW) v (localR Λ OutByteValid) AckCmd <- AckCmdJ.n If (localW) lOMode - IdleMode # jic - any output was pending IOOutByteUsed = 1 IOClearlnByte = 1 # ensure there is nothing hanging around from before Endlf Elself (EndAck) If (globalW) # globalW and localW are mutually exclusive state — getGlobalCmd Elself (localW) lOMode r- ActiveMode lOLoadlnByte = 1 # will set inByte to localW (Isb will be 0) state - doWrite Elself (localR Λ lOMode! Λ AckCmd) # Active mode (or Trim when fuse intact) state <- doRead Else state <- idle # ignore reads unless first in active or trim mode Endlf Else state — checkld Endlf With a new global command the IOU waits for the mode byte (see Table pageδ on page 961) to determine the new operating mode: getGlobalCmd wantProg = ( (ioByte = ProgramModeld) Λ -iFuseBlown) wantTrim = ( (ioByte = TrimModeld) Λ -.FuseBlown) wantActive = (ioByte = ActiveModeld) If (StopDetected) state - idle Elself (StartDetected) state — checkld Elself (EndByte) AckCmdJ.n = wantActive v wantProg v wantTrim # only ACK cmds we can do AckCmd - AckCmdJn If (AckCmdJ.n) lOMode <- IdleMode # jic - any output was pending IOOutByteUsed = 1 IOClearlnByte = 1 # ensure there is nothing hanging around from before Endlf Elself (EndAck) If (wantProg) lOMode - ProgramMode # don't load inByte (we only want the data) state <— doWrite Elself (wantTrim) lOMode <- TrimMode # don't load InByte (we only want the next byte) state <— doWrite Elself (wantActive) # must be Active lOMode — ActiveMode lOSetlnByte = 1 # 0 for all other cases & states. 1 = sets inByte to OxFF lOLoadlnByte = 1 # sets InByteValid (InByte is set to OxFF ('reset' cmd) ) state - wait4cpu# don't do anything til the cpu has completed this task Else state — idle # unknown id, so ignore remainder Endlf Else state - getGlobalCmd Endlf
When the master writes bytes to the QA Chip (e.g. parameters for a command), the program must consume the byte fast enough (i.e. during the sending of the ACK) or subsequent bits may be lost. The process of receiving bytes is shown in the following pseudocode: doWrite If (StopDetected) state <— idle # stay in whatever lOMode we were in Elself (StartDetected) state <- checkld Else If (EndByte) lOLoadlnByte = -.InByteValid Endlf If (EndByte Λ InByteValid) # will only be when master sends data too quickly state <— idle # ACK will not be sent when in idle state Else state <— doWrite # ACK will be sent automatically after byte is Rxed Endlf Endlf
When the masterwants to read, the IOU sends one byte at a time as requested. The process is shown in the following pseudocode: doRead If (StopDetected) state - idle Elself (StartDetected) state - checkld Elself (EndAck) If (NAck v -.OutByteValid) state - idle Else state <— doRead Endlf Else If (EndByte) IOOutByteUsed = 1 Endlf state <— doRead Endlf 13 Fetch and Execute Unit 13.1 INTRODUCTION
The QA Chip does not require the high speeds and throughput of a general purpose CPU. It must operate fast enough to perform the authentication protocols, but not faster. Rather than have specialized circuitry for optimizing branch control or executing opcodes while fetching the next one (and all the complexity associated with that), the state machine adopts a simplistic view of the world. This helps to minimize design time as well as reducing the possibility of error in implementation.
The FEU is responsible for generating the operating cycles of the CPU, stalling appropriately during long command operations due to memory latency.
When a new transaction begins, the FEU will generate a JPZ (jump to zero) instruction. The general operation of the FEU is to generate sets of cycles:
• Cycle 0: fetch cycles. This is where the opcode is fetched from the program memory, and the effective address from the fetched opcode is generated. The Fetch output flag is set during the final cycle 0 (i.e. when the opcode is finally valid).
• Cycle 1 : execute cycle. This is where the operand is (potentially) looked up via the generated effective address (from Cycle 0) and the operation itself is executed. The Exec output flag is set during the final cycle 1 (i.e. when the operand is finally valid). Under normal conditions, the state machine generates multiple Cycle=0 followed by multiple Cycle=1. This is because the program is stored in flash memory, and may take multiple cycles to read. In addition, writes to and erasures of flash memory take differing numbers of cycles to perform. The FEU will stall, generating multiple instances of the same Cycle value with Fetch and Exec both 0 until the input MIURdy = 1 , whereupon a Fetch or Exec pulse will be generated in that same cycle. There are also two cases for stalling due to serial I/O operations:
• The opcode is ROR OutByte, and OutByteValid = 1. This means that the current operation requires outputting a byte to the master, but the master hasn't read the last byte yet. • The operation is ROR InByte, and InByteValid = 0. This means that the current operation requires reading a byte from the master, but the master hasn't supplied the byte yet.
In both these cases, the FEU must stall until the stalling condition has finished.
Finally, the FEU must stop executing code if the IOU exits Active Mode.
The local Cmd opcode/operand latch needs to be parity-checked. The logic and registers contained in the FEU must be covered by both Tamper Detection Lines. This is to ensure that the instructions to be executed are not changed by an attacker. 13.2 STATE MACHINE
The Fetch and Execute Unit (FEU) is combinatorial logic with the following registers: Table 373. FEU Registers
Figure imgf001009_0001
In addition, the following externally visible outputs are generated asynchronously: Table 374. Externally visible asynchronous FEU outputs
Figure imgf001009_0002
Figure imgf001010_0001
The Cycle and currCmd registers are not used directly. Instead, their outputs are passed through a VAL unit before use. The VAL units are designed to validate the data that passes through them. Each contains an OK bit connected to both Tamper Prevention and Detection Lines. The OK bit is set to 1 on PORstL, and ORed with the ChipOK values from both Tamper Detection Lines each cycle. The OK bit is ANDed with each data bit that passes through the unit. In the case of VALi, the effective Cycle will always be 0 if the chip has been tampered with. Thus no program code will execute.
In the case of VAL2, the effective 8-bit currCmd value will always be 0 if the chip has been tampered with. Multiple 0s will be interpreted as the JSR 0 instruction, and this will effectively hang the CPU. VAL2 also performs a parity check on the bits from currCmd to ensure that currCmd has not been tampered with. If the parity check fails, the Erase Tamper Detection Line is triggered. For more information on Tamper Prevention and Detection circuitry, see Section 10.3.5 on page 989. 13.2.1 Pseudocode reset conditions : Fetch = 0 Exec = 0 Cycle - 0 currCmd <— 0 Go - 0 pendingKill <- 0 pendingStart <- 0 newMemTrans - 0 wasldle <- 1 # required to detect if IOU starts in a non-idle state The cycle by cycle combinatorial logic behaviour is shown in the following pseudocode: isActive = (lOMode = ActiveMode) wasldle - (lOMode = IdleMode) wantToStart = (pendingStart v wasldle) Λ isActive newTrans = wantToStart Λ -.GO Λ MIUAvail pendingStart <- wantToStart Λ -.newTrans killTrans = Go Λ (-.isActive v pendingKill)
Fetch = newTrans v (Go Λ -.Cycle Λ MIURdy Λ -.killTrans) inDelay = (currCmd = ROR InByte) Λ -.InByteValid outDelay - (currCmd = ROR OutByte) Λ OutByteValid ioDelay = inDelay v outDelay Exec = Go Λ Cycle Λ MIURdy Λ -.ioDelay
If (Cycle) Cmd = currCmd Elself (newTrans) Cmd = JPZ # jump to 0 Else Cmd = MIUSData Endlf
resetGo = (MIURdy Λ killTrans) v (Fetch Λ (Cmd = HALT) ) pendingKill <- killTrans Λ -.resetGo
changeCycle = Fetch v Exec # will only be 1 when Go = 1
Cycle <— newTrans v ( (Cycle Θ changeCycle) Λ -.resetGo) newMemTrans <- newTrans v (changeCycle Λ -^resetGo) If (Fetch) currCmd <- Cmd Endlf
If (resetGo) Go <- 0
Elself (newTrans) Go - 1 Endlf 14 ALU
The Arithmetic Logic Unit (ALU) contains a 32-bit Ace (Accumulator) register as well as the circuitry for simple arithmetic and logical operations.
The logic and registers contained in the ALU must be covered by both Tamper Detection Lines. This is to ensure that keys and intermediate calculation values cannot be changed by an attacker. In addition, the Accumulator must be parity-checked.
A 1-bit Z signal represents the state of zero-ness of the Accumulator. The Accumulator is cleared to 0 upon a RstL, and the Z signal is set to 1. The Accumulator is updated for any of the commands: AND, OR, XOR, ADD, ROR, and RIA, and the Z signal is updated whenever the Accumulator is updated. Note that the Z signal is actually implemented as a nonZ register whose output is passed through an inverter and used as Z.
Each arithmetic and logical block operates on two 32-bit inputs: the current value of the Accumulator, and the current 32-bit output of the DataSel block (either the 32 bit value from MlUData or an immediate value). The AND, OR, XOR and ADD blocks perform the standard 32-bit operations. The remaining blocks are outlined below. Figure 399 shows a block diagram of the ALU: The Accumulator is updated for all instructions where the high bit of the opcode is set:
Logici Exec Λ Cmd7
Since the WriteEnables of Ace and nonZ takes Cmdz and Exec into account (due to Logici), these two bits are not required by the multiplexor MXi in order to select the output. The output selection for MXi only requires bits 6-3 of the Cmd and is therefore simpler as a result (as shown in Table 375). Table 375. Selection for multiplexor MXi
Figure imgf001012_0001
The two VAL units are validation units connected to the Tamper Prevention and Detection circuitry (described in Section 10.3.5 on page 989), each with an OK bit. The OK bit is set to 1 on PORstL, and ORed with the ChipOK values from both Tamper Detection Lines each cycle. The OK bit is ANDed with each data bit that passes through the unit.
In the case of VALi, the effective bit output from the Accumulator will always be 0 if the chip has been tampered with. This prevents an attacker from processing anything involving the Accumulator. VALi also performs a parity check on the Accumulator, setting the Erase Tamper Detection Line if the check fails.
In the case of VAL2, the effective Z status of the Accumulator will always be true if the chip has been tampered with. Thus no looping constructs can be created by an attacker.
14.1 DATASEL BLOCK
The DataSel block is designed to implement the selection between the MIU32Data and the immediate addressing mode for logical commands.
Immediate addressing relies on 3 bits of operand, plus an optional 8 bits at PC+1 to determine an 8- bit base value. Bits 0 to 1 determine whether the base value comes from the opcode byte itself, or from PC+1 , as shown in Table 376. Table 376. Selection for base value in immediate mode
Figure imgf001013_0001
The base value is computed by using CMDo as bit 0, and copying CMD1 into the upper 7 bits.
The 8-bit base value forms the lower 8 bits of output. These 8 bits are also ANDed with the sense of whether the data is replicated in the upper bits or not (i.e. CMD2). The resultant bits are copied in 3 times to form the upper 24 bits of the output.
Figure 400 shows a block diagram of the ALU's DataSel block:
14.2 ROR BLOCK
The ROR block implements the ROR and RIA functionality of the ALU. A 1-bit register named RTMP is contained within the ROR unit. RTMP is cleared to 0 on a RstL, and set during the ROR RB and ROR XRB commands. The RTMP register allows implementation of Linear
Feedback Shift Registers with any tap configuration.
Figure 401 shows a block diagram of the ALU's ROR block:
The ROR n, blocks are shown for clarity, but in fact would be hardwired into multiplexor MX3, since each block is simply a rewiring of the 32-bits, rotated right n bits.
Logici is used to provide the WriteEnable signal to RTMP. The RTMP register should only be written to during ROR RB and ROR XRB commands. The combinatorial logic block is: Logici Exec Λ (Cmd7- = ROR) Λ (Cmd3-ι = 000)
Multiplexor MXi performs the task of selecting the 6-bit value from Cn instead of bits 13-8 (6 bits) from Ace (the selection is based on the value of Logic2). Bit 5 is required to distinguish ROR from RIA. Logic2 Cmd5-2 = 0x10
Table 377. Selection for multiplexor MX!
Figure imgf001014_0001
Multiplexor MX2 performs the task of selecting the 8-bit value from InByte instead of the lower 8 bits from the ANDed Ace based on the CMD. Table 378. Selection for multiplexor MX2
Figure imgf001014_0002
Multiplexor MX3 does the final rotating of the 32-bit value. The bit patterns of the CMD operand are taken advantage of: Table 379. Selection for multiplexor MX3
Figure imgf001014_0003
14.3 IO BLOCK The 10 block within the ALU implements the logic for communicating with the IOU during instructions that involve the Accumulator. This includes generating appropriate control signals and for generating the correct data for sending during writes to the lOU's OutByte and Localld registers. Figure 402 shows a block diagram of the IO block: Logici is used to provide the LocalldWE signal to the IOU. The localld register should only be written to during the ROR ID command. Only the lower 7 bits of the Accumulator are written to the localld register. Logic2 is used to provide the ALUOutByteWE signal to the IOU. The OutByte register should only be written to during the ROR OutByte command. Only the lower 8 bits of the Accumulator are written to the OutByte register. In both cases we output the lower 8 bits of the Accumulator. The ALUIOData value is ANDed with the output of Logic2 to ensure that ALUIOData is only valid when it is safe to do so (thus the IOU logic never sees the key passing by in ALUIOData). The combinatorial logic blocks are: Logic! Exec Λ (Cmd7-o = ROR ID) Logic2 Exec Λ (Cmd7.o = ROR OutByte) Logic3 is used to provide the ALUlnByteUsed signal to the IOU. The InByte is only used during the ROR InByte command. The combinatorial logic is: Logic3 Exec Λ (Cmd7.o = ROR InByte)
15 Program Counter Unit
The Program Counter Unit (PCU) includes the 12 bit PC (Program Counter), as well as logic for branching and subroutine control.
The PCU latches need to be parity-checked. In addition, the logic and registers contained in the
PCU must be covered by both Tamper Detection Lines to ensure that the PC cannot be changed by an attacker.
The PC is implemented as a 12 entry by 12-bit PCA (PC Array), indexed by a 4-bit SP (Stack Pointer) register. The PC, PCRamSel and SP registers are all cleared to 0 on a RstL, and updated during the flow of program control according to the opcodes.
The current value for the PC is normally updated during the Execute cycle according to the command being executed. However it is also incremented by 1 during the Fetch cycle for two byte instructions such as JMP, JSR, DBR, TBR, and instructions that require an additional byte for immediate addressing. The mechanism for calculating the new PC value depends upon the opcode being processed.
Figure 403 shows a block diagram of the PCU: The ADD block is a simple adder modulo 212 with two inputs: an unsigned 12 bit number and an 8- bit signed number (high bit = sign). The signed input is either a constant of 0x01 , or an 8-bit offset
(the 8 bits from the MIU).
The "+1" block takes a 4-bit input and increments it by 1 (modulo 2). The "-1" block takes a 4-bit input and decrements it by 1 (modulo 12).
Table 380 lists the different forms of PC control: Table 381. Different forms of PC control during the Exec cycle
Figure imgf001016_0001
The updating of PCRamSel only occurs during JPl, JSI, JPZ and JSZ instructions, detected via Logico.
The same action for the Exec takes place for JMP, JSR, JPl, JSI, JPZ and JSZ, so we specifically detect that case in Logici. In the same way, we test for the RTS case in Logic2.
Figure imgf001016_0002
When updating the PC, we must decide if the PC is to be replaced by a completely new value (as in the case of the JMP, JSR, JPl, JSI, JPZ and JSZ instructions), or by the result of the adder (all other instructions). The output from Logici ANDed with Cycle can therefore be safely used by the multiplexor to obtain the new PC value (we need to always select PC+1 when Cycle is 0, even though we don't always write it to the PCA).
Note that the JPZ and JSZ instructions are implemented as 12 AND gates that cause the Accumulator value to be ignored, and the new PC to be set to 0. Likewise, the PCRamSel bit is cleared via these two instructions using the same AND mechanism. The input to the 12-bit adder depends on whether we are incrementing by 1 (the usual case), or adding the offset as read from the MIU (when a branch is taken by the DBR and TBR instructions). Logic3 generates the test. Logic3 Cycle Λ (((Cmd7-4 = DBR ) Λ -, CZ) v ((Cmd7-4 = TBR) Λ (Cmd0 ® Z)))
The actual offset to be added in the case of the DBR and TBR instructions is either the 8-bit value read from the MIU, or an 8-bit value generated by bits 3-1 of the opcode and treating bit 4 of the opcode as the sign (thereby making DBR immediate branching negative, and TBR immediate branching positive). The former is selected when bits 3-1 of the opcode is 0, as shown by Logic4. Logic4 If (Cmds = 000) output MIUδData Else output Cmd4 | Cmd4 | Cmd4 | Cmd4 | Cmd4 | Cmd3-1
Finally, the selection of which PC entry to use depends on the current value for SP. As we enter a subroutine, the SP index value must increment, and as we return from a subroutine, the SP index value must decrement. Logici tells us when a subroutine is being entered, and Logic tells us when the subroutine is being returned from. We use Logic2 to select the altered SP value, but only write to the SP register when Exec and Cmd4 are also set (to prevent JMP and JPZ from adjusting SP). The two VAL units are validation units connected to the Tamper Prevention and Detection circuitry (described in Section 10.3.5 on page 989), each with an OK bit. The OK bit is set to 1 on PORstL, and ORed with the ChipOK values from both Tamper Detection Lines each cycle. The OK bit is ANDed with each data bit that passes through the unit. Both VAL units also parity-check the data bits to ensure that they are valid. If the parity-check fails, the Erase Tamper Detection Line is triggered. In the case of VALi, the effective output from the SP register will always be 0. If the chip has been tampered with. This prevents an attacker from executing any subroutines.
In the case of VAL2, the effective PC output will always be 0 if the chip has been tampered with. This prevents an attacker from executing any program code. 16 Address Generator Unit
The Address Generator Unit (AGU) generates effective addresses for accessing the Memory Unit
(MU). In Cycle 0, the PC is passed through to the MU in order to fetch the next opcode. The AGU interprets the returned opcode in order to generate the effective address for Cycle 1. In Cycle 1 , the generated address is passed to the MU.
The logic and registers contained in the AGU must be covered by both Tamper Detection Lines.
This is to ensure that an attacker cannot alter any generated address. The latches for the counters and calculated address should also be parity-checked. If either of the Tamper Detection Lines is broken, the AGU will generate address 0 each cycle and all counters will be fixed at 0. This will only come into effect if an attacker has disabled the RESET and/or erase circuitry, since under normal circumstances, breaking a Tamper Detection Line will result in a RESET or the erasure of all Flash memory.
16.1 IMPLEMENTATION The block diagram for the AGU is shown in Figure 404:
The accessMode and WriteMask registers must be cleared to 0 on reset to ensure that no access to memory occurs at startup of the CPU.
The Adr and accessMode registers are written to during the final cycle of cycle 0 (Fetch) and cycle 1
(Exec) with the address to use during the following cycle phase. For example, when cycle = 1 , the PC is selected so that it can be written to Adr during Exec. During cycle 0, while the PC is being output from Adr, the address to be used in the following cycle 1 is calculated (based on the fetched opcode seen as Cmd) and finally stored in Adr when Fetch is 1. The accessMode register is also updated in the same way.
It is important to distinguish between the value of Cmd during different values for Cycle: • During Cycle 0, when Fetch is 1 , the 8-bit input Cmd holds the instruction to be executed in the following Cycle 1. This 8-bit value is used to decode the effective address for the operand of the instruction.
• During Cycle 1 , when Exec is 1 , Cmd holds the currently executing instruction.
The WriteMask register is only ever written to during execution of an appropriate ROR instruction. Logici sets the WriteMask and MMR WriteEnables respectively based on this condition: Logic! Exec Λ (Cmd7.0 = ROR WriteMask)
The data written to the WriteMask register is the lower δ bits of the Accumulator.
The Address Register Unit is only updated by an RIA or UA instruction, so the writeEnable is generated by Logic2 as follows: Logic2 Exec Λ (Cmd6-3 = 1111 ) The Counter Unit (CU) generates counters C1, C2 and the selected N index. In addition, the CU outputs a CZ flag for use by the PCU. The CU is described in more detail below. The VALi unit is a validation unit connected to the Tamper Prevention and Detection circuitry (described in Section 10.3.5 on page 939). It contains an OK bit that is set to 1 on PORstL, and ORed with the ChipOK values from both Tamper Detection Lines each cycle. The OK bit is ANDed with the 12 bits of Adr before they can be used. If the chip has been tampered with, the address output will be always 0, thereby preventing an attacker from accessing other parts of memory. The VALi unit also performs a parity check on the Adr Address bits to ensure it has not been tampered with. If the parity-check fails, the Erase Tamper Detection Line is triggered.
16.1.1 Counter Unit
The Counter Unit (CU) generates counters C1 and C2 (used internally). In addition, the CU outputs Cn and flag CZ for use externally. The block diagram for the CU is shown in Figure 405:
Registers C1 and C2 are updated when they are the targets of a DBR, SC or ROR instruction. Logici generates the control signals for the write enables as shown in the following pseudocode. isDbrSc = (Cmd7-4 = DBR) v (Cmd7-4 = SC) isRorCn = (Cmd7-4 = ROR) Λ (Cmd3-2 = 10 )
Cn E = Exec Λ (isDbrSc v isRorCn) Clwe = CnWE Λ -.Cmdo C2we = CnWE Λ Cmd0 The single bit flag CZ is produced by the NOR of the appropriate C1 or C2 register for use during a DBR instruction. Thus CZ is 1 if the appropriate Cn value = 0. The actual value written to C1 or C2 depends on whether the ROR, DBR or SC instruction is being executed. During a DBR instruction, the value of either C1 or C2 is decremented by 1 (with wrap). One multiplexor selects between the lower 6 bits of the Accumulator (for ROR instructions), and a 6- bit value for an SC instruction where the upper 3 bits = the low 3 bits from C2, and low 3 bits = low 3 bits from Cmd. Note that only the lowest 3 bits of the operand are written to 01.
The two VAL units are validation units connected to the Tamper Prevention and Detection circuitry (described in Section 10.3.5 on page 989), each with an OK bit. The OK bit is set to 1 on PORstL, and ORed with the ChipOK values from both Tamper Detection Lines each cycle. The OK bit is ANDed with each data bit that passes through the unit. All VAL units also parity check the data to ensure the counters have not been tampered with. If a parity check fails, the Erase Tamper Detection Line is triggered.
In the case of VALi, the effective output from the counter C1 will always be 0 if the chip has been tampered with. This prevents an attacker from executing any looping constructs. In the case of VAL2, the effective output from the counter C2 will always be 0 if the chip has been tampered with. This prevents an attacker from executing any looping constructs.
16.1.2 Calculate Next Address This unit generates the address of the operand for the next instruction to be executed. It makes use of the Address Register Unit and PC to obtain base addresses, and the counters from the Counter Unit to assist in generating offsets from the base address.
This unit consists of some simple combinatorial logic, including an adder that adds a 6-bit number to a 10-bit number. The logic is shown in the following pseudocode. isErase = (Cmd7-0 = ERA) isSt = (Cmd7-4 = ST) isAccRead = (Cmd7-6 = 10) # First determine whether this is an immediate mode requiring PC+1 isJmpJsrDbrTbrlm ed = (Cmd7-S =00) Λ (-ιCmd5 v (Cmd5-1 = 1x000)) isLia = (Cmd7-3 = LIA) isLoglmmed = ( (Cmd7_6 = 11) Λ ( (Cmd5 v Cmd4) Λ (Cmd5-3 ≠ 111) ) ) Λ (Cmd1-0 = 10) pcSel = Cycle v (-.Cycle Λ (isJmpJsrDbrTbrlmmed v isLoglmmed v isLia) )
# Generate AnSel signal for the Address Register Unit AOSel = (isAccRead v isSt) Λ (-.Cmd3 v (Cmds-3 = 001) ) AnSel1-0 = -.AOSel Λ Cmd2-ι
# The next address is either the new PC or must be generated # (we require the base address from Address Register Unit) nextRAMSel = AnDataOut8 Λ -.isErase If (nextRAMSel) baseAdr = 00 | AnDataOut7-0 # ram addresses are already word aligned Else baseAdr = AnDataOut7-0 | 00 # flash addresses are 4-byte aligned Endlf # Base address is now word (4-byte) aligned # Now generate the offset amount to be added to the base address selCn = (isAccRead v isSt) Λ (Cmd5 v Cmd4) Λ Cmd3 offseto = (AOSel Λ Cmd0) v (selCn Λ Cn0) offsetα. = (AOSel Λ Cmdx) v (selCn Λ Cn^ offset2 = (AOSel Λ Cmd2) v (selCn Λ Cn2) offsets-3 = selCn Λ Cn5-3 If (isErase) nextEffAdr11-4 = Acc7-0 nextEff dr3-0 = don' t care Else # now we can simply add the offset to the base address to get the effective adr nextEffAdr11-2 = baseAdr + offset # 10 bit plus 6 bit, with wrap = 10 bits out nextEffAdr1-0 = 0 # word access, so lower bits of effadr are 0 Endlf # Now generate the various signals for use during Cycle=l # Note that these are only valid when pcSel is 0 (otherwise will read PC) nextAccessMode0 = 1 # want 32-bit access nextAccessModex = nextRAMSel # ram or flash access (only valid if rd/wr/erase set) nextAccessMode2 = isAccRead # pcSel takes care of LIA instruction nextAccessMode3 = isSt # write access nextAccessMode4 = isErase # erase page access 16.1.3 Address Register Unit
This unit contains 4 x 9-bit registers that are optionally cleared to 0 on PORstL. The 2-bit input AnSel selects which of the 4 registers to output on DataOut. When the writeEnable is set, the AnSel selects which of the 4 registers is written to with the 9-bit Dataln. 17 Program Mode Unit
The Program Mode Unit (PMU) is responsible for Program Mode and Trim Mode operations:
• Program Mode involves erasing the existing flash memory and loading the new program/data into the flash. The program that is loaded can be a bootstrap program if desired, and may contain additional program code to produce a digital signature of the final program to verify that the program was written correctly (e.g. by producing a SHA-1 signature of the entire flash memory).
• Trim Mode involves counting the number of internal cycles that have elapsed between the entry of Trim Mode (at the falling edge of the ack) and the receipt of the next byte (at the falling edge of the last bit before the ack) from the Master. When the byte is received, the current count value divided by 2 is transmitted to the Master. The PMU relies on a fuse (implemented as the value of word 0 of the flash information block) to determine whether it is allowed to perform Program Mode operations. The purpose of this fuse is to prevent easy (or accidental) reprogramming of QA Chips once their purpose has been set. For example, an attacker may want to reuse chips from old consumables. If an attacker somehow bypasses the fuse check, the PMU will still erase all of flash before storing the desired program. Even if the attacker somehow disconnects the erasure logic, they will be unable to store a program in the flash due to the shadow nybbles.
The PMU contains an 8-bit buff register that is used to hold the byte being written to flash and a 12- bit adr register that is used to hold the byte address currently being written to. The PMU is also used to load word 1 of the information block into a 32-bit register (combined from 8-bits of buff, 12-bits of adr, and a further 12-bit register) so it can be used to XOR all data to and from memory (both Flash and RAM) for future CPU accesses. This logic is activated only when the chip enters ActiveMode (so as not to access flash and possibly cause an erasure directly after manufacture since shadows will not be correct). The logic and 32-bit mask register is in the PMU to minimize chip area.
The PMU therefore has an asymmetric access to flash memory:
• writes are to main memory
• reads are from information block memory
The reads and writes are automatically directed appropriately in the MRU. A block diagram of the PMU is shown in Figure 406.
17.1 LOCAL STORAGE AND COUNTERS
The PMU keeps a 1 -cycle delayed version of MRURdy, called prevMRURdy. It is used to generate PMNewTrans. Therefore each cycle the PMU performs the following task: prevMRURdy - MRURdy v (state = loadByte)
The PMU also requires 1-bit maskLoaded, idlePending and idlePending registers, all of which are cleared to 0 on RstL. The 1-bit fuseBlown register is set to 1 on RstL for security.
17.2 STATE MACHINE The state machine for the PMU is shown in Figure 407, with the pseudocode for the various states outlined below. rstl prevMRURdy, maskLoaded, idlePending, adr <— 0 #clear most regs fuseBlown — 1 # for security sake assume the worst state - idle The idle state, entered after reset, simply waits for the lOMode to enter ProgramMode, ActiveMode, or Trim Mode. Note that the reset value for fuseBlown means that ProgramMode and TrimMode cannot be entered until after a successful entry into ActiveMode that also clears the fuseBlown register. In state idle, PMEn = -.maskLoaded, and in state wait4Mode PMEn = 0. In all other states, PMEn = 1. idle idlePending <- 0 PMEn = -.maskLoaded PMNewTrans = 0 If ( (lOMode = ActiveMode) Λ MRURdy) If (maskLoaded) state — wait4mode # no need to reload mask once loaded Else adr — 0 # the location of the fuse is within 32 -bit word 0 state <— loadFuse Endlf Elself ( ( lOMode = ProgramMode) Λ MRURdy Λ -.fuseBlown) # wait 4 access 2 finish maskLoaded - 0 # the mask is now invalid adr — 0 # the location of the fuse is within 32-bit word 0 state r- loadFuse Elself ( (lOMode = TrimMode) Λ MRURdy Λ -.fuseBlown) # wait 4 access 2 finish maskLoaded <— 0 # the mask is now invalid adr <— 0 # start the counter on entering TrimMode state - trim Else state - idle Endlf The wait4mode state simply waits until for the current mode to finish and returns to idle. wait4mode PMEn = 0 PMNewTrans = 0 If (lOMode = IdleMode) state <r- idle Else state <— wait4mode Endlf The trim state is where we count the number of cycles between the entry of the Trim Mode and the arrival of a byte from the Master. When the byte arrives from the Master, we send the resultant count: trim # We saturate the adder at all Is to make external trim control easier lastOne = adr0 Λ adrx Λ . . . adru If (-ilastOne) adr = adr + 1 # 12 bit incrementor Endlf # This logic simply causes the current adder value to be written to the # outByte when the inByte is received . The inByte is cleared when received # although it is not strictly necessary to do so PMOutByteWE = InByteValid # 0 in all other states PMInByteUsed = InByteValid # same as in loadByte state, 0 in all other states If (lOMode ≠ TrimMode) state — idle Elself (InByteValid) state <— wait4mode Else state <- trim Endlf The loadFuse state is called whenever there is an attempt to program the device or we are entering ActiveMode and the mask is invalid (i.e. after power up or after a ProgramMode or TrimMode command). We load the 32-bit fuse value from word 0 of information memory in flash and compare it against the FuseSig constant (0x5555AAAA) to obtain the fuse value. The next state depends on lOMode and the Fuse. loadFuse PMEn = 1 PMNewTrans = prevMRURdy idlePending J.n = idlePending v (lOMode = IdleMode) idlePending <- idlePendingJ.n If (MRURdy) If (idlePendingJ.n) # don't change state until the memory access is complete state - idle Else fuseBlown .n = (MRUData31-0 = FuseSig) fuseBlown <— fuseBlownJ.n If (lOMode = ProgramMode) If (fuseBlown n) state <r- wait4mode # not allowed to program anymore Else state — erase Endlf Elsif (lOMode = ActiveMode) adr <- 4 # byte 4 is word 1 (the location of the XORMask) state <- getMask Else state - idle Endlf Endlf Else state <— loadFuse Endlf
The erase state erases the flash memory and then leads into the main programming states: erase PMNewTrans = prevMRURdy PMEraseDevice = 1 # is 0 in all other states adr <- 0 idlePending .n = idlePending v ( OMode ≠ ProgramMode) idlePending <— idlePendingJn If (MRURdy) If (idlePendingJn) state - idle Else state <- loadByte Endlf Else state <— erase Endlf
Program Mode involves loading a series of 8-bit data values into the Flash. The PMU reads bytes via the lOU's InByte and InByteValid, setting MUInByteUsed as it loads data. The Master must send data slightly slower than the speed it takes to write to Flash to ensure that data is not lost. loadByte # Load in 1 byte (1 word) from IO Unit PMNewTrans = 0 PMInByteUsed = InByteValid # same as in Trimln state, and 0 in all other states ' If (lOMode ≠ ProgramMode) state <- idle Else If (InByteValid) buff <- InByte state <- writeByte Else state <- loadByte Endlf Endlf writeByte PMNewTrans = prevMRURdy PMRW = 0 # write. In all other states, PMRW = 1 (read) PM32Out7_0 = buff # data (can be tied to this) PM320ut19-8 = adr # can be tied to this PM32Out31-20 = 12bitReg# is always this (is don't care during a write) idlePending n = idlePending v (lOMode ≠ ProgramMode) idlePending <- idlePendingJn If (MRURdy) lastOne = adr0 Λ adrn. Λ ... adrxl adr <— adr + 1 # 12 bit incrementor If (idlePendingJn) state <— idle Elself ( lastOne) state <— wait4Mode Else state <- loadByte Endlf Else state <r- writeByte Endlf The getMask state loads up word 1 of the flash information block (bytes 4-7) into the 32-bit buffer so it can be used to XOR all data to and from memory (both Flash and RAM) for future CPU accesses. getMask PMNewTrans = prevMRURdy PM320ut19-8 = adr # adr should = 4 , i . e . word 1 which holds the CPU' s mask PMRW = 1 # read (MUST be 1 in this state) idlePending J.n = idlePending v (lOMode ≠ ActiveMode) idlePending - idlePendingJn If (MRURdy) buff <r- MRUData7-0 adr - MRUData19_8 12bitReg <- MRUData31-20 maskLoaded <— 1 If (idlePendingJ.n) state <— idle Else state <— wait4mode Endlf Else state <- getMask Endlf 18 Memory Request Unit
The Memory Request Unit (MRU) provides arbitration between PMU memory requests and CPU- based memory requests. The arbitration is straightforward: if the input PMEn is asserted, then PMU inputs are processed and CPU inputs are ignored. If PMEn is deasserted, the reverse is true. A block diagram of the MRU is shown in Figure 40δ.
18.1 ARBITRATION LOGIC
The arbitration logic block provides arbitration between the accesses of the PM and the 8/32-bit accesses of the CPU via a simple multiplexing mechanism based on PMEn: ReqDataOut31-8 = CPUDataOut3ι-8 If (PMEn) NewTrans = PMNewTrans AccessMode0 = PMRW # maps to 1 for reads (32 bits) , 0 for writes (8 bits) AccessMode! = 0 # flash accesses only AccessMode2 = PMRW Λ -.PMEraseDevice # read has lower priority than erase AccessMode3 = -.PMRW Λ -.PMEraseDevice # write has lower priority than erase AccessMode4 = 0 # pageErase AccessMode5 = PMEraseDevice # erase everything (main & info block) WriteMask = OxFF Adr = PM320utι9-8 ReqDataOut7-0 = PM32Out7-0 Else NewTrans = CPUNewTrans Λ (CPUAccessMode4-2 ≠ 000) AccessMode4-0 = CPUAccessMode AccessMode5 = 0 # cpu cannot ever erase entire chip WriteMask = CPUWriteMask Adr = CPUAdr ReqDataOut7-0 = CPUDataOut7-0 Endlf
18.2 MEMORY REQUEST LOGIC The Memory Request Logic in the MRU implements the memory requests from the selected input. An individual request may involve outputting multiple sub-requests e.g. an δ-bit read consists of 2 x 4-bit reads (each flash byte contains a nybble plus its inverse). The input accessMode bits are interpreted as follows: Table 332. Interpretation of accessMode bits
Bit Description 0 = δ-bit access
Figure imgf001029_0001
The MRU contains the following registers for general purpose flow control: Table 383. Description of register settings
Figure imgf001029_0002
Figure imgf001030_0001
Table 3δ3 lists the registers specif ically for testing flash. Although the complete set of flash test registers is in both the MRU and MAU (group 0 is in the MRU, groups 1 and 2 are in the MAU), all the decoding takes place from the MRU.
Table 3δ3. Flash test registers settable from CPU when the RAM address is > 1237
Figure imgf001030_0002
This is from the programmer's perspective. Addresses sent from the CPU are byte aligned, so the MRU needs to test bit n+2. Similarly, checking DRAM address > 128 means testing bit 7 of the address in the CPU, and bit 9 in the MRU.
Figure imgf001031_0001
18.2.1 Reset Initialization on reset involves clearing all the flags: MRURdy = 0 # can't process anything at this point activeTrans — 0 extraTrans — 0 illChip - 0 badUntilRestart <— 0 restartPending <- 0 unshadowed shadowed retryCount — 0 retryStarted <— 0 nextToXfer — 0 # don' t care shadowsOff - 0 hiFlashAdr <- 0 infoBlockSel — 0# used to generate MRUMode2 18.2.2 Main logic The main logic consists of waiting for a new transaction, and starting an appropriate sub-transaction accordingly, as shown in the following pseudocode: # Generate some basic signals for use in determining accessPatterns Is32Bit = AccessMode0 IsβBit = -ιAccessMode0 IsFlash = -.AccessMode! IsRAM = AccessMode! IsRead = AccessMode2 IsWrite = AccessMode3 noShadows = shadowsOff doShadows = IsFlash A -inoShadows continueRequest = (lOMode ≠ IdleMode) okForTrans = -irestartPending Λ continueRequest startOfSubTrans = (NewTrans v extraTrans) Λ okForTrans doingTrans = StartOfSubTrans v (activeTrans Λ -.extraTrans) IsInvalidRAM = doingTrans Λ IsRAM Λ (Adr9 v (Adr8 Λ Adr7) ) IsTestModeWE = doingTrans Λ IsRAM Λ IsWrite Λ Adrs IsTestReg0 = IsTestModeWE Λ Adr3 #write to flash test register - bit 1 of word adr IsTestRegj. = IsTestModeWE Λ Adr4 #write to flash test register - bit 2 of word adr MRUTestWE = IsTestReg0 v IsTestRegα. IsPageErase = AccessMode4 IsDeviceErase = AccessModes v (IsTestModeWE Λ (Adr8-2 = 0001000)) # bit 9 not req IsErase = IsDeviceErase v IsPageErase MRURAMSel = IsRAM Λ -.MRUTestWE Λ -.IsDeviceErase isInfBlock = (PMEn Λ (IsDeviceErase v IsRead) ) v (-.PMEn Λ infoBlockSel Λ (IsDeviceErase v (IsFlash Λ (Adr11-7 = 0) Λ -. (Adr6 Λ doShadows) ) ) )
# Which element (byte or nybble) are we up to xferring? If (NewTrans) toXfer = 0 Else toXfer = nextToXfer
Endlf
# Form the address that goes to the outside world If (IsFlash Λ noShadows) byteCount = toXfer1-0 MRUAdri2 = hiFlashAdr # upper or lower block of 4Kbytes of flash MRUAdr11-2 = Adr11-2 # word # MRUAdr1-0 = (Adr1-0 Λ (-.Is32Bit | -.Is32Bit) ) v byteCount # byte Else byteCount = toXfer2-1 MRUAdr12-3 = Adrι1-2 # word # MRUAdr2-1 = (Adr1-0 Λ (-.Is32Bit |-,Is32Bit) ) v byteCount # byte MRUAdr0 = toXfer0 #nybble Endlf
# Assuming a write, are we allowed to write to this address? writeEn = SelectBit [WriteMask, ( (MRUAdr2 Λ doShadows)] MRUAdr1-0) ] # mux: 1 from 8
# Generate the 4-bit mask to be used for XORing during CPU access to flash baseMask = SelectNybble (PM320ut, MRUAdr2-0) # mux selects 4 bits of
32
If (PMEn) theMask = 0
Else theMask = baseMask # we only use mask for CPU accesses to flash Endlf
# Select a byte (and nybble) from the data for writes baseByte = SelectByte [ReqDataOut, byteCount] # mux: 8 bits from 32 baseNybble = SelectNybble [baseByte, toXfer0] # mux: 4 bits from 8 outNybble = baseNybble θ theMask # only used when nybble writing
# Generate the data on the output lines (doesn't matter for reads or erasures)
MRUDataOut31-8 = ReqDataOut31-8 # effectively don't care for flash writes
If (doShadows) MRUDataOut7 = -.outNybble3 MRUDataOut6 = outNybble3 MRUDataOut5 = -.outNybble2 MRUDataOut4 = outNybble2 MRUDataOut3 = -.outNybble! MRUDataOut2 = outNybble! MRUDataOuti = -ioutNybble0 MRUDataOuto = outNybble0 Else MRUDataOut7-0 = baseByte Endlf
# Setup MRUMode allowTrans = IsRAM v IsRead v (IsWrite Λ writeEn) v IsErase If (doingTrans) MRUMode2 = IsInfBlock MRUod! = IsErase v IsTestRegi MRUMode0 = IsDeviceErase v (-.IsWrite Λ -.IsPageErase) v IsTestReg0 MRUNewTrans = startOfSubTrans Λ allowTrans Λ (-.IsInvalidRAM v MRUTestWE v IsDeviceErase) Else MRUMode2-0 = 001 # read (safe) MRUNewTrans = 0 Endlf
# Generate the effective nybble read from flash (this may not be used) .
# When there is a shadowFault (non-erased memory and invalid shadows) we consider
# it a bad read when an 8-bit read, or when writeMask0 is 0.
# Note: we always substitute the upper nybble of WriteMask for the non-valid data,
# but only flag a read error if WriteMask0 is also 1. When the data is erased,
# we return 0 regardless of WriteMask0. finishedTrans = doingTrans Λ MAURdy finishedFlashSubTrans = finishedTrans Λ IsFlash Λ -.IsErase isWrittenFlash = (FlashData7-0 ≠ 11111111) # flash is erased to all Is
If (isWrittenFlash Λ ( (FlashData7ι5,3;ι θ FlashData6,4/2/0) ≠ 1111)) inNybble3-0 = WriteMask7-4 badRead = finishedFlashSubTrans Λ IsRead Λ (Is8Bit v
-.WriteMasko) Λ doShadows Else inNybble3>2ιι/0 = (theMask3j2/ι,0 θ FlashData64,2,0) Λ isWrittenFlash badRead = 0 Endlf
# Present the resultant data to the outside world MaskTheData = IsInvalidRAM v badRead v (badUntilRestart Λ -.ISRAM) NoData = IsErase v IsWrite v -idoingTrans If (NoData v MaskTheData) MRUData0 = IsInvalidRAM Λ illChip MRUData4-ι = retryCount Λ (IsInvalidRAM Λ Adr2) # mask all 4 count bits MRUData3ι-5 = 0 # also ensures a read that is bad returns 0 Elself (IsRAM) MRUData3ι-24 = SelectByte [RAMData, (Adrι_0 v Is32Bit | Is32Bit) ] # mux: 8 from 32 MRUData23-0 = RAMData23-0 # Isbs remain unchanged from RAM Elself (doShadows) MRUData3ι-28 = inNybble MRUData27-0 = buff27-0 Else MRUData3ι-24 = FlashData MRUData23-0 = buff27- Endlf
# Shift in the data for the good reads - either 4 or 8 bits (writes = don't care)
If (finishedFlashSubTrans Λ -.badRead) buff3_„ <- buff7_4 # shift right 4 bits If (doShadows) buff23-4 <— buff27-8 # shift right 4 bits buff27-24 <— inNybble Else buffι9-4 <— buff27-i2 # shift right 8 bits, buff3-0 is don't care buff27-20 <- FlashData Endlf Endlf
# Determine whether or not we need a new sub-transaction. We only need one if:
# * there hasn't been a transition to IdleMode during this transaction # * we're doing 8 bit reads that are shadowed
# * we're doing 32 bit reads and we've done less than 4 or 8 (sh vs non-sh)
# * we got a bad read from flash and we need to retry the read (jic was a glitch) moreAdrsToGo = (-.toXfer0 Λ ( (Is8Bit Λ doShadows) v Is32Bit) ) v (-.toXferi Λ Is32Bit) v (-,toXfer2 Λ Is32Bit Λ doShadows) needToRetryRead = badRead Λ (-.retryStarted v (retryCount ≠ 1111) ) extraTransJn = finishedFlashSubTrans Λ (moreAdrsToGo v needToRetryRead) Λ okForTrans nextToXfer - toXfer + (finishedFlashSubTrans Λ (IsWrite v -.needToRetryRead) )
# generate our rdy signal and state values for next cycle MRURdy = -.doingTrans v (doingTrans Λ MAURdy Λ -.extraTransJn) extraTrans <— extraTransJn activeTrans — -.MRURdy # all complete only when MRURdy is set
# Take account of bad reads triedΞnough = badRead Λ retryStarted Λ (retryCount = 1111) If (MAURdy) If (IsTestModeWE Λ (Adr5-2 = 0000)) # capture writes to local regs illChip - 0 retryCount <— 0 Else illChip <- illChip v triedEnough If (badRead) retryCount <— (retryCount Λ retryStarted) + 1 # AND all 4 bits retryStarted <— 1 Else retryStarted •<— 0# clear flag so will be ok for the next read Endlf Endlf Endlf
# Ensure that we won't have problems restarting a program
If (MRURdy Λ -.okForTrans) # note MRURdy (may not be running a transaction! ) shadowsOff, hiFlashAdr, infoBlockSel, restartPending, badUntilRestart - 0 Else badUntilRestart - badUntilRestart v triedEnough If (doingTrans Λ -.continueRequest) restartPending <— 1 # record for later use Endlf If (IsTestModeWE Λ Adr2) # the other writes are taken care of by the MAU shadowsOff <- ReqDataOut0 hiFlashAdr <- ReqDataOuti infoBlockSel - ReqDataOut2 Endlf Endlf 19 MemoryAccess Unit
The Memory Access Unit (MAU) takes memory access control signals and turns them into RAM accesses and flash access strobed signals with appropriate duration.
A new transaction is given by MRUNewTrans. The address to be read from or written to is on MRUAdr, which is a nybble-based address. The MRUAdr (13-bits) is used as-is for Flash addressing. When MRURAMSel = 1 , then the RAM address (RAMAdr) is taken from bits 9-3 of MRUAdr. The data to be written is on MRUData. The return value MAURdy is set when the MAU is capable of receiving a new transaction the following cycle. Thus MAURdy will be 1 during the final cycle of a flash or ram access, and should be 1 when the MAU is idle. MAURdy should only be 0 during startup or when a transaction has yet to finish.
When MRURAMSel = 1 , the access is to RAM, and MRUMode has the following interpretation: Table 3δ4. Interpretation of MRUMode10 f0rRA accesses
Figure imgf001038_0001
When MRURAMSel = o, the access is to flash. If MRUTestWE = o, then the access is to regular flash memory, as given by MRUMode: 11 Table 385. Interpretation of MRUMode for regular flash accesses
10 RU ode2-ι is ignored for RAM accesses MRUMode2 can be directly interpreted by the MAU as the IFREN signal required for embedded flash block SFC008 08B9 HE
Figure imgf001039_0002
If MRUTestWE is 1, then MRUMode2 will also be 0, and the access is to a flash test register, as given by MRUMode: Table 336. Interpretation of MRUMode for flash test register write accesses
Figure imgf001039_0001
19.1 IMPLEMENTATION
The MAU consists of logic that calculates MAURdy, and additional logic that produces the various strobed signals according to the TSMC Flash memory SFC000δ_0δB9_HE; refer to this datasheet
[4] for detailed timing diagrams. Both main memory and information blocks can be accessed in the
Flash. The Flash test modes are also supported as described in [5] and general application information is given in [6].
The MAU can be considered to be a RAM control block and a flash control block, with appropriate action selected by MRURAMSel. For all modes except read, the Flash requires wait states (which are implemented with a single counter) during which it is possible to access the RAM. Only 1 transaction may be pending while waiting for the wait states to expire. Multiple bytes may be written to Flash without exiting the write mode.
MRUMode2 will always be 0 when MRUTestWE = 1. The MAU ensures that only valid control sequences meeting the timing requirements of the Flash memory are provided. A write time-out is included which ensures the Flash cannot be left in write mode indefinitely; this is used when the Flash is programmed via the IO Unit to ensure the X address does not change while in write mode. Otherwise, other units should ensure that when writing bytes to Flash, the X address does not change. The X address is held constant by the MAU during write and page erase modes to protect the Flash. If an X address change is detected by the MAU during a Flash write sequence, it will exit write mode allowing the X address to change and re- enter write mode. Thus, the data will still be written to Flash but it will take longer. When either the Flash or RAM is not being used, the MAU sets the control signals to put the particular memory type into standby to minimise power consumption.
The MAU assumes no new transactions can start while one is in progress and all inputs must remain constant until MAU is ready.
19.2 FLASH TEST MODE
MAU also enables the Flash test mode register to be programmed which allows various production tests to be carried out. If MRUTestWE = 1 , transactions are directed towards the test mode register. Most of the tests use the same control sequences that are used for normal operation except that one time value needs to be changed. This is provided by the flashTime register that can be written to by the CPU allowing the timer to be set to a range of values up to more than 1 second. A special control sequence is generated when the test mode register is set to 0x1 E and is initiated by writing to the Flash.
Note that on reset, timeSel and flashTime are both cleared to 0. The 5-bit flash test register within the TSMC flash IP is also reset by setting TMR =1. When MRUTestWE = 1, any open write sequence is closed even if the write is not to the 5-bit flash test register within the TSMC flash IP.
19.3 FLASH POWER FAILURE PROTECTION Power could fail at any time; the most serious consequence would be if this occurred during writing to the Flash and data became corrupted in another location to that being written to. The MAU will protect the Flash by switching off the charge pump (high voltage supply used for programming and erasing) as soon as the power starts to fail. After a time delay of about 5μs (programmable), to allow the discharge of the charge pump, the QA chip will be reset whether or not the power supply recovers.
19.4 FLASH ACCESS STATE MACHINE
19.5 INTERFACE Table 3δ7. MAU interface description
Figure imgf001040_0001
Figure imgf001041_0001
Figure imgf001042_0001
19.6 CALCULATION OF TIMER VALUES
Set and calculate timer initialisation values based on Flash data sheet values, clock period and clock range. # Note: Flash data sheet gives minimum timings # Delays greater than 1 clock cycle clock_ >er = 100 # ns
Flash Tnvs = 7500 # ns Flash_Tnvh = 7500 # ns Flash Tnvhl = 150 # us Flash_Tpgs = 15 # us Flash_Tpgh = 100 # ns Flash_Tprog = 30 # us Flash_Tads = 100 # ns Flash Tadh = 30 # us # Byte write timeout Flash Trcv = 1500 # ns Flash_Thv = 6 # ms # Not currently used Flash_Terase = 30 # ms Flash Tme = 300 # ms
# Derive maximum counts ( - 1 since state machine is synchronous) FLASH_NVS = Flash_Tnvs/clock_j?er - 1 FLASHJNVH = Flash_Tnvh/clock_j)er - 1 FLASH_NVH1 = Flash_Tnvhl*1000/clock_ per - 1 FLASH_PGS = Flash_Tpgs* 1000/clock_j>er - 1 FLASH PGH = Flash_Tpgh/clock_jper - 1 F ASH_PROG = Flash_Tprog*1000/clock_ per - 1 FLASH_ADS = Flash_Tads/clock_per - 1 FLASH_ADH = Flash_Tadh*100 θ/clock_ per - 1 FLASH_-^DH_AND_WRITE_PGH = F ASH_ADH + F ASH_PGH + 1 # note is +1 FLASH_RCV = Flash_Trcv/clock_jper - 1 FLASHJHV = Flash_Thv*1000000/clock_per - 1 FLASH_ERASE = Flash_Terase*1000000/clock_per - 1 FLASH_ME = Flash_Tme*1000000/clock_per - 1 count_size = 24 # Number of bits in timer counter (newCount) determined by Tme
19.7 DEFAULTS Defaults to use when no action is specified. FlashTransPendingSet = 0 FlashTransPendingReset = 0 TMRSet = 0 TMRRst = 0 STLESet = 0 STLERst = 0 TestTimeEn = 0 IFREN = FlashXadr7 XE = 0 YE = 0 SE = 0 OE = 0 PROG = 0 NVSTR = 0 ERASE = 0 MAS1 = 0 MAURstOutL = 1
If (accessCount ≠ 0) newCount = accessCount - 1 # decrement unless instructed otherwise Else newCount = 0 Endlf
19.6 RESET Initialise state and counter registers. # asynchronous reset (active low) state <— idle accessCount <- 1 countZ <— 0 XadrReg <- 0 FlashTransPending — 0 TestTime <- 0 TMR <- 1 STLEFlag <- 0
19.9 STATE MACHINE
The state machine generates sequences of timed waveforms to control the operation of the Flash memory. idle FlashTransPendingReset = 1 If (somethingToDo) # Flash starting conditions If (MRUTestWE) nextState = TM0 Else Switch (MRUModeint) Case doWrite : nextState = writeNVS newCount = FLASH_NVS Case doRead: YE = 1 SE = 1 OE = 1 XE = 1 nextState = idle Case doErasePage : nextState = pageErase newCount = FLASH_NVS Case doEraseDevice : nextState = massΞrase newCount = FLASH_NVS EndSwitch Endlf Endlf 19.9.1 Flash page erase The following pseducocode illustrates the Flash page erase sequence. pageErase ERASE = 1 XE = 1 If (-.PwrFailing) If (countZ) newCount = FLASH_ERASE nextState =pageEraseERASE Endlf Else newCount = TestTimeι9-0 nextState =Helpl Endlf
pageEraseERASE ERASE = 1 NVSTR = 1 XE = 1 If (-iPwrFailing) If (countZ) newCount = FLASHJSTVH nextState =pageEraseNVH Endlf Else newCount = TestTimeι9-0 nextState =Helpl Endlf
pageEraseNVH NVSTR = 1 XE = l If (-.PwrFailing) If (countZ) newCount = FLASH_RCV nextState =RCVPM Endlf Else newCount = TestTime19-0 nextState =Helpl Endlf
RCVPM If (countZ) nextState = idle # exit Endlf 19.9.2 Flash mass erase The following pseducocode illustrates the Flash mass erase sequence. massErase MAS1 = 1 ERASE = 1 XE = 1 If (countZ) If (-ιTestTime20) newCount = FLASH_ME Else newCount = TestTimeι9_0 | 0000 Endlf nextState = massΞraseME Endlf massEraseME MAS1 = 1 ERASE = 1 NVSTR = 1 XE = 1 If (countZ) newCount = FLASH_NVH1 nextState = massEraseNVHl Endlf massEraseNVHl MAS1 = 1 NVSTR = 1 XE = 1 If (countZ) newCount = FLASH_RCV nextState = RCVPM Endlf 19.9.3 Flash byte write The following pseducocode illustrates the Flash byte write sequence. writeNVS PROG = 1 XE = 1 If (-iPwrFailing) If (countZ) If (-πSTLEFlag) newCount = FLASH_PGS nextState = writePGS Else newCount = TestTime19-0 | 0000 nextState = STLE0 Endlf Endlf Else newCount = TestTime19-0 nextState = Helpl Endlf writePGS ROG = 1 NVSTR = 1 XE = 1 If (-iPwrFailing) If (countZ) newCount = FLASH_ADS nextState =writeADS Endlf Else newCount = TestTime19-0 nextState = Helpl Endlf writeADS # Add Tads to Tpgs PROG = 1 NVSTR = 1 XE = 1 FlashTransPendingReset = 1 If (-iPwrFailing) If (countZ) If (-ιTestTime20) newCount = FLASH_PROG Else newCount = TestTime19-0 | 0000 Endlf nextState =writePROG Endlf Else newCount = TestTime19_0 nextState = Helpl Endlf
writePROG PROG = 1 NVSTR = 1 YE = 1 XE = 1 If (-iPwrFailing) If (countZ) newCount = FLASH_ADH_AND_WRITE_PGH nextState =writeADH Endlf Else newCount = TestTime19-0 nextState =Help2 Endlf
writeADH PROG = 1 NVSTR = 1 XE = 1 FlashTransPendingSet = somethingToDo If (-iPwrFailing) If (-iFlashNewTrans) If (countZ)-- Gracefull exit after timeout newCount = FLASH_NVH nextState =writeNVH Endlf Else # -- Do something as there is a new transaction If ( (MRUModeint = doWrite) Λ (-iXadrCh) ) newCount = FLASH_ADS -- Write another byte nextState = writeADS Else newCount = FLASH_NVH -- Exit as new trans is not Flash write nextState =writeNVH Endlf Endlf Else newCount = TestTime19-0 nextState = Helpl Endlf
writeNVH NVSTR = 1 XE = 1 FlashTransPendingSet = somethingToDo If (-iPwrFailing) If (countZ) newCount = FLASH_RCV nextState =RCV Endlf Else newCount = TestTimeι9-0 nextState = Helpl Endlf RCV # wait til we' re allowed to do another transaction FlashTransPendingSet = somethingToDo If (countZ) nextState = idle Endlf 19.9.4 Test Mode sequence The following pseducocode illustrates the test mode sequence. TMO # Needed this due to delay on TMR IFREN = 0 nextState = idle # default If ( MRUModeintx) TestTimeEn = 1 Endlf If (MRUModeinto) If (-.MRUDataOut3 ) TMRSet = 1 STLERst = 1 # Reset flag as leaving test mode Else If (MRUDataOutB-4 = 11110) STLESet = 1 Else STLERst = 1 Endlf TMRRst = 1 nextState =TM1 # Will get priority Endlf Endlf TMi IFREN = 0 nextState =TM2
TM2 NVSTR = 1 SE = 1 IFREN = 0 nextState = TM3
TM3 NVSTR = 1 SE = 1 MAS1 = MRUDataOut4 IFREN = MRUDataOuts XE = MRUDataOutg YE = MRUDataOut? ERASE = MRUDataOutg TMRSet = 1 nextState =TM4
TM4 NVSTR = 1 SE = 1 MAS1 = MRUDataOUt4 IFREN = MRUDataOut5 XE = MRUDataOutg YE = MRUDataOut7 ERASE = MRUDataOuts TMRRst = 1 nextState = TM5
TM5 NVSTR = 1 SE = 1 MAS1 = MRUDataOut4 IFREN = MRUDataOuts XE = MRUDataOutg YE = MRUDataOut7 ERASE = MRUDataOutg nextState =TM6
TM6 NVSTR = 1 SE = 1 nextState = idle
19.9.5 Reverse tunneling and thin oxide leak test The following pseducocode shows the reverse tunneling and thin oxide leak test sequence. STLE0 XE = 1 PROG = 1 NVSTR = 1 If (countZ) newCount = FLASH_NVH nextState = STLE1 Endlf
STLE1 XE = 1 NVSTR = 1 If (countZ) newCount = FLASH_RCV nextState = STLE2 Endlf
STLE2 If (countZ) nextState = idle Endlf
19.9.6 Emergency instructions The following pseducocode shows the states used for emergency situations such as when power is failing. Helpl # MAURdy -> 0 to hold MAU inputs constant, if not too late XE = l If (countZ) nextState = Goodbye Endlf Help2 # MAURdy -> 0 to hold MAU inputs constant, if not too late XE = 1 YE = 1 If (countZ) nextState = Goodbye Endlf Goodbye XE = 1 # Prevents Flash timing violation MAURstOutL = 0 # Reset whole chip whether power fails # nothing else to do or recovers
19.10 CONCURRENT LOGIC accessCount <— newCount # update accessCount every cycle countZ <— (newCount = 0)
XadrReg <- FlashXAdr # store the previous X address state - nextState
If (FlashTransPendingReset) FlashTransPending <— 0 # Reset flag (has priority) Else If (FlashTransPendingSet) FlashTransPending - 1 # Set flag Endlf Endlf
If (TestTimeEn) TestTime - MRUData0ut29-9 Endlf
If (TMRSet) -- SRFF for TMR TMR - 1 Else If (TMRRst) TMR - 0 Endlf Endlf
If (STLERst) -- SRFF for STLE tests STLEFlag - 0 Else If (STLESet) STLEFlag <- 1 Endlf Endlf
FlashNewTrans = MRUNewTrans Λ (-.MRURAMSel) RAMNewTrans = MRUNewTrans Λ MRURAMSel somethingToDo = FlashTransPending v FlashNewTrans quickCmd = (MRUModeint = doRead) Λ -.MRUTestWE FlashRdy = ((state = idle) Λ (-.somethingToDo v quickCmd)) v (((state = writeADH) v (state = writeNVH) v (state = writeRCV) ) Λ (-.FlashTransPendingSet)) v ((state = TMO) Λ (nextState = idle)) v (state = TM6)
If (MRURamSel) MAURdy = 1 # Always ready for RAM Else MAURdy = FlashRdy Endlf IandX = MRUMode2 | MRUAdr12-6 FlashXAdr = IandX When ( (-.XE) v (SE Λ OE) ) Else XadrReg FlashAdr = FlashXAdr | MRUAdrs-0 # Merge X and Y addresses XadrCh = 1 When ((XadrReg /= IandX) Λ XE Λ(-,SE) Λ(-,0E) Λ FlashNewTrans) Else 0 # Xadr change
MRUModeint = MRUModex-o # Backwards compatability RAMAdr = MRUAdr9-3 # maximum address = 95, responsibility of MRU for valid adr RAMWE = MRUModeint0 RAMOutEn = -.RAMNewTrans # turn off RAM if not using it FlashCtrl ( 0 ) = IFREN FlashCtrl ( 1 ) = XE FlashCtrl ( 2 ) = YE FlashCtrl ( 3 ) = SE FlashCtrl (4 ) = OE FlashCtrl ( 5 ) = PROG FlashCtrl ( 6 ) = NVSTR FlashCtrl ( 7 ) = ERASE FlashCtrl ( 8 ) = MAS1
MemClk = -.Clk # Memory clock
20 Analogue unit
This section specifies the mandatory blocks of Section 11.1 on page 992 in a way which allows some freedom in the detailed implementation.
Circuits need to operate over the temperature range -40°C to +125°C.
The unit provides power on reset, protection of the Flash memory against erroneous writes during power down (in conjunction with the MAU) and the system clock SysClk.
20.1 VOLTAGE BUDGET The table below shows the key thresholds for VDD which define the requirements for power on reset and normal operation.
Table 333. VDD limits
Figure imgf001055_0001
13 The voltage VDDFT may only be applied for the times specified in the TSMC Flash memory test document.
14 Voltage regulators used to derive VDD will typically have symmetric tolerance lim its The minimum allowable voltage for Flash memory operation. 20.2 VOLTAGE REFERENCE
This circuit generates a stable voltage that is approximately independent of PVT (process, voltage, temperature) and will typically be implemented as a bandgap. Usually, a startup circuit is required to avoid the stable Vbg = 0 condition. The design should aim to minimise the additional voltage above Vbg required for the circuit to operate. An additional output, BGOn, will be provided and asserted when the bandgap has started and indicates to other blocks that the output voltage is stable and may be used. Table 389. Bandgap target performance
Figure imgf001056_0001
20.3 POWER DETECTION UNIT
Only under voltage detection will be described and is required to provide two outputs:
• underL controls the power on reset; and
• PwrFailing indicates possible failure of the power supply.
Both signals are derived by comparing scaled versions of VDD against the reference voltage Vbg.
20.3.1 VDD monotonicity
The rising and falling edges of VDD (from the external power supply) shall be monotonic in order to guarantee correct operation of power on reset and power failing detection. Random noise may be present but should have a peak to peak amplitude of less than the hysteresis of the comparators used for detection in the PDU.
20.3.2 Under Voltage Detection Unit
The underL signal generates the global reset to the logic which should be de-asserted when the supply voltage is high enough for the logic and analogue circuits to operate. Since the logic reset is asynchronous, it is not necessary to ensure the clock is active before releasing the reset or to include any delay.
The QA chip logic will start immediately the power on reset is released so this should only be done when the conditions of supply voltage and clock frequency are within limits for the correct operation of the logic.
Over PVT, not including offsets The power on reset signal shall not be triggered by narrow spikes (<100ns) on the power supply. Some immunity should be provided to power supply glitches although since the QA chip may be under attack, any reset delay should be kept short. The unit should not be triggered by logic dynamic current spikes resulting in short voltage spikes due to bond wire and package inductance. On the rising edge of VDD, the maximum threshold for de-asserting the signal shall be when VDD > VDDmin- On the falling edge of VDD, the minimum threshold for asserting the signal shall be VDD
VDDPORmax-
The reset signal must be held low long enough (TpWmin)to ensure all flip-flops are reset. The standard cell data sheet [7] gives a figure of 0.73ns for the minimum width of the reset pulse for all flip-flop types.
2 bits of trimming (trim1-0) will be provided to take up all of the error in the bandgap voltage. This will only affect the assertion of the reset during power down since the power on default setting must be used during power up.
Although the reference voltage cannot be directly measured, it is compared against VDD in the PDU. The state of the power on reset signal can be inferred by trying to communicate through the serial bus with the chip. By polling the chip and slowly increasing VDD. a point will be reached where the power on reset is released allowing the serial bus to operate; this voltage should be recorded. As VDD is lowered, it will cross the threshold which asserts the reset signal. The power on default is set to the lowest voltage that can be trimmed (which gives the maximum hysterisis). This voltage should be recorded (or it may be sufficient to estimate it from the reset release voltage recorded above). VDD is then increased above the reset release threshold and the PDU trim adjusted to the setting the closest to VoopoRmax- DD should then be lowered and the threshold at which the reset is re-asserted confirmed. Table 390. Power on reset target performance
Figure imgf001057_0001
The signal PwrFailing will be used to protect the Flash memory by turning off the charge pump during a write or page erase if the supply voltage drops below a certain threshold. The charge pump is expected to take about 5us to discharge. The PwrFailing signal shall be protected against narrow spikes (< 100ns) on the power supply.
The nominal threshold for asserting the signal needs to be in the range VpoRma < VDDPFtyP VDDmin so is chosen to be asserted when VDD VDDpFtyp = VDDPθRmax + 200mV. This infers a VDD slew rate limitation which must be < 200mV/5us to ensure enough time to detect that power is failing before the supply drops too low and the reset is activated. This requirement must be met in the application by provision of adequate supply decoupling or other means to control the rate of descent of VDD. Table 391. Power failing detection target performance
Figure imgf001058_0001
2 bits of trimming (trimi-o) will be provided to take up all of the error in the bandgap voltage.
20.4 RING OSCILLATOR
SysClk is required to be in the range 7 - 14 MHz throughout the lifetime of the circuit provided VDD is maintained within the range VDDMIN DD VDD AX- The 2:1 range is derived from the programming time requirements of the TSMC Flash memory. If this range is exceeded, the useful lifetime of the
Flash may be reduced.
The first version of the QA chip, without physical protection, does not require the addition of random jitter to the clock. However, it is recommended that the ring oscillator be designed in such a way as to allow for the addition of jitter later on with minimal modification. In this way, the un-trimmed centre frequency would not be expected to change.
The initial frequency error must be reduced to remain within the range 10MHz / 1.41 to 10MHz x 1.41 allowing for variation in:
• voltage
• temperature
• ageing
• added jitter
• errors in frequency measurement and setting accuracy The range budget must be partitioned between these variables. Figure 411._ Ring oscillator block diagram
These limits are after trimming and include an allowance for VDD ramping. The above arrangement allows the oscillator centre frequency to be trimmed since the bias current of the ring oscillator is controlled by the DAC. SysClk is derived by dividing the oscillator frequency by 5 which makes the oscillator smaller and allows the duty cycle of the clock to be better controlled.
20.4.1 DAC (programmable current source)
Using V g, this block sources a current that can be programmed by the Trim signal. 6 of the available 8 trim bits will be used (trim7-2) giving a clock adjustment resolution of about 250kHz. The range of current should be such that the ring oscillator frequency can be adjusted over a 4 to 1 range. Table 392. Programmable current source target performance
Figure imgf001059_0001
20.4.2 Ring oscillator circuit Table 393. Ring oscillator target performance
Figure imgf001059_0002
With the figures above, KVDD will give rise to a maximum variation of +50kHz and Kτ to +1.8MHz over the specified range of VDD and temperature. 20.4.3 Div5
The ring oscillator will be prescaled by 5 to obtain the nominal 10MHz clock. An asynchronous design may be used to save power. Several divided clock duty cycles are obtainable, eg 4:1 , 3:2
Accounting for division by 5 etc. To ease timing requirements for the standard cell logic block, the following clock will be generated; most flip-flops will operate on the rising edge of the clock allowing negative edge clocking to meet memory timing. Table 394. Div5 target performance
Figure imgf001060_0001
20.5 POWER ON RESET
This block combines the overL (omitted from the current version), underL and MAURstOutL signals to provide the global reset. MAURstOutL is delayed by one clock cycle to ensure a reset generated when this signal is asserted has at least this duration since the reset deasserts the signal itself. It should be noted that the register, with active low reset RN, is the only one in the QA chip not connected to
RstL.
[4] TSMC, Oct 1 , 2000, SFC0008_08B9_HE, 8K x 8 Embedded Flash Memory Specification, Rev 0.1.
[5] TSMC (design service division), Sep 10, 2001 , 0.25um Embedded Flash Test Mode User Guide, V0.3.
[6] TSMC (EmbFIash product marketing), Oct 19, 2001 , 0.25um Application Note, V2.2.
[7] Artisan Components, Jan 99, Process Perfect Library Databook 2.5-Volt Standard Cells, Rev1.0.
OTHER APPLCATIONS FOR PROTOCOLS AND QA CHIPS 1 Introduction
In its preferred form, the QA chip [1] is a programmable 32 bit microprocessor with security features (8,000 gates, 3k bits of RAM and δkbytes of flash memory for program and non-volatile data storage). It is manufactured in a 0.25 urn CMOS process.
Physically, the chip is mounted in a 5 pin SOT23 plastic package and communicates with external circuitry via a two pin serial bus.
The QA chip was designed to for authenticating consumable usage and performance upgrades in printers and associated hardware.
Because of its core functionality and programmability the QA chip can also be used in applications that differ significantly from its original one. This document seeks to identify some of those areas.
3 Applications Overview Applications include: Regular EEPROM Secure EEPROM General purpose MPU with security features Security coprocessor for microprocessor system Security coprocessor for PC (with optional USB connection) Resource dispenser - secure, web based transfer of a variable quantity from "source" to "sink" ID tag Security pass inside offices Set top box security Car key Car Petrol Car manufacturer "genuine parts" detection, where the car requires genuine (or authorised) parts to function. Aeroplane control on motor-control servos to allow secure external control on an aircraft in a hijack situation. Security device for controlling access to and copying of audio, video, and data (eg, preventing unauthorized downloading of music to a device). 4 Exemplary Application Descriptions
4.1 Car Petrol
Using mechanisms and protocols similar to those described in relation to ink refills, refilling of petrol can be controlled. An example of a commercial relationship this allows is selling a car at a discounted rate, but requiring that the car be refilled at designated service stations. Similarly, prevention of unauthorized servicing can be achieved.
4.2 Car Keys 4.2.1 BASIC ADVANTAGES OVER PHYSICAL KEYS
• Keys and locks can be easily programmed & configured for use
• Can only be duplicated/reprogrammed by an authorised individual
• The same key can be used for physical entry/exit and remote (radio-based) entry/exit
• Inbuilt security features 4.2.2 SINGLE KEY FOR MULTIPLE VEHICLES Useful when a family has more than one car.
• Can be programmed so any keys fits any car.
• Fewer number of duplicate keys.
• Misplacing a key for a particular car - any key for any other car can be used as oppose to duplicate of the same key.
4.2.3 MULTIPLE KEYS FOR A SINGLE VEHICLE
4.2.3.1 Same company car being driven by multiple drivers
• Mileage can be logged per driver e.g. for accounting purposes.
• Key permissions can be different per driver (e.g. boot/trunk access may be disabled) 4.2.3.2 Same family car being driven by children and parents
• Time/date restrictions can be applied to (e.g. children's) keys
• Speeds above a specified limit (and duration of that speed) can be logged for auditing purposes (may be less dangerous than actually enforcing a speed limit)
4.2.4 No PROBLEM IF KEY LOST Can easily:
• make a new key the same as lost one (existing copies of key will still function)
• reprogram the locks on car (and reprogram all non-lost keys to match) so the lost key will no longer function
4.2.5 NO PROBLEM IF KEY LEFT IN CAR • Easy to create a one-time-use open-door-only key via roadside assistance based on secret password information, driver's license etc (prevents having to break into the car) 4.2.6 CAR RENTALS
• Key can have an expiration date (e.g. some period past the rental end-date)
4.2.7 SINGLE PHYSICAL KEY FOR ALL LOCKS IN CAR
A single physical key can open all locks (door, immobiliser, boot/trunk, glovebox etc.).
#define INTERP 1
#include "srm015.c"
#if INTERP module stitch_module {
#endif
#include <stdio.h>
#include <stdlib.h>
#include <string.h>
#include "Idata.h"
#include "func.h"
#define STITCH_MAR 0.3 #define GRID_STEP 0.025 #define BIN_SIZE 128.0 typedef enum { LEFT, RIGHT, DONOTKNOW } halves; static int bin_size = 0; static LCoord stitch_mar = 0; static LCoord grid_step = 0; #define otherSide (s) ( (s==DONOTKNOW) 'DONOTKNOW: (s==LEFT) ?RIGHT:LEFT)
#define AND 1 #define OR 0 #define NOT 1 #define ACTUAL 0 int do_Poly = 1; int do_Metall = 1; int do_Metal2 = 1; int do_Metal3 = 1; int do_Metal4 = 1; int do_Contact = 1; int do_Glass = 1; int do_Vial = 1; int do_Via2 = 1; int do_Via3 = 1; int do_NDiffusion = 1; int do_PDiffusion = 1; int do_NTie = 1; int do_PTie = 1; int do_NWell = 1; int do_PWell = 1; int do_PSelect = 1; int do NSelect = 1; void SetupSplitLayers () { LDialogltem itemsl [ 11 ] = { { "Poly", "1"}, { "Metall", "1"}, { "Metal2", "1"}, { "Metal3", "1"}, "Metal4", "1"}, "Contact", "1"}, "Glass", "1"}, "Vial", "1"}, "Via2", "1"}, "Via3", "1"}, "more ... ", "1"} }; LDialogltem items2 [ 8 ] = { "N Diffusion", "1"}, "P Diffusion", "1"}, "NTie", "1"}, "PTie", "1"}, "N Well", "1"}, "P Well", "1"}, "P4- Select", "1"}, "N+ Select", "1"} }; do_Poly ? "1" : 0 " ) ; do_Metall ? "1" do_Metal2 ? "1" " 0 " ) do_Metal3 ? "1" do_Metal4 ? "1" " 0 " ) do_Contact ? "1" : " 0 " do_Glass ? "1" : " 0 " ) ; do_Vial ? "1" : "0 " ) do_Via2 ? "1" : do_Via3 ? "1" : do_NDiffusion ? "1" : "0") ; do_PDiffusion ? "1" : "0") ; do_NTie ? "1" : "0π; do_PTie ? "1" : "0π; do_NWell ? "1" : "0") ; do_PWell ? "1" : "0") ; do_PSelect ? "1" : "0");
Figure imgf001065_0001
do_NSelect ? "1" : "0") ; if ( LDialog_MultiLineInputBox ( "Split layer to process", itemsl, 11 ) ) // failes with more than 15 objects { /* A OK was hit by the user, so get the property value from the Dialog_Items buffer*/ do_Poly = atoi (itemsl [0] .value) ; do_Metall = atoi (itemsl [1] .value) do_Metal2 = atoi (itemsl [2] .value) do_Metal3 = atoi (itemsl [3] .value) do_Metal4 = atoi (itemsl [4] .value) do_Contact = atoi (itemsl [5] .value) ; do_Glass = atoi (itemsl [6] .value) ; do_Vial = atoi (itemsl [7] .value) do_Via2 = atoi (itemsl [8] .value) do_Via3 = atoi (itemsl [9] .value) if ( atoi (itemsl [10] .value) && LDialog_MultiLineInputBox ( "Split layer to process", items2, 8 ) ) { do_NDif fusion = atoi (items2 [0] .value) ; do_PDif fusion = atoi (items2 [1] .value) ; do NTie = atoi (items2 [2] .value) ; do_PTie = atoi (items2 [3] .value) ; do_NWell = atoi (items2 [4] .value) ; do_PWell = atoi (items2 [5] .value) ; do_PSelect = atoi (items2 [6] .value) ; do NSelect = atoi (items2 [7] .value) ;
halves whichSideOf Wire (LObj ect obj ect , LPoint p) LVertex v; LPoint s , e; if ( (v = LObject_GetVertexList (object) ) == NULL ) return
DONOTKNOW; s = LVertex_GetPoint (v) ; for ( v=LVertex_GetNext (v) ; v != NULL; v=LVertex_GetNext'(v) ) { e = LVertex_GetPoint (v) ; if ( s .y != e.y ) { if ( (s.y <= p.y && p.y <= e.y) | | (s.y >= p.y && p.y >= e.y) ) { assert( s.x == e.x, "wire is not orothanal") ; if ( p.x <= s.x ) return LEFT; return RIGHT; } } s = e; } return DONOTKNOW; long getSelfSpacingRule (LFile File, char *lname) { LDrcRule rule; LDesignRuleParam *p, drcp; if( (rule = LDrcRule_Find(File, LSPACING, lname, NULL)) == NULL ) { if( (rule = LDrcRule Find(File, LSPACING, lname, "")) == NULL
) { if ( (rule = LDrcRule Find(File, LSPACING, lname, lname))
== NULL ) { for( rule = LDrcRule_GetList (File) ; rule != NULL; rule = LDrcRule_GetNext (rule) ) { assert ( p = LDrcRule_GetParameters ( rule, &drcp) , "no drc parameters") ; if ( p->rule_type == LSPACING && strcmp (lname, p- >layerl) == 0 ) { if ( p->layer2 == NULL ]| strcmp (p- >layer2, "") == 0 | | strcmp (p->layer2, lname) == 0 ) { assert (0, "spacing found by search"); assert(0, p->layer2 == NULL ? "(null)" p->layer2) ; break; } } } } } } assert ( rule, "no drc found parameters"); assert ( p = LDrcRule_GetParameters ( rule, &drcp) , "no drc parameters" ) ; return p->distance; } void deleteTmpLayer (LFile File, LLayer layer) { LSelection_DeselectAll () ; LSelection_AddAllObjectsOnLayer (layer) ; LSelection_Clear () ; LLayer_Delete (File, layer) ; } LStatus generateLayer30p ( LFile File, LCell cell, LLayer copy, int not_ll, LLayer 11, int grow_ll, int opl, int not_l2 , LLayer 12 , int grow_12 , int op2 , int not_13, LLayer 13, int grow_l3) { LDerivedLayerParam dpt; LDerivedLayerParam *d; char copy_name [64] ; char ll_name[64] char I2_name [64] char 13_name [64] if (bin size == 0 ) bin size = (int) LFile LocUtoIntU(File,
BIN SIZE) ; d = &dpt; d->enable_evaluation = 1; d->name = LLayer_GetName (copy, copy_name, 64); d->layerl_not_op = not_ll; d->src_layerl = LLayer_GetName (11, ll_name, 64); d->layerl_grow_amount = grow_ll; d->layerl_bool_layer2 = opl; d->layer2_not_op = not_l2; if ( 12 != NULL ) d->src_layer2 = LLayer_GetName (12, 12_name, 64); else d->src_layer2 = " " ; d->layer2_grow_amount = grow_l2; d->layer2_bool_layer3 = op2; d->layer3_not_op = not_l3; if ( 13 != NULL ) d->src_layer3 = LLayer_GetName (13, I3_name, 64); else d->src_layer3 = " " ; d->layer3_grow_amount = grow_l3; IsOK( LLayer_SetDerivedParameters (File, copy, d) , "Set derived op3 " ) ; return ( LCell_GenerateLayersEx00 (cell, bin_size, copy, LFALSE, LFALSE) ) ; }
#define copyLayer( File, cell, copy, orig, grow) generateLayer30p ( File, cell, copy, \ ACTUAL, orig, grow, AND, NOT, NULL, 0, AND, NOT, NULL, 0)
#define generateLayer2op ( File, cell, copy, not_ll, 11, grow_ll, op, not_12, 12, grow_l2) \ generateLayer30p ( File, cell, copy, not_ll, 11, grow_ll, op, not_12, 12, grow_l2, AND, NOT, NULL, 0)
LRect selectionBbox() { LSelection sel; LObject obj ; LRect bb; LRect rect; sel = LSelection_GetList () ; obj = LSelection_GetObject (sel) ; bb = LObject_GetMbb (obj ) ; for( sel = LSelection_GetNext (sel) ; sel != NULL; sel = LSelection_GetNext (sel) ) { obj = LSelection_GetObject (sel) ; rect = LObject_GetMbb (obj ) ; if ( rect.yO < bb.yO ) bb.yO = rect.yO; if ( rect.yl > bb.yl ) bb.yl = rect.yl; if ( rect.xO < bb.xO ) bb.xO = rect.xO; if ( rect.xl > bb.xl ) bb.xl = rect.xl; } return bb; } LLayer createLayer ( LFile File, LLayer after, char *new_name) { if ( LLayer_New(File, after, new_name) == LStatusOK ) return LLayer_GetNext (after) ; else return GetLLayer (File, new_name) ; } void copyLayerToTop (LFile File, LCell cell, LLayer 1) { LLayer tmp; VISIBLE (1) ; assert ( tmp = createLayer (File, 1, "tmp_for_copy") , "create tmp layer") IsOK( copyLayer (File, cell, tmp, 1, 0), "copy layer"); LSelection_DeselectAll () ; IsOK(LSelection_AddAllObjectsOnLayer (tmp) , "find tmp") ; IsOK(LSelection_ChangeLayer (tmp, 1), "change tmp to 1") ; LLayer_Delete (File, tmp) ; /* * create left and right halves zones either side of the cut_line, * optionally generate ilayer, the work_layer in a zone around the cut_line * The later exist after the cut_line, left_area, right_area [, ilayer] */ LStatus create_halfs ( LFile File, LCell cell, LLayer cut_line, LLayer work_layer ) { LLayer wframe, both_sides; LLayer cut_copy, left_area, right_area, ilayer; LSelection sel; LObject obj ; LRect ebb; LRect bb; LRect rect; LRect frame; cut_copy = createLayer (File, cut_line, "cut_copy"); /* create a copy of the cut line in the current level * cut_copy = cut * only way to detetrmine that a cut line exist in side the cell * at some level */ IsOK( copyLayer (File, cell, cut_copy, cut_line, 0), "copy cut line") ; LSelection_DeselectAll () ; if ( LSelection_AddAllObjectsOnLayer (cut_copy) != LStatusOK ) { // LDialog_MsgBox( "Error : No cut line found."); deleteTmpLayer (File, cut_copy) ; return LBadCell; } right_area = createLayer (File, cut_copy, "right_area") ; left_area = createLayer (File, cut_copy, "left_area") ; both_sides = createLayer (File, cut_copy, "both_sides") ; wframe = createLayer (File, cut_copy, "wframe"); ebb = LCell_GetMbb(cell) ; bb = selectionBboxO ; frame.xO = cbb.xO; frame.xl = cbb.xl; frame.yO = bb.yO; frame.yl = bb.yl; // wframe = create a bounding box around the cut line to the edge of the cell LBox_New(cell, wframe, frame. O, frame.yO, frame.xl, frame.yl); // cut wframe in half about the cut line // both_sides = !cut & wframe; IsOK( generateLayer2op (File, cell, both_sides, NOT, cut_copy, 0, AND, ACTUAL, wframe, 0) , "cut out cut_line") ; LSelection_DeselectAll () ; LSelection_AddAllObjectsOnLayer (both_sides) ; LSelection_Merge () ; sel = LSelection_GetList () ; obj = LSelection_GetObjec (sel) ; rect = LObject_GetMbb (obj ) ; if ( frame.xO != rect.xO ) { sel = LSelection_GetNex (sel) ; obj = LSelection_GetObject (sel) ; } LSelection_RemoveObject (obj ) ; LSelection_ChangeLayer (both_sides , left_area) ; LSelection_AddAllObjectsOnLayer (both_sides) ; LSelection_ChangeLayer (both_sides , right_area) ; if ( work_layer != NULL ) { ilayer = createLayer (File, right_area, "ilayer"); // create a smaller bounding box hopefully to keep the work load down LSelection_DeselectAll () ; LSelection_AddA110bjectsOnLayer (wframe) ; LSelection_Clear () ; rect.xO = bb.xO - stitch_mar; rect.xl = bb.xl + stitch_mar; rect.yO = bb.yO; rect.yl = bb.yl; LBox_New(cell, wframe, rect.xO, rect.yO, rect.xl, rect.yl); // ilayer = layer of interest inside this bonding box // ilayer = wframe & layer IsOK( generateLayer2op (File, cell, ilayer, ACTUAL, wframe, 0, AND, ACTUAL, work_layer, 0), "layer of interest"); } deleteTmpLayer (File,both_sides) ; deleteTmpLayer (File, frame) ; deleteTmpLayer (File, cut__copy) ; return LStatusOK; } void createSideMaterial (LFile File, LCell cell, LLayer t5, LLayer t6, LLayer t7, LLayer t8, LLayer side, LLayer not_side, LLayer side_area, LLayer maskSide, long spacing) { LSelection_DeselectAll () ; LSelection_AddAllObjectsOnLayer (t6) ; LSelection_AddA110bjectsOnLayer (t7) ; LSelection_Clear () ;
// grow a little to recover & remote unwanted right side material // t6 = grow(t5,.15) & !not_right // IsOK( generateLayer2op(File, cell, t6, ACTUAL, t5, stitch_mar/2, AND, NOT, not_side, 0), "regrow and remove unwanted right"); if ( not_side != NULL ) { IsOK( generateLayer2op(File, cell, t6, ACTUAL, t5, 0, AND, NOT, not_side, 0), "remove unwanted right"); // t7 = grow(t6, .275) or right_area IsOK( generateLayer2op (File, cell, t7, ACTUAL, t6, spacing, OR, ACTUAL, side_area, spacing) , "merge right") ; } else { // t7 = grow(t5, .275) or right_area IsOK( generateLayer2op (File, cell, t7, ACTUAL, t5, spacing, OR, ACTUAL, side_area, spacing) , "merge right") ; } // t8 = gro (t7, - .275) and ! right_area IsOK( generateLayer2o (File, cell, t8, ACTUAL, t7, -spacing, AND, NOT, side_area, 0) , "remove right area") ; LSelection_DeselectAll () ; LSelection_AddAllObjectsOnLayer (t8) ; //LSelection_Merge () ; LSelection_ChangeLayer (t8 , side) ; LSelection_DeselectAll () ; if ( not_side == NULL ) { LSelection_AddAllObjectsOnLayer (side_area) ; LSelection_ChangeLayer (side_area,maskSide) ; } else { LSelection_AddA110bjectsOnLayer (t6) ; LSelection_Clear () ; IsOK( generateLayer2op(File, cell, t6, ACTUAL, side_area, 0, AND, NOT, not_side, 0) , "remove not_side area"); LSelection_AddA110bjectsOnLayer (t6) ; LSelection_ChangeLayer (t6 ,maskSide) ; } } void createSplitLayer (LFile File, LCell cell, LLayer work_layer) { char layer_name [64] ; char cut_name [64] ; char left_name [64] ; char right_name [64] char maskL_name [64] char m skR_name [64] char no_left_name [64] ; char no_right_name [64] ; LLayer maskR; LLayer maskL; LLayer cut_line, left, right; LLayer not_left, not_right; LLayer ilayer, t4, t5, t6; LLayer left_area, right_area, t7, t8; LSelection sel; LObject obj ; long spacing; step_no = 0 ; if ( stitch_mar == 0 ) stitch__mar = LFile_LocUtoIntU(File, STITCH_MAR) ; if ( grid_step == 0 ) grid_step = LFile_LocUtoIntU(File, GRID_STEP) ; LLayer_GetName (work_layer, layer_name, 64); spacing = getSelfSpacingRule (File, layer_name) / 2; strcpy (cut_name, layer_name) ; strncat (cut_name, " cut line", 64); strcpy (left_name, layer_name) ; strncat (left_name, " left", 64); strcpy (right_name, layer_name) ; strncat (right_name, " right", 64); strcpy (no_left_name, layer_name) ; strncat (no_left_name, " not left", 64); strcpy (no_right_name, layer_name) ; strncat (no_right_name, " not right", 64); strcpy (maskL_name, layer_name) ; strncat (maskL_name, " maskL", 64); strcpy (maskR_name, layer_name) ; strncat (maskR_name, " maskR" , 64); if ( (cut_line = GetLLayer (File, cut_name) ) == NULL ) return; if ( (left = GetLLayer (File, left_name) ) == NULL ) return; if ( (right = GetLLayer (File, right_name) ) == NULL ) return; if ( (maskL = GetLLayer (File, maskL_name) ) == NULL ) return; if ( (maskR = GetLLayer (File, maskR_name) ) == NULL ) return; // LFile_Save (File) •; VISIBLE (work_layer) ; VISIBLE (cut_line) ; VISIBLE (left) ; VISIBLE (right) VISIBLE (maskL) VISIBLE (maskR) if ( (not_left = LLayer_Find(File, no_left_name) ) != NULL ) VISIBLE (not_left) ; if ( (not_right = LLayer_Find(File, no_right_name) ) != NULL ) VISIBLE (not_right) ; SetMsg (layer_name, "") ; LSelection_DeselectAll () ; if ( LSelection_AddA110bjectsOnLayer (left) == LStatusOK ) LSelection_Clear () ; if ( LSelection_AddA110bjectsOnLayer (right) == LStatusOK ) LSelection_Clear () ; if ( LSelection_AddAllObjectsOnLaye (maskL) == LStatusOK ) LSelection_Clear () ; if ( LSelection_AddAllObjectsOnLayer (maskR) == LStatusOK ) LSelection_Clea () ; if ( create_halfs (File, cell, cut_line, work Layer) != LStatusOK ) return; left_area = LLayer_GetNext (cut_line) ; right_area = LLayer_GetNext (left_area) ; ilayer = LLayer_GetNext (right_area) ; t8 = createLayer( File, ilayer, "t8") t7 = createLayer( File, ilayer, "t7") t6 = createLayer ( File, ilayer, "t6") t5 = createLayer ( File, ilayer, "t5") t4 = createLayer ( File, ilayer, "t4") // create interestion area with cut line // t4 = ilayer AND cut IsOK( generateLayer2op(File, cell, t4, ACTUAL, ilayer, 0, AND, ACTUAL, cut_line, 0), "merge cut and ilayer"); // t5 = grow (t4, stitch_mar) ; IsOK( copyLayer (File, cell, t5, t4, stitch_mar) , "grow") ; createSideMaterial (File, cell, t5, t6, t7, t8, left, not_left, left_area, maskL, spacing) ; createSideMaterial (File, cell, t5, t6, t7, t8, right, not_right, right_area, maskR, spacing) ; deleteTmpLayer (File, t8) deleteTmpLayer (File, t7) deleteTmpLayer (File, t6) deleteTmpLayer (File, t5) deleteTmpLayer (File, t4) deleteTmpLaye (File, ilayer) ; deleteTmpLayer (File, left_area) ; deleteTmpLayer (File, right_area) ; } void createSplit (void) { LFile File; LLayer 11; LCell cell; if ( (cell = getCurrentCelK&File) ) == NULL ) return; 11 = GetSelectedLayer (cell) ; if ( 11 != NULL ) createSplitLayer (File, cell, 11); resetUpi () ; } void divide__material ( LCell cell, LObject cut_object, LLayer layer, LLayer left, LLayer right) { LObject o; LRect bb; LPoint p; LSelection_DeselectAll () ; for ( o = LObj ect_GetList (cell, layer); o != NULL; o = LObject_GetNext (o) ) {
Figure imgf001073_0001
if ( whichSideOf ire (cut_object, p) == LEFT ) { LSelection_AddObject(o) ; } } LSelection_ChangeLayer (layer, left) ; LSelection_DeselectAll () ; LSelection_AddA110bjectsOnLayer (layer) ; LSelection_ChangeLayer (layer, right) ; LSelection_DeselectAll () ; } void createDivideLayer (LFile File, LCell cell, LLayer work_layer) { char layer_name [64] ; char cut_name [64] ; char left_name [64] ; char right_name [64] ; char psuedo_name [64] ; LLayer left_area, right_area; LLayer cut_line, left, right; LLayer psuedo_layer; LObject cut_object; if ( work_layer == NULL ) return; LLayer_GetName (work_layer, layer_name, 64); strcpy (cut_name, layer_name) ; strncat (cut_name, " cut line", 64); strcpy (left_name, layer_name) ; strncat (left_name, " left", 64); strcpy (right_name, layer_name) ; strncat (right_name, " right", 64); strcpy (psuedo_name, "psuedo_") ; strncat (psuedo_name, layer_name, 64); if ( (cut_line = GetLLayer (File, cut_name) ) == NULL ) return; if ( (left = GetLLayer (File, left_name) ) == NULL ) return; if ( (right = GetLLayer (File, right_name) ) == NULL ) return; VISIBLE (work_layer) ; VISIBLE (cut_line) ; VISIBLE (left) ; VISIBLE (right) ; if ( (psuedo_layer = LLayer_Find(File, psuedo_name) ) != NULL ) VISIBLE (psuedo_layer) ; step_no = 0; LSelection_DeselectAll () ; LSelection_AddA110bjectsOnLayer (left) ; LSelection_AddA110bjectsOnLayer (right) ; LSelection_Clear () ; LSelection_DeselectAll () ; LSelection_AddAllObjectsOnLayer (cut_line) ; LSelection_Merge () ; cut_object = LObject_GetList (cell, cut_line) ; assert ( LObject_GetNext (cut_object) == NULL, "more than one cut area") ; divide_material (cell, cut_object, work_layer, left, right); if ( psuedo_layer != NULL ) { strcpy (left_name, psuedo_name) ; strncat (left_name, " left", 64); strcpy (right_name, psuedo_name) ; strncat (right_name, " right", 64); left = GetLLayer (File, left_name) ; right = GetLLayer (File, right_name) ; VISIBLE (left) ; VISIBLE (right) ; divide_material (cell, cut_object, psuedo_layer, left, right); } void createDivide (void) { LFile File; LLayer 11; LCell cell; if ( (cell = getCurrentCell (&File) =-= NULL ) return; 11 = GetSelectedLayer (cell) ; if ( 11 != NULL createDivideLayer (File, cell, 11); resetϋpi () ;
/' * creates 3 new temperary layers left, right, work, * returns pointer to the left, and the other will follow it * left is the area to the left of the cut line, including the cut line * right is the area to the right of the cut line, including the cut line * work is a tempary layer for other to use */ LLayer createOverlapFields (LFile File, LCell cell, char *layer_name) { char cut_name [64] ; LLayer cut_line; LLayer left_area, right_area; LLayer t7; LLayer left, right, work; strcpy (cut_name, layer_name) ; strncat (cut_name, " cut line", 64); if ( (cut_line = GetLLayer (File, cut_name) ) == NULL ) return
NULL; VISIBLE (cut_line) ; if ( create halfs (File, cell, cut line, NULL) != LStatusOK ) return; left_area = LLayer_GetNext (cut_line) ; right_area = LLayer_GetNext (left_area) ; t7 = createLayer ( File, right_area, "t7") ; work = createLayer ( File, right_area, "work"); right = createLayer ( File, right_area, "right"] left = createLayer( File, right_area, "left") ; generateLayer2op(File, cell, t7, ACTUAL, cut_line, 0, OR, ACTUAL, right_area, 0) ; LSelection_DeselectAll () ; LSelection_AddA110bjectsOnLayer (t7) ; LSelection_ChangeLayer (t7, left) ; LSelection_DeselectAll () ; LSelection_AddAllObjectsOnLayer (t7) ; LSelection_Clear () ; generateLayer2op (File, cell, t7, ACTUAL, cut_line, 0, OR, ACTUAL, left_area, 0) ; LSelection_DeselectAll () ; LSelection_AddAllObjectsOnLayer (t7) ; LSelection_ChangeLayer (t7, right) ; deleteTmpLayer (File, t7) ; if ( do_PWell ) { LLayer pw_maskL, pw_maskR; pw_maskL = GetLLaye (File, "P Well maskL") ; pw_maskR = GetLLayer (File, "P Well maskR") ; LSelection_DeselectAll () ; LSelection_AddAllObjectsOnLayer (right_area) ; LSelection_ChangeLayer (right_area,pw_maskR) ; LSelection_DeselectAll () ; LSelection_AddA110bjectsOnLayer (left_area) ; LSelection_ChangeLayer (left_area,pw_maskL) ; } deleteTmpLayer (File, right_area) ; deleteTmpLayer (File, left_area) ; return ( left ) ; void createOverlapLayer (LFile File, LCell cell, char *layer_name, LLayer left) { char cut_name [64] ; char left_name [64] ; char right_name [64] ; LLayer work_layer; LLayer work Left , work_right ; LLayer work, right; LSelection sel; LObject obj ; LRect bb; LRect rect; LRect frame; LCoord tmp; LDerivedLayerParam *d; strcpy (left_name, layer_name) ; strncat (left_name, " left", 64); strcpy (right_name, layer_name) ; strncat (right_name, " right", 64); if ( (work Layer = GetLLayer (File, layer_name) ) == NULL ) return; if ( (work_left = GetLLayer (File, left_name) ) == NULL ) return; if ( (work_right = GetLLayer (File, right_name) ) == NULL ) return; VISIBLE (work_layer) ; VISIBLE (work_left) ; VISIBLE (work_right) ; LSelection_DeselectAll () ; LSelection_AddA110bjectsOnLayer (work_left) ; LSelection_AddA110bjectsOnLayer (work_right) ; LSelection_Clear () ; right = LLayer_GetNext (left) ; work = LLayer_GetNext (right) ;
// work = work_layer & left generateLayer2op (File, cell, work, ACTUAL, work_layer, 0, AND, ACTUAL, left, 0) ; LSelection_DeselectAll () ; LSelection_AddA110bjectsOnLayer (work) ; LSelection_ChangeLayer (work, work_left) ; // work = work_layer & right generateLayer2op (File, cell, work, ACTUAL, work_layer, 0, AND, ACTUAL, right, 0) ; LSelection_DeselectAll () ; LSelection_AddA110bjectsOnLayer (work) ; LSelection_ChangeLayer (work, ork_right) ; void doOverlappingSpli (LFile File, LCell cell) { LLayer left, right, work; left = createOverlapFields (File, cell, "P Well") ; if ( left == NULL ) { return; } right = LLayer_GetNext (left) ; work = LLayer_GetNext (right) ; if ( do_NDiffusion ) createOverlapLayer (File, cell, "N Diffusion", left) ; if ( do_PDiffusion ) createOverlapLayer (File, cell, "P Diffusion", left) ; if ( do_NTie ) createOverlapLayer (File, cell, "NTie", left) ; if ( do_PTie ) createOverlapLayer (File, cell, "PTie", left) ; if ( do_NWell ) createOverlapLayer (File, cell, "N Well", left) ; if ( do_PWell ) createOverlapLayer (File, cell, "P Well", left) ; if ( do_PSelect ) createOverlapLayer (File, cell, "P+ Select", left) ; if ( do_NSelect ) createOverlapLayer (File, cell, "N+ Select", left) deleteTmpLayer (File,work) ; deleteTmpLayer (File, right) ; deleteTmpLayer (File, left) ; } LCell completeSplit (LFile File, LCell cell) { char cell_name [64] ; char new_name [64] ; LCell New; LLayer 1; assert ( LCell GetName (cell, cell name, 64) NULL, "no cell name" ) strcpy (new_name, cell_name) ; strncat (new_name, "_cut", 64 ) ; SetMsg (cell_name,new_name) ; LCell_Copy (File, cell, File, new_name) ; assert ( (New = LCell_Find(File, new_name) ) != NULL, "new cell creation failed") ; New = LCell_Flatten(New) ; assert(New != NULL, "flatten side"); LCell_MakeVisibleNoRefresh (New) ; if do_Poly ) createSplitLayer (File, New, GetLLayer (File,
"Poly")) ; if do_Metall ) createSplitLayer (File, New, GetLLayer (File,
"Metall") ) ; if do__Metal2 ) createSplitLayer (File, New, GetLLayer (File,
"Metal2") ) ; if do_Metal3 ) createSplitLayer (File, New, GetLLayer (File,
"Metal3") ) ; if do_Metal4 ) createSplitLayer (File, New, GetLLayer (File,
"Metal4") ) ; if ddoo__CCoonnttaacctt ) createDivideLayer (File, New, GetLLayer (File,
"Contact") ) 7 if do_Vial ) createDivideLayer (File, New, GetLLayer (File,
"Vial") ) ; if do_Via2 ) createDivideLayer (File, New, GetLLayer (File,
"Via2") ) ; if do_Via3 ) createDivideLayer (File, New, GetLLayer (File,
"Via3") ) ; if do_Glass ) createDivideLayer (File, New, GetLLayer (File,
"Glass") ) ; doOverlappingSplit (File, New); LSelection_DeselectAll () ; 1 = GetLLayer (File, "n+ implant"); VISIBLE (1) ; LSelection_AddA110bjectsOnLayer (1) ; LSelection_Clear () ; 1= GetLLayer (File, "p+ implant"); VISIBLE (1) ; LSelection_AddAllObjectsOnLayer (1) ; LSelection_Clear () ; 1= GetLLayer (File, "nwell") ; VISIBLE (1) ; LSelection_AddA110bjectsOnLayer (1) ; LSelection_Clear () ; return New; void makeSideLayer2 (LFile File, LCell Cell, char *l_name, halves Side, int copy, char *omask_ext) { char s_name[64]; char o_name[64]; char m_name [64] ; char c_name [64] ; LLayer Layer_mask; LLayer Layer_side; LLayer Layer_orig; LLayer Layer_other; LLayer Layer_cut; char cell_name [64] ; char *oside_ext = (Side == RIGHT)? " left" : " right"; char *side_ext = (Side == LEFT)? " left" : " right"; assert ( LCell_GetName (Cell, cell_name, 64) != NULL, "no cell name" ) SetMsg(cell_name, l_name) ; // LDialog_MsgBox(cell_name) ; strcpy (s_name, l_name) ; strncat (s_name, side_ext, 64); strcpy (o_name, l_name) ; strncat (o_name, oside_ext, 64); Layer_orig = GetLLayer (File, l_name) ; assert (Layer_orig != NULL, l_name) ; VISIBLE (Layer_orig) ; Layer_side = GetLLayer (File, s_name) ; assert (Layer_side != NULL, s_name) ; VISIBLE (Layer_side) ; Layer_other = GetLLayer (File, o_name) ; asser (Layer_other != NULL, o_name) ; VISIBLE (Layer_other) ; LSelection_DeselectAll () ; if ( omask_ext ! = NULL ) { LRect r, c; LPoint p; LObject o; LLayer tmp; strcpy (m_name, l_name) ; strncat (m_name, omask_ext, 64); Layer_mask = GetLLayer (File, m__name) ; assert (Layer_mask != NULL,m_name) ; VISIBLE (Layer nask) ; strcpy (c_name, l_name) ; strncat (c_name, " cut line", 64); Layer_cut = GetLLayer (File, c_name) ; assert (Layer_cut != NULL, c_name) ; VISIBLE (Layer_cut) ; IsOK(LSelection_AddA110bjectsOnLayer (Layer_cut) , "get cut_line") ; r = selectionBbox() ; c = LCell_GetMbbAll(Cell) ; if ( Side == LEFT ) p.x = c.xO = r.xl; else p.x = c . l = r.xO ; p.y = 0; LSelection_DeselectAll () ; LSelection_AddA110bjectsOnLayer (Layer_orig) ; LSelection_SliceVertical (&p) ; // tmp = createLayer (File, Layer_cut, "tmp_mask") ; // generateLayer2op( File, Cell, tmp, ACTUAL, Layer_orig, 0, AND, ACTUAL, Layer_mask, 0) ; // LSelection_AddAllObjectsOnLayer (tmp) ; // LSelection_ChangeLayer (tmp, Layer_side) ; // LSelection_DeselectAll () ; // for ( o = LObject_GetList (Cell, Layer_orig) ; o != NULL; o = LObject_GetNext (o) ) // { // switch ( LObject_GetShape (o) ) // { // case LTorus : // case LCircle: // case LPie: // LSelection_AddObject (o) ; // break; // } // } LSelection_RemoveA110bjectsInRect (&c) ; LSelection_ChangeLayer (Layer_orig, Layer_side) ; LSelection_DeselectAll () ; LSelection_AddA110bjectsOnLayer (Layer_orig) ; LSelection_AddAllObjectsOnLayer (Layer_mask) ; LSelection_Clear () ; // LLayer_Delete (File, tmp) ; } // delete the other side material LSelection_AddA110bjectsOnLayer (Layer_other) ; LSelection_Clear () ; if ( copy == 0 ) { // delete orig material and copy the // side material to it LSelection_AddAllObjectsOnLayer (Layer_orig) ; LSelection_Clear () ; LSelection_AddA110bjectsOnLayer (Layer_side) ; LSelection_ChangeLayer (Layer_side, Layer_orig) ; } // else keep both } void makeSideCell2 (LFile Pile, LCell Cell, halves Side) { char *omask_ext = (Side == RIGHT)? " maskL" : " maskR"; LLayer 1 ;
LCell MakeVisibleNoRefresh(Cell) ; if do_Poly ) makeSideLayer2 (File, Cell, "Poly",
Side, 1, omask ext) ; if do_Metall ) makeSideLayer2 (File, Cell, "Metall", Side, 1, omask_ext) ; if do_Metal2 ) makeSideLayer2 (File, Cell, "Metal2", Side, 1, omask ext) ; if do_Metal3 ) makeSideLayer2 (File, Cell, "Metal3", Side, 1, omask_ext) ; if do_Metal4 ) makeSideLayer2 (File, Cell, "Metal4", Side, 1, omask_ext) ; if do_Contact ) makeSideLayer2 (File, Cell, "Contact", Side, 0, NULL) ; if do_Vial ) makesideLayer2 (File, Cell, "Vial", Side, 0, NULL) ; if do_Via2 ) makeSideLayer2 (File, Cell, "Via2", Side, 0, NULL) ; if do_Via3 ) makeSideLayer2 (File, Cell, "Via3", Side, 0, NULL) ; if do_Glass ) makesideLayer2 (File, Cell, "Glass", Side, 0, NULL) ; if do_Contact ) makeSideLayer2 (File, Cell, "psuedo_Contact" , Side, 0, NULL) ; if do_Vial ) makesideLayer2 (File, Cell, "psuedo_Vial" , Side, 0, NULL) ; if do_Via2 ) makesideLayer2 (File, Cell, "psuedo_Via2" , Side, 0, NULL) ; if do_Via3 ) makeSideLayer2 (File, Cell, "psuedo_Via3" , Side, 0, NULL) ; if do_Glass ) makeSideLayer2 (File, Cell, "psuedo_Glass" , Side, 0, NULL) ; if do_NDiffusion ) makeSideLayer2 (File, Cell, "N Diffusion", Side, 0, NULL) ; if do_PDiffusion ) makeSideLayer2 (File, Cell, "P Diffusion", Side, 0, NULL) ; if do_NTie ) makeSideLayer2 (File, Cell, "NTie", Side, 0, NULL) ; if do_PTie ) makeSideLayer2 (File, Cell, "PTie", Side, 0, NULL) ; if do_NWell ) makesideLayer2 (File, Cell, "N Well", Side, 0, NULL) ; if do_PWell ) makeSideLayer2 (File, Cell, "P Well", Side, 0, omask_ext) ; if do_PSelect ) makeSideLayer2 (File, Cell, "P+ Select", Side, 0, NULL) ; if do_NSelect ) makeSideLayer2 (File, Cell, "N+ Select", Side, 0, NULL) ; } void makeNewSideCell (LFile File, LCell Cell, halves Side) { char cell__name [64] ; char new_name [64] ; LCell New; assert ( LCell_GetName (Cell, cell_name, 64) != NULL, "no cell name" ) strcpy (new_name, cell_name) ; strncat (new_name, (Side == LEFT) ? "_left" : "_right", 64); SetMsg (cell_name, new_name) ; LCell_Copy (File, Cell, File, new_name) ; assert ( (New = LCell_Find(File, new_name) ) != NULL, "new cell creation failed"); makeSideCell2 (File,New, Side) ; } void doOverlapSplit (void) { LFile File; LCell cell; if ( (cell = getCurrentCell (&File) ) == NULL ) return; doOverlappingSplit (File, cell); resetUpi () ; void see_cut_layers ( ) { LFile File; LCell Cell_Draw; if ( (Cell_Draw = getCurrentCell (&File) ) == NULL ) return; // cut on make_visible ( GetLLayer (File, "cut start"), GetLLayer (File, "cut end"), LVisible, LIGNORE, File) ; resetUpi () ; } void splitAllInstances () { LFile File; LLayer 1; LCell cell; LCell subcell; Llnstance inst; int delete = 0 ; if ( (cell = getCurrentCell (&File) ) == NULL ) return; for ( inst = LInstance_GetList (cell) ; inst != NULL; inst = LInstance_GetNext (inst) ) { subcell = LInstance_GetCell (inst) ; createSidesDo (File, subcell); } LCell_MakeVisibleNoRefresh (cell) ; resetUpi () ; } char *cutname ( char *orig, char *new, halves Side, int n) { char *ptr; strncpy(new, orig, n) ; strncat (new, (Side == DONOTKNOW) ? "_join" : (Side == LEFT) ? "_cut_left" : "_cut_right", n) ; return ( new ) ; } /* copy cell to cell_cut_SIDE or cell_join, and replace all instances with * subinst_cut_side if they exist */ void createEnd (LFile File, LCell Cell, halves Side) { char cell_name [128] ; char new_name [128] ; LCell New; LCell subcell; LCell newsub; Llnstance inst; Llnstance ninst; LTransform xform; LPoint repeat, delta; assert ( LCell GetName (Cell, cell name, 128) != NULL, "no cell name' cutname (cell_name, new__name, Side, 128); LCell_Copy (File, Cell, File, new_name) ; assert ( (New = LCell_Find(File, new_name) ) != NULL, "new cell creation failed") ; for ( inst = LInstance_GetList (New) ; inst != NULL; inst = ninst ) { ninst = LInstance_GetNext (inst) ; subcell = LInstance_GetCell (inst) ; assert ( LCell_GetName (subcell, cell_name, 128) != NULL, "no (sub) cell name") ; xform = LInstance_GetTransform(inst) ; if ( xform. orientation == 0 ) cutname (eell_name, new_name, Side, 128); else cutname (eell_name, new_name, otherSide (Side) , 128); if ( (newsub = LCell_Find(File, new__name) ) != NULL ) { if ( (newsub = LCell_Find(File, new_name) ) == NULL ) { LDialog_MsgBox("Error: side subside does not exists . ") ; LDialog_MsgBox(new_name) ; return; } repeat = LInstance_GetRepeatCount (inst) ; delta = LInstance_GetDelta (inst) ; if ( LInstance_New (New, newsub, form, repeat, delta) ==
NULL { LDialog_MsgBox ("Error: side sub instance creation failed. ") ; LDialog_MsgBox(new_name) ; } LInstance_Delete (New, inst); } } } mergeLayers (LFile File, LCell cell, LLayer work_layer, LLayer side, LLayer mask, LLayer t8, LLayer t9) LObject o, n; LObject b; LRect r; generateLayer2op (File, cell, t8, ACTUAL, side, 0, AND, ACTUAL, mask, 0) LSelection_DeselectAll () ; LSelection_AddA110bjectsOnLayer (t8) ; LSelection_ChangeLayer (t8, work_layer) ; if ( t9 != NULL ) { for ( o = LObject_GetList (cell, side); o != NULL; o = n ) { n = LObject_GetNext (o) ; switch ( LObject_GetShape (o) ) { case LTorus : case LCircle: case LPie: r = LObject_GetMbb(o) ; b = LBox_New(cell, t9, r.xO, r.yO, r.xl, r.yl) ; generateLayer2op(File, cell, t8, ACTUAL, t9, 0, AND,
ACTUAL, mask, 0) LSelection_DeselectAll () ; if ( LSelection_AddA110bjectsOnLayer (t8) == LStatusOK { LSelection_Clear () ; LObject_ChangeLayer (cell, o, work_layer ); } break;
} void mergeSides (LFile File, LCell cell, char *layer_name) { LLayer work Layer; char cut_name [64] ; char lef t_name [64] ; char right_name [64] ; char maskL name [64] ; char maskR_name [64] ; char no_left_name [64] ; char no_right_name [64] ; LLayer maskR; LLayer maskL; LLayer cut_line, left, right; LLayer left_area, right_area, t8, t9; LSelection sel; LObject obj ; if ( (work Layer = GetLLayer (File, layer_name) ) == NULL ) return; strcpy (cut_name, layer_name) ; strncat (cut_name, " cut line", 64); strcpy (left_name, layer_name) ; strncat (left_name, " left", 64); strcpy (right_name, layer_name) ; strncat (right_name, " right", 64) strcpy (maskL_name, layer_name) ; strncat (maskL_name, " maskL", 64) strcpy (maskR_name, layer_name) ; strncat (maskR_name, " maskR", 64) if ( (cut_line = GetLLayer (File, cut_name) ) == NULL ) return; if ( (left = GetLLayer (File, left_name) ) == NULL ) return; if ( (right = GetLLayer (File, right_name) ) == NULL ) return; if ( (maskL = GetLLayer (File, maskL_name) ) == NULL ) return; if ( (maskR = GetLLayer (File, maskR_name) ) == NULL ) return; VISIBLE (work_layer) ; VISIBLE (left) ; VISIBLE (right) VISIBLE (maskL) VISIBLE (maskR) t8 = createLayer( File, cut_line, "t8"); t9 = createLayer ( File, cut_line, "t9") ; mergeLayers (File, cell, work_layer, left, maskR, t8, t9) ; mergeLayers (File, cell, work_layer, right, maskL, t8, t9) ; mergeLayers (File, cell, work_layer, right, left, t8, NULL) ; LSelection_DeselectAll () ; LSelection_AddAllObjectsOnLayer (right) ; LSelection_AddAllObjectsOnLayer (left) ; LSelection_AddA110bjectsOnLayer (maskL) ; LSelection_AddAllObjectsOnLayer (maskR) ; LSelection_Clear () ; deleteTmpLayer (File, t8) ; deleteTmpLayer (File, t9) ; copyPorts (LCell orig, LCell New) { LPort port; char pname [50] ; LLayer 1; LRect r; for( port = LPort_GetLis (orig) ; port != NULL; port LPort_GetNext (port) ) LPort_GetText (port, pname, 50); 1 = LPort_GetLayer (port) ; r = LPort_GetRect (port) ; assert (LPort_New (New, 1, pname, r.xO, r.yO, r.xl, r.yl),"copy port fail") ; } void createJoin(LFile File, LCell cell) char cell_name [128] ; char new_name [128] ; char sub_name [128] ; LCell New; LCell subcell; Llnstance inst; Llnstance ninst; LTransform xform; LPoint repeat, delta; assert ( LCell_GetName (cell, cell_name, 128) != NULL, "no cell name" ) strcpy (new_name, cell_name) ; strcat (new_name, "_join") ; cutname (cell_name, sub_name, LEFT, 128); assert ( (subcell = LCell Find(File, sub name)) != NULL, "find left side"] LCell_Copy(File, subcell, File, new_name) assert ( (New = LCell_Find(File, new_name) NULL, "new join cell creation failed"); xform. translation.x = 0; xform. translation.y = 0; xform.orientation = 0; xform.magnification.num = 1; xform.magnification.denom = 1; repeat.x = 1; repeat.y = 1; delta.x = 1; delta.y = 1; cutname (cell_name, new_name, RIGHT, 128) ; assert ( (subcell = LCell_Find(File, new name) ) ! = NULL, "find right side") ; LInstance_New (New, subcell ,xform, repeat, delta) LCell_MakeVisibleNoRefresh (New) ; New = LCell_Flatten(New) ; mergeSides (File, New, "Poly") ; mergeSides (File, New, "Metall") mergeSides (File, New, "Metal2") mergeSides (File, New, "Metal3") mergeSides (File, New, "Metal4")
Figure imgf001086_0001
mergeSides (File, New, "P Well") copyPorts (cell, New) void createSidesDo (LFile File, LCell cell) { LCell cut_cell; LCell_MakeVisibleNoRefresh (cell) ; cut_cell = completeSplit (File, cell); makeNewSideCell (File, cut_cell, LEFT); makeNewSideCell (File, cut_cell, RIGHT) createJoin(File, cell); } void createSides (void) { LFile File; LCell cell; LCell cut__cell; if ( (cell = getCurrentCell (&File) ) == NULL ) return; createSidesDo (File, cell); resetUpi () ; } void createEnds (LFile File, LCell Cell) { createEnd(File, Cell, LEFT); createΞnd(File, Cell, RIGHT); createEnd(File, Cell, DONOTKNOW); } void do_createΞnds ( ) { LFile File; LCell cell; if ( (cell = getCurrentCell (&File) ) == NULL ) return; createEnds (File, cell); resetUpi () ; } void copy_cut_lines ( ) { LFile File; LCell cell; LLayer 11, start, end; char lname [64] ; char *ptr; if ( (cell = getCurrentCell (&File) ) == NULL ) return; start = GetLLayer (File, "cut start"); end = GetLLayer (File, "cut end") ; for ( 11 = LLayer_GetNext (start) ; 11 != end; 11 = LLayer_GetNext (11) ) { LLayer_GetName (11, lname, 64); ptr = lname + strlen (lname) - 9; if ( strcmp( ptr," cut line") == 0 ) { copyLayerToTop (File, cell, 11); } } resetUpi () ; } void see_cut_lines ( ) { LFile File; LCell cell; LLayer 11, start, end; char lname [64] ; char *ptr; if ( (cell = getCurrentCell (&File) ) == NULL ) return; start = GetLLayer (File, "cut start"); end = GetLLayer (File, "cut end"); for ( 11 = LLayer_GetNext (start) ; 11 != end; 11 = LLayer_GetNext (11) ) { LLayer_GetName (11, lname, 64) ; ptr = lname 4- strlen (lname) - 9; if ( strcmp ( ptr," cut line") == 0 ) { VISIBLE (11) ; } } resetUpi () ; } void createEndsAllInstances () { LFile File; LLayer 1; LCell cell; LCell subcell; Llnstance inst; int delete = 0; if ( (cell = getCurrentCell (&File) ) == NULL ) return; for ( inst = LInstance_GetList (cell) ; inst != NULL; inst = LInstance__GetNext (inst) ) { subcell = LInstance_GetCell (inst) ; createEnds (File, subcell); } LCell_MakeVisibleNoRefresh(cell) ; resetUpi () ; } void createJoinDo (void) { LFile File; LCell cell; LCell cut_cell ; if ( (cell = getCurrentCell (&File) ) == NULL ) return; create Join (File , cell) ; resetUpi ( ) ; } void create JoinAllInstances ( ) { LFile File; LLayer 1; LCell cell; LCell subcell; Llnstance inst; int delete = 0; if ( (cell = getCurrentCell (SFile) ) == NULL ) return; for ( inst = LInstance_GetList (cell) ; inst != NULL; inst = LInstance_GetNext (inst) ) { subcell = LInstance_GetCell (inst) ; createJoin(File, subcell); } LCell_MakeVisibleNoRefresh (cell) ; resetUpi () ; } void doAll() { LFile File; LCell cell; Llnstance inst; LObject o; LLayer 1; if ( (cell = getCurrentCell (&File) ) == NULL ) return; // cell = LCell_Find(File, "gen_gds_list") ; // LCell_MakeVisibleNoRe resh (cell) ; // genGDSforAHInstance () ; cell = LCell_Find(File, "stitch_list") ; LCell_MakeVisibleNoRefresh (cell) ; splitAllInstances () ; cell = LCell_Find(File, "endl_list") ; LCell_MakeVisibleNoRefresh (cell) ; createEndsAllInstances () ; cell = LCell_Find(File, "end2_list") ; LCell_MakeVisibleNoRefresh (cell) ; createEndsAllInstances () ; resetUpi () ; } void delete_joins () { LFile File; LCell cell; LCell ncell; char *ptr; char cell_name [64] ; if ( (cell = getCurrentCell (&File) ) == NULL ) return; for( cell = LCell_GetList (File) ; cell != NULL; cell = ncell ) { ncell = LCell_GetNext (cell) ; assert ( LCell_GetName (cell, cell_name, 64) != NULL, "no cell name") ; ptr = cell_name + strlen(cell_name) - 5; if ( strcmp ( ptr,"_join") == 0 ) { if( LDialog_YesNoBox(cell_name) ) LCell_Delete (cell) ; } resetUpi () ; }
#if INTERP void stitch_macro_register ( void ) #else int UPI_Entry_Point ( void ) #endif { LMacro_Register ("create Split", "createSplit") ; LMacro_Register ("create Divide", "createDivide") ; LMacro_Register ("do overlap split", "doOverlapSplit") ; LMacro_Register ("create Sides", "createSides") ; // LMacro_Register ("create Join", "createJoinDo") ; LMacro_Register ("split all instances", "splitAllInstances") ; LMacro_Register ("Set up Split", "SetupSplitLayers") ; LMacro_Register ("See cut layers", "see_cut_layers") ; LMacro_Register ("See cut lines", "see_cut_lines") ; LMacro_Register ("Copy cut lines", "copy_cut_lines") ; LMacro_Register ("create ends cells", "do_createEnds") ; LMacro_Register ("create ends all instances", "createEndsAllInstances") ; // LMacro_Register ("create join all instances", "createJoinAllInstances") ; LMacro_Register ("do all", "doAll") ; LMacro_Register ("delete _join cells", "delete_joins") ;
#if ! INTERP return 1;
#endif ) // End of Function: UPI_Entry_Point
#if INTERP
} /* End of Module hierarchy */ stitch_macro_register () ;
#endif The following documents are incorporated by cross-reference[
[1] IBM Cu-11 Databook: Macros, March 21 2002. [2] Universal Serial Bus (USB) Specification Revl.l, Compaq Computer Corporation, Intel Corporation, Microsoft Corporation, NEC Corporation, September 28 1998. [3] Synopsys DesignWare USB 1.1 OHCI Host Controller with AHB/PVCI Databook Version 2.6, February 2003. [4] Open Host Controller Interface Specification (OpenHCI) for USB Revl .0a, Compaq, Microsoft, National Semiconductor, September 14 1999.
[5] inSilicon TymeWare USB 1.1 Device Controller (UDCVCI) Core User Manual Version 1.1, inSilicon Corporation, November 2000. [6] Amphion, 2001 , CS6150 Motion JPEG Decoder Databook, Amphion Semiconductor Ltd. [7] ANSI/EIA 538-1988, Facsimile Coding Schemes and Coding Control Functions for Group 4 Facsismile Equipment, August 1988
[8] Bender, W., P. Chesnais, S. Elo, A. Shaw, and M. Shaw, Enriching communities: Harbingers of news in the future, IBM Systems Journal, Vol.35, Nos.3&4, 1996, pp.369-380 [9] CCIR Rec. 601-2, Encoding Parameters of Digital Television for Studios, Recommendations of the CCIR, 1990, Voi Xl-Part 1, Broadcasting Service (Television), ρρ.95-104. [10] Farrell, J., How to Allocate Bits to Optimize Photographic Image Quality, Proceedings of IS&T International Conference on Digital Printing Technologies, 1998, pp.572-576 [1 l]Humphreys, G.W., and V. Bruce, Visual Cognition, Lawrence Erlbaum Associates, 1989, ρ.15 [12] ISO/TEC 19018-1 : 1994, Information technology - Digital compression and coding of continuous- tone still images: Requirements and guidelines, 1994 [13]Lyppens, H., Reed-Solomon Error Correction, Dr. Dobb's Journal Yol.22, No.l, January 1997
[14] 01sen, J. Smoothing Enlarged Monochrome Images, in Glassner, A.S. (ed.), Graphics Gems, AP Professional, 1990 [15]Rorabaugh, C, Error Coding Cookbook, McGraw-Hill 1996 [16] Thompson, H.S., Multilingual Corpus 1 CD-ROM, European Corpus Initiative [17]Urban, S.J., Review of standards for electronic imaging for facsimile systems, Journal of Electronic Imaging, Vol.l(l), January 1992, pp.5-21 [18] Wallace, G.K., The JPEG Still Picture Compression Standard, Communications of the ACM, Vol.34, No.4, April 1991, pp.30-44 [19] Wicker, S., and Bhargava, V., Reed-Solomon Codes and their Applications, IEEE Press 1994 [20] Yasuda, Y., Overview of Digital Facsimile Coding Techniques in Japan, Proceedings of the IEEE, Vol. 68(7), July 1980, pp.830-845 [21] SPARC International, SPARC Architecture Manual, Version8, Revision SAV080SI9308 [22] Gaisler Research, The LEON-2 Processor User's Manual, Version 1.0.7, September 2002 [23] ARM Limited, AMBA Specification, Revl.O, May 1999 [24] ITU-T, Reccomendation T30, Procedures for document facsimile transmission in the general switched telephone network, 07/2003.
[25] Anderson, R, and Kuhn, M., 1997, Low Cost Attacks on Tamper Resistant Devices, Security Protocols, Proceedings 1997, LNCS 1361, B. Christianson, B. Crispo, M. Lomas, M. Roe, Eds., Springer- Verlag, pp.l25-136.
[26] Anderson, R., and Needham, R.M., Programming Satan's Computer, Computer Science Today, LNCS 1000, pp. 426-441. [27] Atkins, D., Graff, M., Lenstra, A.K., and Leyland, P.C, 1995, The Magic Words Are Squeamish Ossifrage, Advances in Cryptology - ASIACRYPT '94 Proceedings, Springer- Verlag, pp. 263-277. [28] Bains, S., 1997, Optical schemes tried out in IC test - IBM and Lucent teams take passive and active paths, respectively, to imaging. EETimes, December 22, 1997. [29]Bao, F., Deng, R. H., Yan, Y, Jeng, A., Narasimhalu, A.D., Ngair, T., 1997, Breaking Public Key Cryptosystems on Tamper Resistant Devices in the Presence of Transient Faults, Security Protocols, Proceedings 1997, LNCS 1361, B. Christianson, B. Crispo, M. Lomas, M. Roe, Eds., Springer- Verlag, pp. 115-124.
[30] Bellare, M., Canetti, R., and Krawc∑yk. H., 1996, Keying Hash Functions For Message Authentication, Advances in Cryptology, Proceedings Crypto'96, LNCS 1109, N. Koblitz, Ed., Springer- Verlag, 1996, ρρ.1-15. Full version: htφ://www.research.ibm.com/security/keyed-md5.html [31] Bellare, M., Canetti, R., and Krawczyk, H., 1996, The HMAC Construction, RSA Laboratories CryptoBytes, Vol. 2, No 1, 1996, pp. 12-15. 32] Bellare, M., Guerin, R., and Rogaway, P., 1995, XOR MACs: New Methods For Message Authentication Using Finite Pseudorandom Functions, Advances in Cryptology, Proceedings Crypto'95, LNCS 963, D Coppersmith, Ed., Springer-Verlag, 1995, pp. 15-28.
33]Blaze, M., Diffie, W., Rivest, R., Schneier, B.; Shimomura, T., Thompson, E., Wiener, M., 1996, Minimal Key Lengths For Symmetric Ciphers To Provide Adequate Commercial Security, A Report By an Ad Hoc Group of Cryptographers and Computer Scientists, Published on the internet: http://www.livelinks.conι/livelιLnks^sa/cryptographers.html
34] Blum, L., Blum, M.; and Shub, M., A Simple Unpredictable Pseudo-random Number Generator, SLAM Journal of Computing, voi 15, no 2, May 1986, pp 364-383. [35] Bosselaers, A., and Preneel, B., editors, 1995, Integrity Primitives for Secure Information Systems: Final Report of RACE Integrity Primitives Evaluation RIPE-RACE 1040, LNCS 1007, Springer-Verlag, New York.
36] Brassard, G., 1988, Modern Cryptography, a Tutorial, LNCS 325, Springer-Verlag.
37] Canetti, R., 1997, Towards Realizing Random Oracles: Hash Functions That Hide All Partial Information, Advances in Cryptology, Proceedings Crypto'97, LNCS 1294, B. Kaliski, Ed., Springer- Verlag, pp. 455-469.
38] Cheng, P., and Glenn, R., 1997, Test Cases for HMAC-MD5 and HMAC-SHA-1 , Network Working Group RFC 2202, http://reference.ncrs.usda.gov/ietfrfc/2300/rfc2202.htm
39] Diffie, W., and Hellman, M.E., 1976, Multiuser Cryptographic Techniques, AFIPS national Computer Conference, Proceedings '76, pp. 109-112.
40] Diffie, W., and Hellman, M.E., 1976, New Directions in Cryptography, IEEE Transactions on Information Theory, Volume IT-22, No 6 (Nov 1976), pp. 644-654.
41]Diffie, W., and Hellman, M.E., 197 ', Exhaustive Cryptanalysis of the NBS Data Encryption Standard, Computer, Volume 10, No 6, (Jun 1977), pp. 74-84. [42] Dobbertin, H., 1995, Alf Swindles Ann, RSA Laboratories CryptoBytes, Volume 1, No 3, p. 5.
43] Dobbertin, H, 1996, Cryptanalysis ofMD4, Fast Software Encryption - Cambridge Workshop, LNCS 1039, Springer-Verlag, 1996, pp 53-69.
44] Dobbertin, H, 1996, The Status ofMD5 After a Recent Attack, RSA Laboratories CryptoBytes, Volume 2, No 2, pp. 1, 3-6. [45] Dreifus, H., and Monk, J.T., 1988, Smart Cards - A Guide to Building and Managing Smart Card Applications, John Wiley and Sons.
46] EIGamal, T., 1985, A Public-Key Cryptosystem and a Signature Scheme Based on Discrete Logarithms, Advances in Cryptography, Proceedings Crypto'84, LNCS 196, Springer-Verlag, pp. 10-18.
_47] EIGamal, T., 1985, A Public-Key Cryptosystem and a Signature Scheme Based on Discrete Loga- rithms, IEEE Transactions on Information Theory, Volume 31 , No 4, pp. 469-472
48] Feige, U., Fiat, A, and Shamir, A., 1988, Zero Knowledge Proofs of Identity, J Cryptography, Volume 1, pp. 77-904.
49] Feigenbaum, J., 1992, Overview of Interactive Proof Systems and Zero-Knowledge, Contemporary Cryptology - The Science of Information Integrity, G Simmons, Ed., IEEE Press, New York. T50] FIPS 46-1, 1977, Data Encryption Standard, NIST, US Department of Commerce, Washington D.C, Jan 1977.
51]FLPS 180, 1993, Secure Hash Standard, NIST, US Department of Commerce, Washington D.C, May 1993.
52] FIPS 180-1, 1995, Secure Hash Standard, NIST, US Department of Commerce, Washington D.C, " April 1995.
53] FIPS 186, 1994, Digital Signature Standard, NIST, US Department of Commerce, Washington D.C, 1994.
54] Gardner, M., 1977, A New Kind of Cipher Tliat Would Take Millions of Years to Break, Scientific American, Vol. 237, No. 8, pp. 120-124. T55] Girard, P., Roche, F. M., Pistoulet, B., 1986, Electron Beam Effects on VLSIMOS: Conditions for Testing and Reconfiguration, Wafer-Scale Integration, G. Saucier and J. Trihle, Eds., Amsterdam.
56] Girard, P., Pistoulet, B., Valenza, M., and Lorival, R., 1987, Electron Beam Switching of Floating Gate MOS Transistors, LFLP International Workshop onWafer Scale International, Brunei University, Sept. 23-25, 1987. T57] Goldberg, I., and Wagner, D., 1996, Randomness and the Netscape Browser, Dr. Dobb's Journal, January 1996.
58] Guilou, L. G., Ugon, M., and Quisquater, J., 1992, The Smart Card, Contemporary Cryptology - The Science of Information Integrity, G Simmons, Ed., IEEE Press, New York.
59] Gutman, P., 1996, Secure Deletion of Data From Magnetic and Solid-State Memory, Sixth USENIX Security Symposium Proceedings (July 1996), pp. 77-89. [60] Hendry, M., 1997, Smart Card Security and Applications, Artech House, Norwood MA.
[61]Holgate, S. A., 1998, Sensing is Believing, New Scientist, 15 August 1998, p 20.
[62] Johansson, T., 1997, Bucket Hashing with a Small Key Size, Advances in Cryptology, Proceedings Eurocrypt'97, LNCS 1233, W. Fumy, Ed., Springer-Verlag, pp. 149-162. [63]Kahn, D., 1967, The Codebreakers: The Story of Secret Writing, New York: Macmillan Publishing Co. [64]Kaliski, B.5 1991, Letter to NIST regarding DSS, 4 Nov 1991. [65] Kaliski, B.5 1998, New Threat Discovered and Fixed, RSA Laboratories Web site http://www.rsa.com/rsalabs/pkcsl [66] Kaliski, B., and Robshaw, M, 1995, Message Authentication With MD5, RSA Laboratories CryptoBytes, Volume 1, No 1, pp. 5-8. [67] Kaliski, B., and Yin, Y.L., 1995, On Differential and Linear Cryptanalysis of the RC5 Encryption Algorithm, Advances in Cryptology, Proceedings Crypto '95, LNCS 963, D. Coppersmith, Ed., Springer-Verlag, pp. 171-184. [68]Klapper, A., and Goresky, M., 1994, 2-Adic Shift Registers, Fast Software Encryption: Proceedings Cambridge Security Workshop '93, LNCS 809, R. Anderson, Ed., Springer-Verlag, pp. 174-178. [69] Klapper, A., 1996, On the Existence of Secure Feedback Registers, Advances in Cryptology, Proceedings Eurocrypt'96, LNCS 1070, U. Maurer, Ed., Springer-Verlag, pp. 256-267. [70] Kleiner, K., 1998, Cashing in on the not so smart cards, New Scientist, 20 June 1998, p 12. [71]Knudsen, L.R., and Lai, X., Improved Differential Attacks on RC5, Advances in Cryptology, Proceedings Crypto'96, LNCS 1109, N. Koblitz, Ed., Springer-Verlag, 1996, pp.216-228 [72] Knuth, D.E., 1998, The Art of Computer Programing - Volume 2/ Seminumerical Algorithms, 3rd edition, Addison- Wesley. [73] Krawczyk, H., 1995, New Hash Functions for Message Authentication, Advances in Cryptology, Proceedings Eurocrypt'95, LNCS 921, L Guillou, J Quisquater, (editors), Springer-Verlag, pp. 301- 310. [74] Krawczyk, H., 199x, Network Encryption - History and Patents, internet publication: http ://www.cygnus.com/~gnu/netcrypt.html [75] Krawczyk, H., Bellare, M, Canetti, R., 1997, HMAC: Keyed Hashing for message Authentication, Network Working Group RFC 2104, http://reference.ncrs.usda.gov/ietf/rfc/2200/rfc2104.htm [76] Lai, X., 1992, On the Design and Security of Block Ciphers, ETH Series in Information Processing, J.L. Massey (editor), Volume 1, Konstanz: hartung-Gorre Verlag (Zurich). [77] Lai, X, and Massey, 1991, J.L, A Proposal for a New Block Encryption Standard, Advances in Cryptology, Proceedings Eurocrypt'90, LNCS 473, Springer-Verlag, pp. 389-404. [78] Massey, J.L., 1969, Shift Register Sequences and BCH Decoding, IEEE Transactions on Information Theory, IT-15, pp. 122-127. [79]Mende, B., Noll, L., and Sisodiya, S., 1997, HowLavarand Works, Silicon Graphics Incorporated, published on Internet: http://lavarand.sgi.com (also reported in Scientific American, November 1997 p. 18, and New Scientist, 8 November 1997). [80] Menezes, A. J., van Oorschot, P. C, Vanstone, S. A., 1997, Handbook of Applied Cryptography, CRC Press. [81]Merkle, R.C., 1978, Secure Communication Over Insecure Channels, Communications of the ACM, Volume 21, No 4, pp. 294-299. [82] Montgomery, P. L., 1985, Modular Multiplication Without Trial Division, Mathematics of Computation, Volume 44, Number 170, pp. 519-521. [83] Moreau, T., A Practical "Perfect" Pseudo-Random Number Generator, paper submitted to Computers in Physics on February 27 1996, Internet version: http://www.connotech.com/BBS.HTM [84] Moreau, T., 1997, Pseudo-Random Generators, a High-Level Survey-in-Progress, Published on the internet: http://www.cabano.com/connotech/RNG.HTM [85] NIST, 1994 , Digital Signature Standard, NIST ISL Bulletin, online version at http://csrc.ncsl.nist.gov/nistbul/csl94-l 1.txt [86] Oehler, M., Glenn, R., 1997, HMAC-MD5 IP Authentication with Replay Prevention, Network Working Group RFC 2085, http://reference.ncrs.usda.gov/ietf/rfc/2100/rfc2085.txt [87] Oppliger, R., 1996, Authentication Systems For Secure Networks, Artech House, Norwood MA. [88]Preneel, B., van Oorschot, P.C, 1996, MDx-MAC And Building Fast MACs From Hash Functions, Advances in Cryptology, Proceedings Crypto'95, LNCS 963, D. Coppersmith, Ed., Springer-Verlag, pp. 1-14. [89]Preneel, B., van Oorschot, P.C, 1996, On the Security of Two MAC Algorithms, Advances in Cryptology, Proceedings Eurocrypt'96, LNCS 1070, U. Maurer, Ed., Springer-Verlag, 1996, pp. 19- 32. [90]Preneel, B., Bosselaers, A., Dobbertin, H., 1997, The Cryptographic Hash Function RIPEMD-160, CryptoBytes, Volume 3, No 2, 1997, pp. 9-14. [91] Rankl, W., and Effing, W., 1997, Smart Card Handbook, John Wiley and Sons (first published as Handbuch der Chipkarten, Carl Hanser Verlag, Munich, 1995). [92]Ritter, T., 1991, The Efficient Generation of Cryptographic Confusion Sequences, Cryptologia, Volume 15, No 2, pp. 81-139. [93] Rivest, R.L, 1993, Dr. Ron Rivest on the Difficulties of Factoring, Ciphertext: The RSA Newsletter, Voi 1, No 1, pp. 6, 8. [94] Rivest, R.L., 1991 , The MD4 Message-Digest Algorithm, Advances in Cryptology, Proceedings Crypto'90, LNCS 537, S. Vanstone, Ed., Springer-Verlag, pp. 301-311. [95] Rivest, R.L., 1992, The RC4 Encryption Algorithm, RSA Data Security Inc. (This document has not been made public). [96] Rivest, R.L., 1992, The MD4 Message-Digest Algorithm, Request for Comments (RFC) 1320, Internet Activities Board, Internet Privacy Task Force, April 1992. [97] Rivest, R.L., 1992, The MD5 Message-Digest Algorithm, Request for Comments (RFC) 1321, Internet Activities Board, Internet privacy Task Force. [98] Rivest, R.L., 1995, The RC5 Encryption Algorithm, Fast Software Encryption, LNCS 1008, Springer-Verlag, pp. 86-96. [99] Rivest, R.L., Shamir, A., and Adleman, L.M., 1978, AMethodFor Obtaining Digital Signatures and Public-Key Cryptosy stems, Communications of the ACM, Volume 21, No 2, pp. 120-126. [100] Schneier, S., 1994, Description of a New Variable-Length Key, 64-Bit Block Cipher (Blowfish), Fast Software Encryption (December 1993), LNCS 809, Springer-Verlag, pp. 191-204. [101] Schneier, S., 1995, The Blowfish Encryption Algorithm - One Year Later, Dr Dobb's Journal, September 1995. [102] Schneier, S., 1996, Applied Cryptography, Wiley Press.
[103] Schneier, S., 1998, The Blowfish Encryption Algorithm, revision date February 25, 1998, http://www.counte ane.com/blowfish.html [104] Schneier, S., 1998, The Crypto Bomb is Ticking, Byte Magazine, May 1998, pp. 97-102.
[105] Schnorr, C.P., 1990, Efficient Identification and Signatures for Smart Cards, Advances in Cryptology, Proceedings Eurocrypt'89, LNCS 435, Springer-Verlag, pp. 239-252. [106] Shamir, A., and Fiat, A., Method, Apparatus and Article For Identification and Signature, U.S. Patent number 4,748,668, 31 May 1988. [107] Shor, W., 1994, Algorithms for Quantum Computation: Discrete Logarithms and Factoring, Proc. 35th Symposium. Foundations of Computer Science (FOCS), IEEE Computer Society, Los Alamitos, Calif, 1994. [108] Simmons, G. J., 1992, A Survey of Information Authentication, Contemporary Cryptology - The Science of Information Integrity, G Simmons, Ed., IEEE Press, New York. [109] Tewksbury, S. K., 1998, Architectural Fault Tolerance, Integrated Circuit Manufactur ability, Pineda de Gyvez, J., and Pradhan, D. K., Eds., IEEE Press, New York. [110] TSMC, 2000, SFC0008J8B9JTE, 8K x 8 Embedded Flash Memory Specification, Rev 0.1. [I l l] Tsudik, G., 1992, Message Authentication With One-way Hash Functions, Proceedings of Infocom '92 (Also in Access Control and Policy Enforcement in Internetworks, Ph.D. Dissertation, Computer Science Department, University of Southern California, April 1991). [112] Vallett. D., Kash, J., and Tsang, J., Watching Chips Work, IBM MicroNews, Voi 4, No 1, 1998. [113] Vazirani, U.V., and Vazirani, V.V., 1984, Efficient and Secure Random Number Generation, 25th Symposium. Foundations of Computer Science (FOCS), IEEE Computer Society, 1984, pp. 458-463. [114] Wagner, D., Goldberg, I., and Briceno, M., 1998, GSM Cloning, ISAAC Research Group, University of California, http://www.isaac.cs.berkeley.edu/isaac/gsm-faq.html [115] Wiener, M.J., 1997 ', Efficient DES Key Search - An Update, RSA Laboratories CryptoBytes, Volume 3, No 2, pp. 6-8. [116] Zoreda, J.L., and Otόn, J.M., 1994, Smart Cards, Artech House, Norwood MA.
[117] Authentication Protocols v0_2 29 November 2002. Silverbrook Research.
[118] H. KrawczykJ M, M. Bellare UCSD, R. Canetti IBM, RFC 2104, February 1997, http://www.ietf.org/rfc/rfc2104.txt [119] 4-3-1-2-QAChipSρec v4_09 17 Apr. 2003,Silverbrook Research
[120] 4-4-9-4 SoPEC_hardware_design_v3_l 28 Jan. 2003
[121] Silverbrook Research, 2002, 4-3-1-8 QID Requirements Specification. ] TSMC, Oct 1 , 2000, SFC0008J08B9J3E, 8K x 8 Embedded Flash Memory Specification,
Rev 0.1.] TSMC (design service division), Sep 10, 2001, 0.25um Embedded Flash Test Mode User
Guide, V0.3.] TSMC (EmbFlash product marketing), Oct 19, 2001, 0.25um Application Note, V2.2.] Artisan Components, Jan 99, Process Perfect Library Databook 2.5-Volt Standard Cells,
Revl.0.] "4-3-1-2 QA Chip Technical Reference v 4.06", Silverbrook Research.] National Institute of Standards and Technology - Federal Information Processing Stand- ardshttp://csrc.nist.gov/publications/fips/] United States Patent Application No. 09/575,108, filed May 23, 2000, Silverbrook
Research Pty Ltd] United States Patent Application No. 09/575,109, filed May 23, 2000, Silverbrook
Research Pty Ltd] United States Patent Application No. 09/575,100, filed May 23, 2000, Silverbrook Research
Pty Ltd] United States Patent Application No. 09/607,985, filed May 23, 2000, Silverbrook Research
Pty Ltd] United States Patent No. 6,398,332, filed June 30, 2000, Silverbrook Research Pty] United States Patent No. 6,394,573, filed June 30, 2000, Silverbrook Research Pty Ltd] United States Patent No. 6,622,923, filed June 30, 2000, Silverbrook Research Pty Ltd] United States Patent Application No. 09/517,539, filed March 2, 2000, Silverbrook
Research Pty Ltd] United States Patent No.6,566,858, filed July 10, 1998, Silverbrook Research Pty Ltd] United States Patent No.6,331,946, filed July 10, 1998, Silverbrook Research Pty Ltd] United States Patent No 6,246,970, filed July 10, 1998, Silverbrook Research Pty Ltd] United States Patent No.6,442,525, filed July 10, 1998, Silverbrook Research Pty Ltd] United States Patent Application No.09/517,384, filed March 2, 2000, Silverbrook Research
Pty Ltd] United States Patent Application No.09/505,951, filed February 21, 2001, Silverbrook
Research Pty Ltd] United States Patent No 6,374,354, filed March 2, 2000, Silverbrook Research Pty Ltd] United States Patent Application No.09/517,608, filed March 2, 2000, Silverbrook Research
Pty Ltd] United States Patent No 6,334,190, filed March 2, 2000, Silverbrook Research Pty Ltd] United States Patent Application No.09/517,541, filed March 2, 2000, Silverbrook Research
Pty Ltd

Claims

1. A method of compensating for an inoperative nozzle in a printhead, the method comprising the step of: (a) mapping dot data intended for the inoperative nozzle into one or more operative nozzles of the printhead.
2. A method according to claim 1, wherein step (a) includes the substep of mapping the dot data intended for the inoperative nozzle into a nozzle that will print a dot on print media close to a position at which the inoperative nozzle would have printed a dot had it been operative.
3. A method according to claim 1, wherein step (a) includes the substep of mapping the dot data intended for the inoperative nozzle into a nozzle that will print a dot on print media immediately adjacent a position at which the inoperative nozzle would have printed a dot had it been operative.
4. A method according to 1, wherein step (a) includes the substeps of:
(i) determining one or more operative nozzles capable of printing a dot on print media close to a position at which the inoperative nozzle would have printed a dot had it been operative; and (ii) mapping the dot data from the inoperative nozzle to an operative nozzle determined in substep (i).
5. A method according to claim 4, wherein, in the event more than one operative nozzle is determined in substep (i), the dot data is remapped to one of the operative nozzles that will print a dot on print media closest to that which would have been printed by the inoperative nozzle.
6. A method according to claim 5, wherein, during successive firings of the printhead, the dot data is remapped alternately to operative nozzles that will print a dot on print media either side of that which would have been printed by the inoperative nozzle.
7. A method according to claim 5, wherein, during successive firings of the printhead, the dot data is remapped randomly, pseudo-randomly, or arbitrarily to operative nozzles that will print a dot on print media either side of that which would have been printed by the inoperative nozzle.
8. A method according to claim 1 , the printhead including a plurality of sets of the nozzles for printing a corresponding plurality of channels of dot data, wherein step (a) includes the substep of mapping the dot data intended for the inoperative nozzle into one or more operative nozzles from the same set.
9. A method according to claim 8, wherein step (a) includes the substep of mapping the dot data into one or more operative nozzles that will print a dot on print media close to a position at which the inoperative nozzle would have printed a dot had it been operative.
10. A method according to claim 8, wherein step (a) includes the substep of mapping the dot data intended for the inoperative nozzle into one or more operative nozzles including at least one nozzle from a different one of the sets.
11. A method according to claim 8, wherein step (a) includes the substeps of: determining which combination of one or more available operative nozzles near the inoperative nozzle will minimise perceived error in an image that the dot data forms part of, the determination being performed on the basis of a color model; and mapping the dot data intended for the inoperative nozzle to that combination of one or more operative nozzles.
12. A method according to claim 11, wherein the inoperative nozzle is associated with a black print channel, and wherein step (a) includes remapping the dot data intended for the inoperative nozzle into a plurality of operative nozzles in other color channels to produce a process black output at or adjacent a location on print media where the inoperative nozzle would have deposited a droplet of a black printing substance in accordance with the dot data.
13. A method according to claim 1, wherein a plurality of dot data intended for a corresponding plurality of inoperative nozzles are mapped to operative nozzles.
14. A printer controller configured to implement the method of claim 1.
15. A printer controller configured to implement the method of claim 1 to a printhead comprising a plurality of the nozzles.
PCT/AU2003/001616 2002-12-02 2003-12-02 Dead nozzle compensation WO2004050369A1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
EP03812106A EP1572463B1 (en) 2002-12-02 2003-12-02 Dead nozzle compensation
AU2003302611A AU2003302611B2 (en) 2002-12-02 2003-12-02 Dead nozzle compensation
DE60336677T DE60336677D1 (en) 2002-12-02 2003-12-02 TOTDÜSENAUSGLEICH
AT03812106T ATE504446T1 (en) 2002-12-02 2003-12-02 DEAD NOZZLE COMPENSATION
DK03812106.7T DK1572463T3 (en) 2002-12-02 2003-12-02 Compensation for a dead nozzle
CA002508141A CA2508141C (en) 2002-12-02 2003-12-02 Dead nozzle compensation

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
AU2002953135 2002-12-02
AU2002953134 2002-12-02
AU2002953135A AU2002953135A0 (en) 2002-12-02 2002-12-02 Method and apparatus (pec10)
AU2002953134A AU2002953134A0 (en) 2002-12-02 2002-12-02 Method and apparatus (auth16)

Publications (2)

Publication Number Publication Date
WO2004050369A1 WO2004050369A1 (en) 2004-06-17
WO2004050369A9 true WO2004050369A9 (en) 2005-05-12

Family

ID=32471018

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/AU2003/001616 WO2004050369A1 (en) 2002-12-02 2003-12-02 Dead nozzle compensation

Country Status (7)

Country Link
US (49) US7818519B2 (en)
EP (1) EP1572463B1 (en)
AT (1) ATE504446T1 (en)
CA (1) CA2508141C (en)
DE (1) DE60336677D1 (en)
DK (1) DK1572463T3 (en)
WO (1) WO2004050369A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109542059A (en) * 2018-11-19 2019-03-29 国核自仪系统工程有限公司 Historical data compression set and method
CN110719250A (en) * 2018-07-13 2020-01-21 中国科学院沈阳自动化研究所 Powerlink industrial control protocol anomaly detection method based on PSO-SVDD

Families Citing this family (1122)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19950249C1 (en) * 1999-10-18 2001-02-01 Siemens Ag Electronic device with software protection for runtime software for automated systems
US6700590B1 (en) * 1999-11-01 2004-03-02 Indx Software Corporation System and method for retrieving and presenting data using class-based component and view model
US7930531B2 (en) * 2000-01-06 2011-04-19 Super Talent Electronics, Inc. Multi-partition USB device that re-boots a PC to an alternate operating system for virus recovery
US7062749B2 (en) * 2000-12-15 2006-06-13 Promenix, Inc. Measuring, monitoring and tracking enterprise communications and processes
US8385476B2 (en) * 2001-04-25 2013-02-26 Texas Instruments Incorporated Digital phase locked loop
US7552191B1 (en) * 2001-06-12 2009-06-23 F5 Networks, Inc. Method and apparatus to facilitate automatic sharing in a client server environment
US7613699B2 (en) * 2001-08-03 2009-11-03 Itt Manufacturing Enterprises, Inc. Apparatus and method for resolving security association database update coherency in high-speed systems having multiple security channels
EP1359550A1 (en) 2001-11-30 2003-11-05 STMicroelectronics S.A. Regeneration of a secret number by using an identifier of an integrated circuit
EP1391853A1 (en) * 2001-11-30 2004-02-25 STMicroelectronics S.A. Diversification of the unique identifier of an integrated circuit
FR2833119A1 (en) 2001-11-30 2003-06-06 St Microelectronics Sa GENERATION OF SECRET QUANTITIES OF IDENTIFICATION OF AN INTEGRATED CIRCUIT
FR2838210B1 (en) * 2002-04-03 2005-11-04 Gemplus Card Int CRYPTOGRAPHIC METHOD PROTECTED FROM CACHE-CHANNEL TYPE ATTACKS
EP1353259B1 (en) * 2002-04-08 2006-06-14 Aladdin Knowledge Systems (Deutschland) GmbH Method of upgrading and licensing computer programs and computer system therefor
GB0211812D0 (en) * 2002-05-23 2002-07-03 Koninkl Philips Electronics Nv S-box encryption in block cipher implementations
US20030229643A1 (en) * 2002-05-29 2003-12-11 Digimarc Corporation Creating a footprint of a computer file
US20040044508A1 (en) * 2002-08-29 2004-03-04 Hoffman Robert R. Method for generating commands for testing hardware device models
RU2005115083A (en) * 2002-11-18 2006-01-20 Арм Лимитед (Gb) SWITCHING A PROCESSOR BETWEEN PROTECTED AND UNPROTECTED MODES
US7818519B2 (en) * 2002-12-02 2010-10-19 Silverbrook Research Pty Ltd Timeslot arbitration scheme
US20090319802A1 (en) * 2002-12-02 2009-12-24 Silverbrook Research Pty Ltd Key Genaration In An Integrated Circuit
US7801120B2 (en) * 2003-01-13 2010-09-21 Emulex Design & Manufacturing Corporation Method and system for efficient queue management
US7010416B2 (en) * 2003-01-17 2006-03-07 Ph2 Solutions, Inc. Systems and methods for resetting vehicle emission system error indicators
JP3823925B2 (en) * 2003-02-05 2006-09-20 ソニー株式会社 Information processing apparatus, license information recording medium, information processing method, and computer program
US7370212B2 (en) 2003-02-25 2008-05-06 Microsoft Corporation Issuing a publisher use license off-line in a digital rights management (DRM) system
SE0300670L (en) * 2003-03-10 2004-08-17 Smarttrust Ab Procedure for secure download of applications
RU2005129270A (en) * 2003-03-20 2006-05-27 Арм Лимитед (Gb) Detection and elimination of systematic and random errors in processor cascades of an integrated circuit
US8650470B2 (en) 2003-03-20 2014-02-11 Arm Limited Error recovery within integrated circuit
US7260001B2 (en) * 2003-03-20 2007-08-21 Arm Limited Memory system having fast and slow data reading mechanisms
US7278080B2 (en) * 2003-03-20 2007-10-02 Arm Limited Error detection and recovery within processing stages of an integrated circuit
US8185812B2 (en) * 2003-03-20 2012-05-22 Arm Limited Single event upset error detection within an integrated circuit
US8261062B2 (en) 2003-03-27 2012-09-04 Microsoft Corporation Non-cryptographic addressing
US7624264B2 (en) 2003-03-27 2009-11-24 Microsoft Corporation Using time to determine a hash extension
US7409544B2 (en) 2003-03-27 2008-08-05 Microsoft Corporation Methods and systems for authenticating messages
US7610487B2 (en) * 2003-03-27 2009-10-27 Microsoft Corporation Human input security codes
JP2004341768A (en) * 2003-05-15 2004-12-02 Fujitsu Ltd Magnetic disk device, cipher processing method and program
US20050021544A1 (en) * 2003-06-18 2005-01-27 Robert Wilkins System and method for managing information
US8595394B1 (en) 2003-06-26 2013-11-26 Nvidia Corporation Method and system for dynamic buffering of disk I/O command chains
US7496715B1 (en) * 2003-07-16 2009-02-24 Unisys Corporation Programmable cache management system and method
JP4624732B2 (en) * 2003-07-16 2011-02-02 パナソニック株式会社 how to access
US6999887B2 (en) * 2003-08-06 2006-02-14 Infineon Technologies Ag Memory cell signal window testing apparatus
US8229108B2 (en) * 2003-08-15 2012-07-24 Broadcom Corporation Pseudo-random number generation based on periodic sampling of one or more linear feedback shift registers
JP2005100270A (en) * 2003-09-26 2005-04-14 Minolta Co Ltd Printing control program and printer
US8683132B1 (en) 2003-09-29 2014-03-25 Nvidia Corporation Memory controller for sequentially prefetching data for a processor of a computer system
US7395527B2 (en) 2003-09-30 2008-07-01 International Business Machines Corporation Method and apparatus for counting instruction execution and data accesses
US8381037B2 (en) 2003-10-09 2013-02-19 International Business Machines Corporation Method and system for autonomic execution path selection in an application
US7779212B2 (en) 2003-10-17 2010-08-17 Micron Technology, Inc. Method and apparatus for sending data from multiple sources over a communications bus
US8356142B1 (en) 2003-11-12 2013-01-15 Nvidia Corporation Memory controller for non-sequentially prefetching data for a processor of a computer system
US8156343B2 (en) 2003-11-26 2012-04-10 Intel Corporation Accessing private data about the state of a data processing machine from storage that is publicly accessible
US8700808B2 (en) * 2003-12-01 2014-04-15 Nvidia Corporation Hardware support system for accelerated disk I/O
US7814327B2 (en) 2003-12-10 2010-10-12 Mcafee, Inc. Document registration
US7774604B2 (en) 2003-12-10 2010-08-10 Mcafee, Inc. Verifying captured objects before presentation
US7984175B2 (en) 2003-12-10 2011-07-19 Mcafee, Inc. Method and apparatus for data capture and analysis system
US8656039B2 (en) 2003-12-10 2014-02-18 Mcafee, Inc. Rule parser
US8548170B2 (en) 2003-12-10 2013-10-01 Mcafee, Inc. Document de-registration
US7899828B2 (en) 2003-12-10 2011-03-01 Mcafee, Inc. Tag data structure for maintaining relational data over captured objects
US20050132194A1 (en) * 2003-12-12 2005-06-16 Ward Jean R. Protection of identification documents using open cryptography
US7283944B2 (en) * 2003-12-15 2007-10-16 Springsoft, Inc. Circuit simulation bus transaction analysis
US7543142B2 (en) * 2003-12-19 2009-06-02 Intel Corporation Method and apparatus for performing an authentication after cipher operation in a network processor
US7512945B2 (en) * 2003-12-29 2009-03-31 Intel Corporation Method and apparatus for scheduling the processing of commands for execution by cryptographic algorithm cores in a programmable network processor
KR100631673B1 (en) * 2003-12-30 2006-10-09 엘지전자 주식회사 High Frequency Module Structure for Mobile Communication
US7831511B1 (en) 2004-01-07 2010-11-09 Intuit Inc. Automating setup of a user's financial management application account for electronic transfer of data with a financial institution
US7415705B2 (en) 2004-01-14 2008-08-19 International Business Machines Corporation Autonomic method and apparatus for hardware assist for patching code
US7895382B2 (en) 2004-01-14 2011-02-22 International Business Machines Corporation Method and apparatus for qualifying collection of performance monitoring events by types of interrupt when interrupt occurs
US7930540B2 (en) 2004-01-22 2011-04-19 Mcafee, Inc. Cryptographic policy enforcement
US7663915B2 (en) * 2004-02-10 2010-02-16 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile memory
JP2005228123A (en) * 2004-02-13 2005-08-25 Sharp Corp Communication method, communication system and information reception-side device used in the communication system
US7260025B2 (en) 2004-02-18 2007-08-21 Farinella & Associates, Llc Bookmark with integrated electronic timer and method therefor
US8578258B2 (en) * 2004-02-20 2013-11-05 Continental Teves Ag & Co., Ohg Method and integrated circuit for increasing the immunity to interference
US7607025B1 (en) * 2004-02-26 2009-10-20 Xilinx, Inc. Methods of intrusion detection and prevention in secure programmable logic devices
US7822428B1 (en) 2004-03-01 2010-10-26 Adobe Systems Incorporated Mobile rich media information system
US7478158B1 (en) * 2004-03-01 2009-01-13 Adobe Systems Incorporated Bandwidth management system
US7706782B1 (en) 2004-03-01 2010-04-27 Adobe Systems Incorporated System and method for developing information for a wireless information system
WO2005093759A1 (en) * 2004-03-15 2005-10-06 Thomson Licensing Technique for efficient video re-sampling
US7142478B2 (en) * 2004-03-19 2006-11-28 Infineon Technologies Ag Clock stop detector
US7738137B2 (en) * 2004-03-23 2010-06-15 Lexmark International, Inc. Inkjet print head synchronous serial output for data integrity
US20050216762A1 (en) * 2004-03-25 2005-09-29 Cyrus Peikari Protecting embedded devices with integrated reset detection
US7185301B2 (en) * 2004-04-06 2007-02-27 Lsi Logic Corporation Generic method and apparatus for implementing source synchronous interface in platform ASIC
US20050234986A1 (en) * 2004-04-09 2005-10-20 Microsoft Corporation Systems and methods for fragment-based serialization
JP4343867B2 (en) * 2004-04-13 2009-10-14 キヤノン株式会社 Inkjet recording device
US8400645B2 (en) 2004-04-16 2013-03-19 Marvell International Technology Ltd. Printer with selectable capabilities
US20050246762A1 (en) * 2004-04-29 2005-11-03 International Business Machines Corporation Changing access permission based on usage of a computer resource
US20060242406A1 (en) 2005-04-22 2006-10-26 Microsoft Corporation Protected computing environment
US20050254085A1 (en) * 2004-05-12 2005-11-17 Koji Oshikiri Image forming system
US20050257205A1 (en) * 2004-05-13 2005-11-17 Microsoft Corporation Method and system for dynamic software updates
US7549718B2 (en) * 2004-05-27 2009-06-23 Silverbrook Research Pty Ltd Printhead module having operation controllable on basis of thermal sensors
US7735944B2 (en) 2004-05-27 2010-06-15 Silverbrook Research Pty Ltd Printer comprising two printhead modules and at least two printer controllers
US7484831B2 (en) * 2004-05-27 2009-02-03 Silverbrook Research Pty Ltd Printhead module having horizontally grouped firing order
US7448707B2 (en) * 2004-05-27 2008-11-11 Silverbrook Research Pty Ltd Method of expelling ink from nozzels in groups, starting at outside nozzels of each group
US7427117B2 (en) * 2004-05-27 2008-09-23 Silverbrook Research Pty Ltd Method of expelling ink from nozzles in groups, alternately, starting at outside nozzles of each group
US7328956B2 (en) * 2004-05-27 2008-02-12 Silverbrook Research Pty Ltd Printer comprising a printhead and at least two printer controllers connected to a common input of the printhead
US7757086B2 (en) * 2004-05-27 2010-07-13 Silverbrook Research Pty Ltd Key transportation
US7252353B2 (en) * 2004-05-27 2007-08-07 Silverbrook Research Pty Ltd Printer controller for supplying data to a printhead module having one or more redundant nozzle rows
US7267417B2 (en) * 2004-05-27 2007-09-11 Silverbrook Research Pty Ltd Printer controller for supplying data to one or more printheads via serial links
US7551298B2 (en) * 2004-06-04 2009-06-23 Primax Electronics Ltd. Print control device with embedded engine simulation module and test method thereof
US7143221B2 (en) * 2004-06-08 2006-11-28 Arm Limited Method of arbitrating between a plurality of transfers to be routed over a corresponding plurality of paths provided by an interconnect circuit of a data processing apparatus
JP4612461B2 (en) * 2004-06-24 2011-01-12 株式会社東芝 Microprocessor
JP4275583B2 (en) * 2004-06-24 2009-06-10 ユーディナデバイス株式会社 Electronic module
US7409315B2 (en) 2004-06-28 2008-08-05 Broadcom Corporation On-board performance monitor and power control system
JP4874440B2 (en) * 2004-06-29 2012-02-15 株式会社デンソー PROGRAM GENERATION PROGRAM, PROGRAM GENERATION DEVICE, PROGRAM GENERATION METHOD, AND PROGRAM GENERATED BY THE SAME
US7929689B2 (en) 2004-06-30 2011-04-19 Microsoft Corporation Call signs
US7586904B2 (en) * 2004-07-15 2009-09-08 Broadcom Corp. Method and system for a gigabit Ethernet IP telephone chip with no DSP core, which uses a RISC core with instruction extensions to support voice processing
US7716494B2 (en) * 2004-07-15 2010-05-11 Sony Corporation Establishing a trusted platform in a digital processing system
US7568102B2 (en) * 2004-07-15 2009-07-28 Sony Corporation System and method for authorizing the use of stored information in an operating system
US7552326B2 (en) * 2004-07-15 2009-06-23 Sony Corporation Use of kernel authorization data to maintain security in a digital processing system
US20060015732A1 (en) * 2004-07-15 2006-01-19 Sony Corporation Processing system using internal digital signatures
US7840607B2 (en) * 2004-08-06 2010-11-23 Siemens Aktiengesellschaft Data mart generation and use in association with an operations intelligence platform
US7343496B1 (en) * 2004-08-13 2008-03-11 Zilog, Inc. Secure transaction microcontroller with secure boot loader
US20060037077A1 (en) * 2004-08-16 2006-02-16 Cisco Technology, Inc. Network intrusion detection system having application inspection and anomaly detection characteristics
US8700671B2 (en) * 2004-08-18 2014-04-15 Siemens Aktiengesellschaft System and methods for dynamic generation of point / tag configurations
US7182422B2 (en) * 2004-08-23 2007-02-27 Silverbrook Research Pty Ltd Printhead having first and second rows of print nozzles
US8560534B2 (en) 2004-08-23 2013-10-15 Mcafee, Inc. Database for a capture system
US7949849B2 (en) 2004-08-24 2011-05-24 Mcafee, Inc. File system for a capture system
EP1794944B1 (en) * 2004-08-27 2014-03-05 Board of Regents, The University of Texas System Method for memory assignment, computer program and system thereof
US8032787B2 (en) * 2004-09-02 2011-10-04 Intel Corporation Volatile storage based power loss recovery mechanism
US20110071949A1 (en) * 2004-09-20 2011-03-24 Andrew Petrov Secure pin entry device for mobile phones
US8347078B2 (en) 2004-10-18 2013-01-01 Microsoft Corporation Device certificate individualization
US8356143B1 (en) 2004-10-22 2013-01-15 NVIDIA Corporatin Prefetch mechanism for bus master memory access
US7644272B2 (en) 2004-10-22 2010-01-05 Broadcom Corporation Systems and methods for providing security to different functions
US20060088160A1 (en) * 2004-10-27 2006-04-27 Lexmark International, Inc. Method and apparatus for generating and printing a security stamp with custom logo on an electrophotographic printer
US9032192B2 (en) 2004-10-28 2015-05-12 Broadcom Corporation Method and system for policy based authentication
US7450723B2 (en) * 2004-11-12 2008-11-11 International Business Machines Corporation Method and system for providing for security in communication
US8336085B2 (en) 2004-11-15 2012-12-18 Microsoft Corporation Tuning product policy using observed evidence of customer behavior
US8176564B2 (en) 2004-11-15 2012-05-08 Microsoft Corporation Special PC mode entered upon detection of undesired state
US8464348B2 (en) * 2004-11-15 2013-06-11 Microsoft Corporation Isolated computing environment anchored into CPU and motherboard
DE102004055505A1 (en) * 2004-11-17 2006-05-24 Nec Europe Ltd. A method for authorizing service requests to service hosts in a network
US7814123B2 (en) * 2004-12-02 2010-10-12 Siemens Aktiengesellschaft Management of component members using tag attributes
JP4570952B2 (en) * 2004-12-28 2010-10-27 富士通株式会社 High speed information processing apparatus, high speed information processing method and program thereof
US20060146100A1 (en) * 2005-01-04 2006-07-06 Dull Daniel J Ink jet supply component including a secure memory serial device
US7778812B2 (en) * 2005-01-07 2010-08-17 Micron Technology, Inc. Selecting data to verify in hardware device model simulation test generation
US8442938B2 (en) 2005-01-14 2013-05-14 Siemens Aktiengesellschaft Child data structure update in data management system
US7536542B2 (en) * 2005-01-19 2009-05-19 Microsoft Corporation Method and system for intercepting, analyzing, and modifying interactions between a transport client and a transport provider
US7770205B2 (en) * 2005-01-19 2010-08-03 Microsoft Corporation Binding a device to a computer
US7966643B2 (en) * 2005-01-19 2011-06-21 Microsoft Corporation Method and system for securing a remote file system
US7315917B2 (en) * 2005-01-20 2008-01-01 Sandisk Corporation Scheduling of housekeeping operations in flash memory systems
US7132823B2 (en) * 2005-01-21 2006-11-07 Microsoft Corporation Design for test for a high speed serial interface
TW200627258A (en) * 2005-01-27 2006-08-01 Lite On Technology Corp Medium transfer-recording control method and the embedded system using the same
US7636911B2 (en) * 2005-01-28 2009-12-22 Microsoft Corporation System and methods for capturing structure of data models using entity patterns
EP1696321A1 (en) * 2005-02-23 2006-08-30 Deutsche Thomson-Brandt Gmbh Method and apparatus for executing software applications
JP2008530879A (en) * 2005-02-11 2008-08-07 ノキア コーポレイション Method and apparatus for providing a bootstrapping procedure in a communication network
US7221878B2 (en) * 2005-02-18 2007-05-22 Hewlett-Packard Development Company, L.P. Allowing image formation using consumable item where code of consumable item is identical to code of image-formation device
US7376809B2 (en) * 2005-03-09 2008-05-20 International Business Machines Corporation Systems and methods for multi-frame control blocks
US7620711B2 (en) * 2005-03-18 2009-11-17 Siemens Aktiengesellschaft Method of using configuration files for configuring technical devices
US20060218649A1 (en) * 2005-03-22 2006-09-28 Brickell Ernie F Method for conditional disclosure of identity information
JP4517907B2 (en) * 2005-03-23 2010-08-04 セイコーエプソン株式会社 Printing system, printing method, and printing control apparatus
JP4389829B2 (en) * 2005-03-28 2009-12-24 セイコーエプソン株式会社 Client computer, printer driver generation method, printer driver search method
US8438645B2 (en) 2005-04-27 2013-05-07 Microsoft Corporation Secure clock with grace periods
US20060215207A1 (en) * 2005-03-28 2006-09-28 Konica Minolta Systems Laboratory, Inc. Color and monochrome management printing system
KR100723777B1 (en) * 2005-04-11 2007-05-30 주식회사 하이닉스반도체 Autoread circuit
CA2604573A1 (en) * 2005-04-13 2006-10-19 Telefonaktiebolaget L M Ericsson (Publ) Data value coherence in computer systems
US8725646B2 (en) 2005-04-15 2014-05-13 Microsoft Corporation Output protection levels
US7509250B2 (en) * 2005-04-20 2009-03-24 Honeywell International Inc. Hardware key control of debug interface
US9363481B2 (en) 2005-04-22 2016-06-07 Microsoft Technology Licensing, Llc Protected media pipeline
US9436804B2 (en) 2005-04-22 2016-09-06 Microsoft Technology Licensing, Llc Establishing a unique session key using a hardware functionality scan
JP4855710B2 (en) * 2005-04-28 2012-01-18 株式会社東芝 Software plug-in method and application program
US8127147B2 (en) * 2005-05-10 2012-02-28 Seagate Technology Llc Method and apparatus for securing data storage while insuring control by logical roles
US20060259828A1 (en) 2005-05-16 2006-11-16 Texas Instruments Incorporated Systems and methods for controlling access to secure debugging and profiling features of a computer system
US20060265758A1 (en) 2005-05-20 2006-11-23 Microsoft Corporation Extensible media rights
DE102005024917A1 (en) * 2005-05-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Register transfer level simulation device for simulating bit or bus synchronization of digital electronic circuit in e.g. silicon chip, has delay unit selectively delaying digital signal of flip-flop register around variable delay time
JP5130646B2 (en) * 2005-06-06 2013-01-30 ソニー株式会社 Storage device
US8353046B2 (en) 2005-06-08 2013-01-08 Microsoft Corporation System and method for delivery of a modular operating system
EP1894116A1 (en) * 2005-06-10 2008-03-05 Freescale Semiconductor, Inc. Method and device for frame synchronization
JP2008542932A (en) * 2005-06-10 2008-11-27 フリースケール セミコンダクター インコーポレイテッド Medium access control apparatus and method
TWI267061B (en) * 2005-06-21 2006-11-21 Asustek Comp Inc Method for processing multi-layered images
US20090210701A1 (en) * 2005-06-23 2009-08-20 Junbiao Zhang Multi-Media Access Device Registration System and Method
US8639946B2 (en) * 2005-06-24 2014-01-28 Sigmatel, Inc. System and method of using a protected non-volatile memory
US7337147B2 (en) * 2005-06-30 2008-02-26 Microsoft Corporation Dynamic digital content licensing
JP4410162B2 (en) * 2005-07-05 2010-02-03 富士通株式会社 Reconfigurable LSI
US7797291B1 (en) * 2005-07-11 2010-09-14 Sprint Communications Company L.P. Data retention auditing
JP4345721B2 (en) * 2005-07-14 2009-10-14 コニカミノルタビジネステクノロジーズ株式会社 Management system
KR100648658B1 (en) * 2005-07-19 2006-11-24 삼성전자주식회사 Printing system and printer capable of electronic signature and method using the same
US20070022250A1 (en) * 2005-07-19 2007-01-25 International Business Machines Corporation System and method of responding to a cache read error with a temporary cache directory column delete
EP1748343A1 (en) 2005-07-29 2007-01-31 STMicroelectronics Limited Circuit personalisation
JP4412733B2 (en) * 2005-08-02 2010-02-10 キヤノン株式会社 Image processing apparatus and method, and computer program and storage medium
US7907608B2 (en) 2005-08-12 2011-03-15 Mcafee, Inc. High speed packet capture
DE602006007237D1 (en) * 2005-08-23 2009-07-23 Koninkl Philips Electronics Nv AUTHENTICATION OF INFORMATION CARRIERS THROUGH A PHYSICAL DISPLAY FUNCTION
JP2007058969A (en) * 2005-08-24 2007-03-08 Sanyo Electric Co Ltd Memory
KR100833178B1 (en) * 2005-08-26 2008-05-28 삼성전자주식회사 System capable of controlling the number of block in a cache memory and method thereof
JP2007064762A (en) * 2005-08-30 2007-03-15 Matsushita Electric Ind Co Ltd Semiconductor device and test mode control circuit
US7818326B2 (en) 2005-08-31 2010-10-19 Mcafee, Inc. System and method for word indexing in a capture system and querying thereof
US8255108B2 (en) * 2005-08-31 2012-08-28 Spx Corporation Dynamic file system creation for scan tools
US8183980B2 (en) * 2005-08-31 2012-05-22 Assa Abloy Ab Device authentication using a unidirectional protocol
JP2007066109A (en) * 2005-08-31 2007-03-15 Fujitsu Ltd Apparatus and method for controlling data transmission/reception
JP4513725B2 (en) * 2005-11-09 2010-07-28 ソニー株式会社 Packet transmission apparatus, communication system, and program
JP4674513B2 (en) * 2005-09-14 2011-04-20 富士ゼロックス株式会社 Spatial layout reproduction method, reader, and program
US7979048B2 (en) * 2005-09-15 2011-07-12 Silicon Laboratories Inc. Quasi non-volatile memory for use in a receiver
US20070067445A1 (en) * 2005-09-16 2007-03-22 Smart Link Ltd. Remote computer wake-up for network applications
US8135741B2 (en) 2005-09-20 2012-03-13 Microsoft Corporation Modifying service provider context information to facilitate locating interceptor context information
EP1768028A1 (en) * 2005-09-22 2007-03-28 STMicroelectronics (Research & Development) Limited Addressing peripherals in an ic
US20070074046A1 (en) * 2005-09-23 2007-03-29 Czajkowski David R Secure microprocessor and method
US20100191959A1 (en) * 2005-09-23 2010-07-29 Space Micro Inc. Secure microprocessor and method
US9009265B2 (en) 2005-09-28 2015-04-14 Photobucket Corporation System and method for automatic transfer of data from one device to another
US8015253B1 (en) 2005-09-28 2011-09-06 Photobucket Corporation System and method for controlling inter-device media exchanges
US9049243B2 (en) * 2005-09-28 2015-06-02 Photobucket Corporation System and method for allowing a user to opt for automatic or selectively sending of media
US7385491B2 (en) * 2005-09-28 2008-06-10 Itt Manufacturing Enterprises, Inc. Tamper monitor circuit
US7698392B2 (en) * 2005-09-28 2010-04-13 Photobucket Corporation Method and system for establishing a user-friendly data transfer service application executing within a heterogeneous distributed service application execution environment
US7870103B1 (en) * 2005-10-13 2011-01-11 Emc Corporation Tolerating and reporting collisions in content-derived identifiers of data segments using secondary identifiers
US7730011B1 (en) 2005-10-19 2010-06-01 Mcafee, Inc. Attributes of captured objects in a capture system
US7954037B2 (en) * 2005-10-25 2011-05-31 Sandisk Il Ltd Method for recovering from errors in flash memory
US8645712B1 (en) * 2005-10-27 2014-02-04 Altera Corporation Electronic circuit design copy protection
US7657104B2 (en) 2005-11-21 2010-02-02 Mcafee, Inc. Identifying image type in a capture system
US9176713B2 (en) * 2005-11-30 2015-11-03 International Business Machines Corporation Method, apparatus and program storage device that provides a user mode device interface
US7571368B1 (en) 2006-01-26 2009-08-04 Promethean Storage Llc Digital content protection systems and methods
US8832466B1 (en) 2006-01-27 2014-09-09 Trustwave Holdings, Inc. Methods for augmentation and interpretation of data objects
US9718268B1 (en) 2006-01-30 2017-08-01 Shahar Turgeman Ink printing system comprising groups of inks, each group having a unique ink base composition
US9352573B1 (en) 2006-01-30 2016-05-31 Shahar Turgeman Ink printing system comprising groups of inks, each group having a unique inkbase composition
US10144222B1 (en) 2006-01-30 2018-12-04 Shahar Turgeman Ink printing system
US7421542B2 (en) * 2006-01-31 2008-09-02 Cisco Technology, Inc. Technique for data cache synchronization
US20070240126A1 (en) * 2006-02-01 2007-10-11 International Business Machines Corporation System and method for event based resource selection
US8386782B2 (en) * 2006-02-02 2013-02-26 Nokia Corporation Authenticated group key agreement in groups such as ad-hoc scenarios
US7421601B2 (en) * 2006-02-17 2008-09-02 International Business Machines Corporation Method and system for controlling power in a chip through a power-performance monitor and control unit
US8243922B1 (en) 2006-02-24 2012-08-14 Hitachi Global Storage Technologies Netherlands B.V. Digital content modification for content protection
US7996899B1 (en) 2006-02-24 2011-08-09 Hitachi Global Storage Technologies Netherlands B.V. Communication systems and methods for digital content modification and protection
US7441102B2 (en) * 2006-02-28 2008-10-21 Freescale Semiconductor, Inc. Integrated circuit with functional state configurable memory and method of configuring functional states of the integrated circuit memory
US8321691B2 (en) * 2006-03-06 2012-11-27 Stmicroelectronics S.A. EMA protection of a calculation by an electronic circuit
JP5060057B2 (en) * 2006-03-08 2012-10-31 富士通株式会社 Communication line monitoring system, relay device, and communication line monitoring method
KR20070094320A (en) * 2006-03-17 2007-09-20 엘지전자 주식회사 Apparatus for receiving broadcasting, method for transmitting and receiving application, method for transmitting information of status receiving broadcasting, and data structure in accordance with status receiving broadcasting
US8504537B2 (en) 2006-03-24 2013-08-06 Mcafee, Inc. Signature distribution in a document registration system
US8086842B2 (en) 2006-04-21 2011-12-27 Microsoft Corporation Peer-to-peer contact exchange
US20080016005A1 (en) * 2006-04-24 2008-01-17 Encryptakey, Inc. Systems and methods for performing secure online transactions
CN101433014A (en) * 2006-04-28 2009-05-13 松下电器产业株式会社 Communication device and communication system
US7818740B2 (en) * 2006-05-05 2010-10-19 Microsoft Corporation Techniques to perform gradual upgrades
US8560829B2 (en) * 2006-05-09 2013-10-15 Broadcom Corporation Method and system for command interface protection to achieve a secure interface
US8285988B2 (en) * 2006-05-09 2012-10-09 Broadcom Corporation Method and system for command authentication to achieve a secure interface
US20070282318A1 (en) * 2006-05-16 2007-12-06 Spooner Gregory J Subcutaneous thermolipolysis using radiofrequency energy
US8205262B2 (en) * 2006-05-16 2012-06-19 Bird Peter L Hardware support for computer speciation
US7689614B2 (en) 2006-05-22 2010-03-30 Mcafee, Inc. Query generation for a capture system
US7958227B2 (en) 2006-05-22 2011-06-07 Mcafee, Inc. Attributes of captured objects in a capture system
US8141058B2 (en) 2006-06-05 2012-03-20 Rogue Wave Software, Inc. System for and method of capturing application characteristics data from a computer system and modeling target system
TW200807425A (en) * 2006-06-05 2008-02-01 Renesas Tech Corp Semiconductor device, unique ID of semiconductor device and method for verifying unique ID
FR2902213B1 (en) * 2006-06-08 2008-10-17 Thomson Licensing Sas ELECTRONIC CARD WITH SECURITY FUNCTIONS
US7774616B2 (en) * 2006-06-09 2010-08-10 International Business Machines Corporation Masking a boot sequence by providing a dummy processor
US7594104B2 (en) * 2006-06-09 2009-09-22 International Business Machines Corporation System and method for masking a hardware boot sequence
US20070288738A1 (en) * 2006-06-09 2007-12-13 Dale Jason N System and method for selecting a random processor to boot on a multiprocessor system
US20070288761A1 (en) * 2006-06-09 2007-12-13 Dale Jason N System and method for booting a multiprocessor device based on selection of encryption keys to be provided to processors
US20070288740A1 (en) * 2006-06-09 2007-12-13 Dale Jason N System and method for secure boot across a plurality of processors
EP2033316A4 (en) * 2006-06-21 2010-08-11 Element Cxi Llc Fault tolerant integrated circuit architecture
KR100804698B1 (en) * 2006-06-26 2008-02-18 삼성에스디아이 주식회사 The method of assuming the state of charge of the battery, battery management system using the method and the driving method of the battery management system using the method
US7934092B2 (en) * 2006-07-10 2011-04-26 Silverbrook Research Pty Ltd Electronic device having improved security
US20080028226A1 (en) * 2006-07-31 2008-01-31 Brocker Matthew W System-on-a-chip and method for securely transferring data on a system-on-a-chip
GB0615392D0 (en) * 2006-08-03 2006-09-13 Wivenhoe Technology Ltd Pseudo random number circuitry
US7769842B2 (en) * 2006-08-08 2010-08-03 Endl Texas, Llc Storage management unit to configure zoning, LUN masking, access controls, or other storage area network parameters
GB0616135D0 (en) * 2006-08-14 2006-09-20 British Telecomm Application controller
US8422673B2 (en) * 2006-08-31 2013-04-16 Red Hat, Inc. Method and system for protecting against unity keys
US8010995B2 (en) * 2006-09-08 2011-08-30 International Business Machines Corporation Methods, systems, and computer program products for implementing inter-process integrity serialization
US8065530B2 (en) * 2006-09-11 2011-11-22 Research In Motion Limited Apparatus, and associated method, for paging an access terminal in a radio communication system
US9424270B1 (en) 2006-09-28 2016-08-23 Photobucket Corporation System and method for managing media files
US20080080511A1 (en) * 2006-09-28 2008-04-03 Jian-Guo Chen Buffer cluster structure and arbiter scheme for multi-port upper-layer network processor
DE102006045906A1 (en) * 2006-09-28 2008-04-17 Infineon Technologies Ag Module with a controller for a chip card
US8094685B2 (en) * 2006-10-04 2012-01-10 Siemens Medical Solutions Usa, Inc. Systems and methods for synchronizing multiple video streams
US8452987B2 (en) * 2006-10-06 2013-05-28 Broadcom Corporation Method and system for disaster recovery in a secure reprogrammable system
ATE491999T1 (en) * 2006-10-06 2011-01-15 Agere Systems Inc PROTECTION OF SECRET INFORMATION IN A PROGRAMMED ELECTRONIC DEVICE
US20080098380A1 (en) * 2006-10-18 2008-04-24 Toby Klusmeyer System, method, and device for updating programmable electronic equipment with a transport device from a deployment server via the internet or other communication medium
US7941845B2 (en) * 2006-10-27 2011-05-10 Storage Appliance Corporation Systems and methods for controlling production quantities
KR100831677B1 (en) * 2006-10-27 2008-05-22 주식회사 하이닉스반도체 Counter control signal generating circuit
US7656331B2 (en) * 2006-10-31 2010-02-02 Freescale Semiconductor, Inc. System on a chip with multiple independent outputs
DE102006052173B4 (en) * 2006-11-02 2023-06-01 Fast Lta Gmbh Write protection method and apparatus for at least one random access memory device
US8443341B2 (en) 2006-11-09 2013-05-14 Rogue Wave Software, Inc. System for and method of capturing application characteristics data from a computer system and modeling target system
US7607752B2 (en) * 2006-11-17 2009-10-27 Hewlett-Packard Development Company, L.P. Misfiring print nozzle compensation
CN101622596A (en) 2006-12-06 2010-01-06 弗森多系统公司(dba弗森-艾奥) Apparatus, system, and method for managing data in a storage device with an empty data token directive
US8935302B2 (en) * 2006-12-06 2015-01-13 Intelligent Intellectual Property Holdings 2 Llc Apparatus, system, and method for data block usage information synchronization for a non-volatile storage volume
US8443134B2 (en) 2006-12-06 2013-05-14 Fusion-Io, Inc. Apparatus, system, and method for graceful cache device degradation
WO2008070814A2 (en) 2006-12-06 2008-06-12 Fusion Multisystems, Inc. (Dba Fusion-Io) Apparatus, system, and method for a scalable, composite, reconfigurable backplane
US9116823B2 (en) 2006-12-06 2015-08-25 Intelligent Intellectual Property Holdings 2 Llc Systems and methods for adaptive error-correction coding
US9104599B2 (en) 2007-12-06 2015-08-11 Intelligent Intellectual Property Holdings 2 Llc Apparatus, system, and method for destaging cached data
US8706968B2 (en) 2007-12-06 2014-04-22 Fusion-Io, Inc. Apparatus, system, and method for redundant write caching
US8074011B2 (en) * 2006-12-06 2011-12-06 Fusion-Io, Inc. Apparatus, system, and method for storage space recovery after reaching a read count limit
US8489817B2 (en) 2007-12-06 2013-07-16 Fusion-Io, Inc. Apparatus, system, and method for caching data
US9495241B2 (en) 2006-12-06 2016-11-15 Longitude Enterprise Flash S.A.R.L. Systems and methods for adaptive data storage
US20100318953A1 (en) * 2006-12-13 2010-12-16 Luminary Micro, Inc. Platform programming for mass customization
JP5043416B2 (en) * 2006-12-15 2012-10-10 キヤノン株式会社 Information processing apparatus, system and program, device, and storage medium
US8185771B2 (en) * 2006-12-20 2012-05-22 Nxp B.V. Clock generation for memory access without a local oscillator
US7794036B2 (en) * 2006-12-22 2010-09-14 Pitney Bowes Inc. Ensuring print quality for postage meter systems
US7711684B2 (en) 2006-12-28 2010-05-04 Ebay Inc. Collaborative content evaluation
US7877812B2 (en) * 2007-01-04 2011-01-25 International Business Machines Corporation Method, system and computer program product for enforcing privacy policies
US8472066B1 (en) * 2007-01-11 2013-06-25 Marvell International Ltd. Usage maps in image deposition devices
US8234624B2 (en) * 2007-01-25 2012-07-31 International Business Machines Corporation System and method for developing embedded software in-situ
WO2008094470A1 (en) * 2007-01-26 2008-08-07 Magtek, Inc. Card reader for use with web based transactions
JP2008183884A (en) * 2007-01-31 2008-08-14 Fujifilm Corp Image forming device and transfer method of printing data
EP2118866A1 (en) * 2007-02-09 2009-11-18 Agency for Science, Technology and Research A method and system for tamper proofing a system of interconnected electronic devices
WO2008100520A2 (en) 2007-02-12 2008-08-21 Mentor Graphics Corporation Low power scan testing techniques and apparatus
NZ553309A (en) * 2007-02-19 2009-07-31 Kevin I Plumpton System and method minimisation, management and recovery of interruption
US7703060B2 (en) * 2007-02-23 2010-04-20 International Business Machines Corporation Stitched IC layout methods, systems and program product
US7532993B2 (en) * 2007-02-26 2009-05-12 Infineon Technologies Ag Device providing trim values
US8260783B2 (en) 2007-02-27 2012-09-04 Siemens Aktiengesellschaft Storage of multiple, related time-series data streams
DE102007009909B4 (en) * 2007-02-28 2016-09-08 Globalfoundries Inc. A method of validating an atomic transaction in a multi-core microprocessor environment
US8484220B2 (en) * 2007-03-06 2013-07-09 Mcafee, Inc. Clustered index with differentiated subfields
US7636875B2 (en) * 2007-03-08 2009-12-22 Texas Instruments Incorporated Low noise coding for digital data interface
US8316158B1 (en) 2007-03-12 2012-11-20 Cypress Semiconductor Corporation Configuration of programmable device using a DMA controller
DE102007029133A1 (en) * 2007-03-20 2008-09-25 Ludwig-Maximilians-Universität Method for computer-aided determination of the dependencies of a plurality of modules of a technical system, in particular of a software system
WO2009022239A2 (en) * 2007-03-26 2009-02-19 Acumem Ab System for and method of capturing performance characteristics data from a computer system and modeling target system performance
US8060661B1 (en) 2007-03-27 2011-11-15 Cypress Semiconductor Corporation Interface circuit and method for programming or communicating with an integrated circuit via a power supply pin
JP5029101B2 (en) * 2007-04-04 2012-09-19 富士ゼロックス株式会社 Image processing apparatus, image recording apparatus, image processing method, and image processing program
EP1978468A1 (en) * 2007-04-04 2008-10-08 Sap Ag A method and a system for secure execution of workflow tasks in a distributed workflow management system within a decentralized network system
US7958432B2 (en) * 2007-04-11 2011-06-07 International Business Machines Corporation Verification of non volatile storage storing preserved unneeded data
KR101351026B1 (en) 2007-04-13 2014-01-13 엘지전자 주식회사 apparatus for transmitting and receiving a broadcast signal and method of transmitting and receiving a broadcast signal
KR101351019B1 (en) * 2007-04-13 2014-01-13 엘지전자 주식회사 apparatus for transmitting and receiving a broadcast signal and method of transmitting and receiving a broadcast signal
DE102008020054A1 (en) * 2007-04-18 2008-10-23 Weinmann Geräte für Medizin GmbH + Co. KG Method and device for updating medical devices
US20080263233A1 (en) * 2007-04-19 2008-10-23 Thomas Hein Integrated circuit and memory device
US20080266563A1 (en) * 2007-04-26 2008-10-30 Redman David J Measuring color using color filter arrays
US7761632B2 (en) 2007-04-27 2010-07-20 Atmel Corporation Serialization of data for communication with slave in multi-chip bus implementation
US7814250B2 (en) 2007-04-27 2010-10-12 Atmel Corporation Serialization of data for multi-chip bus implementation
US7769933B2 (en) * 2007-04-27 2010-08-03 Atmel Corporation Serialization of data for communication with master in multi-chip bus implementation
US7743186B2 (en) * 2007-04-27 2010-06-22 Atmel Corporation Serialization of data for communication with different-protocol slave in multi-chip bus implementation
US20080273584A1 (en) * 2007-05-01 2008-11-06 Vladimir Dmitriev-Zdorov Generating test sequences for circuit channels exhibiting duty-cycle distortion
WO2008137458A2 (en) * 2007-05-01 2008-11-13 Mentor Graphics Corporation Generating test sequences for testing circuit channels
US20080275662A1 (en) 2007-05-01 2008-11-06 Vladimir Dmitriev-Zdorov Generating transmission-code compliant test sequences
US7827455B1 (en) * 2007-05-01 2010-11-02 Unisys Corporation System and method for detecting glitches on a high-speed interface
KR100970003B1 (en) * 2007-05-02 2010-07-16 한국전자통신연구원 Method and apparatus for transmitting signal
US9406388B2 (en) 2007-05-10 2016-08-02 Micron Technology, Inc. Memory area protection system and methods
TW200847087A (en) * 2007-05-18 2008-12-01 Beyond Innovation Tech Co Ltd Method and system for protecting information between a master terminal and a slave terminal
US8040556B2 (en) * 2007-05-24 2011-10-18 Dainippon Screen Mfg. Co., Ltd. Image data generating method, printing method, image data generating apparatus, and printer
US7823006B2 (en) * 2007-05-29 2010-10-26 Microsoft Corporation Analyzing problem signatures
US20080301433A1 (en) * 2007-05-30 2008-12-04 Atmel Corporation Secure Communications
ATE524006T1 (en) * 2007-06-11 2011-09-15 Fts Computertechnik Gmbh METHOD AND ARCHITECTURE FOR SECURING REAL-TIME DATA
US9037750B2 (en) * 2007-07-10 2015-05-19 Qualcomm Incorporated Methods and apparatus for data exchange in peer to peer communications
JP2009027472A (en) * 2007-07-19 2009-02-05 Toshiba Corp Cipher calculation device
US20090022319A1 (en) * 2007-07-19 2009-01-22 Mark Shahaf Method and apparatus for securing data and communication
US8122322B2 (en) 2007-07-31 2012-02-21 Seagate Technology Llc System and method of storing reliability data
KR20090014034A (en) * 2007-08-03 2009-02-06 삼성전자주식회사 Inkjet image forming apparatus
CN101364210B (en) * 2007-08-06 2012-05-30 鸿富锦精密工业(深圳)有限公司 Portable computer with components expandable
JP2009053901A (en) * 2007-08-27 2009-03-12 Seiko Epson Corp Printer
US7505340B1 (en) * 2007-08-28 2009-03-17 International Business Machines Corporation Method for implementing SRAM cell write performance evaluation
US7917716B2 (en) * 2007-08-31 2011-03-29 Standard Microsystems Corporation Memory protection for embedded controllers
US8006095B2 (en) * 2007-08-31 2011-08-23 Standard Microsystems Corporation Configurable signature for authenticating data or program code
KR101429674B1 (en) * 2007-09-11 2014-08-13 삼성전자주식회사 Apparatus and method for reducing power consumption in system on chip
FR2921171B1 (en) * 2007-09-14 2015-10-23 Airbus France METHOD OF MINIMIZING THE VOLUME OF INFORMATION REQUIRED FOR DEBUGGING OPERATING SOFTWARE OF AN ON-BOARD AIRCRAFT SYSTEM, AND DEVICE FOR IMPLEMENTING THE SAME
US8127233B2 (en) * 2007-09-24 2012-02-28 Microsoft Corporation Remote user interface updates using difference and motion encoding
US9201790B2 (en) * 2007-10-09 2015-12-01 Seagate Technology Llc System and method of matching data rates
JP5082737B2 (en) * 2007-10-09 2012-11-28 パナソニック株式会社 Information processing apparatus and information theft prevention method
US8619877B2 (en) * 2007-10-11 2013-12-31 Microsoft Corporation Optimized key frame caching for remote interface rendering
US8121423B2 (en) * 2007-10-12 2012-02-21 Microsoft Corporation Remote user interface raster segment motion detection and encoding
US8106909B2 (en) * 2007-10-13 2012-01-31 Microsoft Corporation Common key frame caching for a remote user interface
US8327191B2 (en) * 2007-10-19 2012-12-04 International Business Machines Corporation Automatically populating symptom databases for software applications
US20090113256A1 (en) * 2007-10-24 2009-04-30 Nokia Corporation Method, computer program product, apparatus and device providing scalable structured high throughput LDPC decoding
US7741659B2 (en) * 2007-10-25 2010-06-22 United Microelectronics Corp. Semiconductor device
US8260891B2 (en) * 2007-10-30 2012-09-04 Dell Products L.P. System and method for the provision of secure network boot services
US8135960B2 (en) * 2007-10-30 2012-03-13 International Business Machines Corporation Multiprocessor electronic circuit including a plurality of processors and electronic data processing system
CN102333100B (en) * 2007-11-08 2013-11-06 华为技术有限公司 Authentication method and terminal
JP4992678B2 (en) * 2007-11-13 2012-08-08 富士通株式会社 Image processing method, control program, and image processing apparatus
US7866779B2 (en) * 2007-11-16 2011-01-11 Hewlett-Packard Development Company, L.P. Defective nozzle replacement in a printer
CN101441587B (en) * 2007-11-19 2011-05-18 辉达公司 Method and system for automatically analyzing GPU test result
JP5007663B2 (en) * 2007-11-30 2012-08-22 セイコーエプソン株式会社 Business management system and program
US9519540B2 (en) 2007-12-06 2016-12-13 Sandisk Technologies Llc Apparatus, system, and method for destaging cached data
US8316277B2 (en) 2007-12-06 2012-11-20 Fusion-Io, Inc. Apparatus, system, and method for ensuring data validity in a data storage process
US7836226B2 (en) 2007-12-06 2010-11-16 Fusion-Io, Inc. Apparatus, system, and method for coordinating storage requests in a multi-processor/multi-thread environment
US8195912B2 (en) 2007-12-06 2012-06-05 Fusion-io, Inc Apparatus, system, and method for efficient mapping of virtual and physical addresses
US7809980B2 (en) * 2007-12-06 2010-10-05 Jehoda Refaeli Error detector in a cache memory using configurable way redundancy
US8411665B2 (en) 2007-12-11 2013-04-02 At&T Intellectual Property I, L.P. System and method of routing voice communications via peering networks
US8786359B2 (en) * 2007-12-12 2014-07-22 Sandisk Technologies Inc. Current mirror device and method
EP2562956B1 (en) 2007-12-13 2017-09-27 Certicom Corp. System and method for controlling features on a device
US8028195B2 (en) * 2007-12-18 2011-09-27 International Business Machines Corporation Structure for indicating status of an on-chip power supply system
US7917806B2 (en) * 2007-12-18 2011-03-29 International Business Machines Corporation System and method for indicating status of an on-chip power supply system
KR100909067B1 (en) * 2007-12-18 2009-07-23 한국전자통신연구원 Wake-up Receiver and Wake-up Method Using Constant Cyclic Power Shutdown
US8341751B2 (en) * 2007-12-26 2012-12-25 Wilson Kelce S Software license management
US7723153B2 (en) * 2007-12-26 2010-05-25 Organicid, Inc. Printed organic logic circuits using an organic semiconductor as a resistive load device
US8775717B2 (en) 2007-12-27 2014-07-08 Sandisk Enterprise Ip Llc Storage controller for flash memory including a crossbar switch connecting a plurality of processors with a plurality of internal memories
US7756659B2 (en) * 2008-01-11 2010-07-13 Fairchild Semiconductor Corporation Delay stabilization for skew tolerance
US8503679B2 (en) * 2008-01-23 2013-08-06 The Boeing Company Short message encryption
CN101364444B (en) * 2008-02-05 2011-05-11 威盛电子股份有限公司 Control method and memory and process system using the control method
US8108831B2 (en) * 2008-02-07 2012-01-31 Microsoft Corporation Iterative component binding
US9069706B2 (en) * 2008-02-11 2015-06-30 Nvidia Corporation Confidential information protection system and method
US7886089B2 (en) * 2008-02-13 2011-02-08 International Business Machines Corporation Method, system and computer program product for enhanced shared store buffer management scheme for differing buffer sizes with limited resources for optimized performance
JP4557021B2 (en) * 2008-02-29 2010-10-06 ブラザー工業株式会社 Droplet ejector
US8423993B2 (en) * 2008-02-29 2013-04-16 Red Hat, Inc. Systems and methods for managing software patches
US8312534B2 (en) * 2008-03-03 2012-11-13 Lenovo (Singapore) Pte. Ltd. System and method for securely clearing secret data that remain in a computer system memory
KR100997238B1 (en) * 2008-03-03 2010-11-29 삼성전자주식회사 Crum unit, replaceable unit, image forming device comprising them, and method for performing an authentication and cryptographic data communication thereof
US20090228875A1 (en) * 2008-03-04 2009-09-10 Devries Alex Method and System for Reducing Disk Allocation by Profiling Symbol Usage
US20100198830A1 (en) * 2008-03-06 2010-08-05 Nitrosecurity, Inc. Dynamic data distribution aggregation
DK2263146T6 (en) * 2008-03-14 2018-12-17 Hewlett Packard Development Co Secure access to memory in a fluid cartridge
US8752038B1 (en) * 2008-03-17 2014-06-10 Symantec Corporation Reducing boot time by providing quantitative performance cost data within a boot management user interface
US8314942B1 (en) * 2009-02-27 2012-11-20 Marvell International Ltd. Positioning and printing of a handheld device
JP4990315B2 (en) * 2008-03-20 2012-08-01 アナパス・インコーポレーテッド Display device and method for transmitting clock signal during blank period
US8171386B2 (en) * 2008-03-27 2012-05-01 Arm Limited Single event upset error detection within sequential storage circuitry of an integrated circuit
US8434064B2 (en) * 2008-03-28 2013-04-30 Microsoft Corporation Detecting memory errors using write integrity testing
US8504980B1 (en) * 2008-04-14 2013-08-06 Sap Ag Constraining data changes during transaction processing by a computer system
US8031952B2 (en) * 2008-04-21 2011-10-04 Broadcom Corporation Method and apparatus for optimizing memory usage in image processing
US8200986B2 (en) * 2008-04-24 2012-06-12 Apple Inc. Computer enabled secure status return
JP5050985B2 (en) * 2008-04-30 2012-10-17 富士通株式会社 Verification support program, verification support apparatus, and verification support method
WO2009136402A2 (en) * 2008-05-07 2009-11-12 Cosmologic Ltd. Register file system and method thereof for enabling a substantially direct memory access
US9058483B2 (en) 2008-05-08 2015-06-16 Google Inc. Method for validating an untrusted native code module
JP5056573B2 (en) * 2008-05-09 2012-10-24 富士通株式会社 Design support program, design support apparatus, and design support method
US7882406B2 (en) * 2008-05-09 2011-02-01 Lsi Corporation Built in test controller with a downloadable testing program
CN102124527A (en) 2008-05-16 2011-07-13 弗森-艾奥公司 Apparatus, system, and method for detecting and replacing failed data storage
US7788433B2 (en) * 2008-05-24 2010-08-31 Via Technologies, Inc. Microprocessor apparatus providing for secure interrupts and exceptions
US8819839B2 (en) * 2008-05-24 2014-08-26 Via Technologies, Inc. Microprocessor having a secure execution mode with provisions for monitoring, indicating, and managing security levels
US8156391B2 (en) * 2008-05-27 2012-04-10 Lsi Corporation Data controlling in the MBIST chain architecture
CN102112958A (en) 2008-05-29 2011-06-29 惠普开发有限公司 Replaceable printer component including a memory storing a tag encryption mask
ES2765485T3 (en) * 2008-05-29 2020-06-09 Hewlett Packard Development Co Authentication of a replaceable printer component
JP5217647B2 (en) * 2008-06-04 2013-06-19 富士通株式会社 Information processing apparatus and information processing method
US8175403B1 (en) * 2008-06-05 2012-05-08 Google Inc. Iterative backward reference selection with reduced entropy for image compression
US8046643B2 (en) * 2008-06-09 2011-10-25 Lsi Corporation Transport subsystem for an MBIST chain architecture
US20090319736A1 (en) * 2008-06-24 2009-12-24 Hitachi, Ltd. Method and apparatus for integrated nas and cas data backup
US8584114B2 (en) * 2008-06-30 2013-11-12 Mo'minis Ltd Method of generating and distributing a computer application
US8181230B2 (en) * 2008-06-30 2012-05-15 International Business Machines Corporation System and method for adaptive approximating of a user for role authorization in a hierarchical inter-organizational model
US8151008B2 (en) 2008-07-02 2012-04-03 Cradle Ip, Llc Method and system for performing DMA in a multi-core system-on-chip using deadline-based scheduling
US8325554B2 (en) * 2008-07-10 2012-12-04 Sanmina-Sci Corporation Battery-less cache memory module with integrated backup
US8205242B2 (en) 2008-07-10 2012-06-19 Mcafee, Inc. System and method for data mining and security policy management
US8954804B2 (en) * 2008-07-15 2015-02-10 Ati Technologies Ulc Secure boot circuit and method
US9176754B2 (en) 2008-07-16 2015-11-03 Google Inc. Method and system for executing applications using native code modules
US8706951B2 (en) * 2008-07-18 2014-04-22 Marvell World Trade Ltd. Selectively accessing faster or slower multi-level cell memory
US20100014670A1 (en) * 2008-07-18 2010-01-21 Texas Instruments Incorporated One-Way Hash Extension for Encrypted Communication
US8151349B1 (en) 2008-07-21 2012-04-03 Google Inc. Masking mechanism that facilitates safely executing untrusted native code
CH699208B1 (en) * 2008-07-25 2019-03-29 Em Microelectronic Marin Sa Shared memory processor circuit and buffer system.
US8112668B2 (en) 2008-07-29 2012-02-07 Texas Instruments Incorporated Dynamic broadcast of configuration loads supporting multiple transfer formats
CN101329719B (en) * 2008-08-01 2010-11-10 西安西电捷通无线网络通信股份有限公司 Anonymous authentication method suitable for homogeneous electronic label
US20100030627A1 (en) * 2008-08-01 2010-02-04 Christopher Lee system and method of managing project templates
EP2316180A4 (en) 2008-08-11 2011-12-28 Assa Abloy Ab Secure wiegand communications
US9253154B2 (en) 2008-08-12 2016-02-02 Mcafee, Inc. Configuration management for a capture/registration system
FR2935078B1 (en) * 2008-08-12 2012-11-16 Groupe Des Ecoles De Telecommunications Get Ecole Nationale Superieure Des Telecommunications Enst METHOD OF PROTECTING THE DECRYPTION OF CONFIGURATION FILES OF PROGRAMMABLE LOGIC CIRCUITS AND CIRCUIT USING THE METHOD
US9324072B1 (en) * 2008-08-22 2016-04-26 Ixys Intl Limited Bit-flipping memory controller to prevent SRAM data remanence
US8582052B2 (en) * 2008-08-22 2013-11-12 Gentex Corporation Discrete LED backlight control for a reduced power LCD display system
US20100049658A1 (en) * 2008-08-22 2010-02-25 Javier Sanchez Secure electronic transaction system
US8051467B2 (en) * 2008-08-26 2011-11-01 Atmel Corporation Secure information processing
US8239567B1 (en) * 2008-09-09 2012-08-07 Marvell International Ltd. Filtering superfluous data fragments on a computer network
US8356128B2 (en) * 2008-09-16 2013-01-15 Nvidia Corporation Method and system of reducing latencies associated with resource allocation by using multiple arbiters
US20100083365A1 (en) * 2008-09-30 2010-04-01 Naga Gurumoorthy Apparatus and method to harden computer system
US8132267B2 (en) 2008-09-30 2012-03-06 Intel Corporation Apparatus and method to harden computer system
US20100082846A1 (en) * 2008-10-01 2010-04-01 Kyung Hwan Kim Usb device and method for connecting the usb device with usb host
US20100085239A1 (en) * 2008-10-03 2010-04-08 Rosemount Aerospace Inc. Device and method for detecting a target using a high speed sampling device
US8161367B2 (en) * 2008-10-07 2012-04-17 Arm Limited Correction of single event upset error within sequential storage circuitry of an integrated circuit
US8370552B2 (en) * 2008-10-14 2013-02-05 Nvidia Corporation Priority based bus arbiters avoiding deadlock and starvation on buses that support retrying of transactions
US7825721B2 (en) * 2008-10-17 2010-11-02 United Technologies Corp. Systems and methods for filtering signals corresponding to sensed parameters
US8056044B2 (en) * 2008-10-21 2011-11-08 Atmel Corporation Signal processing
US8020053B2 (en) * 2008-10-29 2011-09-13 Hewlett-Packard Development Company, L.P. On-line memory testing
US8510713B1 (en) 2008-10-31 2013-08-13 Google Inc. Method and system for validating a disassembler
US20100132047A1 (en) * 2008-11-24 2010-05-27 Honeywell International Inc. Systems and methods for tamper resistant memory devices
US8180730B2 (en) * 2008-11-25 2012-05-15 International Business Machines Corporation Arbitration token for managing data integrity and data accuracy of information services that utilize distributed data replicas
US10452844B2 (en) * 2008-11-26 2019-10-22 International Business Machines Corporation Protecting isolated secret data of integrated circuit devices
JP5458556B2 (en) * 2008-11-27 2014-04-02 ソニー株式会社 Timing adjustment circuit, solid-state imaging device, and camera system
US8266593B2 (en) * 2008-12-01 2012-09-11 Wipro Limited System and method for analyzing performance of a software testing system
JP5199392B2 (en) * 2008-12-08 2013-05-15 パナソニック株式会社 System clock monitoring device and motor control system
US8417761B2 (en) * 2008-12-08 2013-04-09 International Business Machines Corporation Direct decimal number tripling in binary coded adders
US7895385B2 (en) * 2008-12-09 2011-02-22 Nvidia Corporation Establishing communication over serial buses in a slave device
US8194481B2 (en) 2008-12-18 2012-06-05 Mosaid Technologies Incorporated Semiconductor device with main memory unit and auxiliary memory unit requiring preset operation
US8037235B2 (en) * 2008-12-18 2011-10-11 Mosaid Technologies Incorporated Device and method for transferring data to a non-volatile memory device
JP2010149537A (en) * 2008-12-23 2010-07-08 Autonetworks Technologies Ltd Control apparatus, control method, and computer program
TWI387023B (en) * 2008-12-25 2013-02-21 Silicon Motion Inc Method of preventing data loss during a solder reflow process and memory device using the same
US8055936B2 (en) * 2008-12-31 2011-11-08 Pitney Bowes Inc. System and method for data recovery in a disabled integrated circuit
CN101772020B (en) * 2009-01-05 2011-12-28 华为技术有限公司 Method and system for authentication processing, 3GPP authentication authorization accounting server and user device
US8850591B2 (en) 2009-01-13 2014-09-30 Mcafee, Inc. System and method for concept building
US8706709B2 (en) 2009-01-15 2014-04-22 Mcafee, Inc. System and method for intelligent term grouping
US8125672B2 (en) * 2009-01-21 2012-02-28 Infoprint Solutions Company Llc Dual ink systems in a printer
US8788850B1 (en) * 2009-01-22 2014-07-22 Marvell International Ltd. Systems and methods for using a security circuit to monitor a voltage of an integrated circuit to counter security threats to the integrated circuit
KR20110128858A (en) 2009-02-12 2011-11-30 모사이드 테크놀로지스 인코퍼레이티드 Termination circuit for on-die termination
US8371669B1 (en) * 2009-02-18 2013-02-12 Marvell International Ltd. Fire timing control in printing devices
US8242790B2 (en) * 2009-02-23 2012-08-14 Lewis James M Method and system for detection of tampering related to reverse engineering
US8598890B2 (en) * 2009-02-23 2013-12-03 Lewis Innovative Technologies Method and system for protecting products and technology from integrated circuits which have been subject to tampering, stressing and replacement as well as detecting integrated circuits that have been subject to tampering
US8473442B1 (en) 2009-02-25 2013-06-25 Mcafee, Inc. System and method for intelligent state management
JP2010200090A (en) * 2009-02-26 2010-09-09 Toshiba Corp Phase compensation clock synchronizing circuit
AU2010224157A1 (en) * 2009-03-10 2011-10-20 Ims Software Services, Ltd. Systems and methods for address intelligence
US8266503B2 (en) 2009-03-13 2012-09-11 Fusion-Io Apparatus, system, and method for using multi-level cell storage in a single-level cell mode
WO2010106537A2 (en) * 2009-03-15 2010-09-23 Authix Tecnologies Srl. Remote product authentication
US8938717B1 (en) * 2009-03-16 2015-01-20 Xilinx, Inc. Updating an installed computer program
JP5366600B2 (en) * 2009-03-16 2013-12-11 キヤノン株式会社 Image forming apparatus
US8433880B2 (en) 2009-03-17 2013-04-30 Memoir Systems, Inc. System and method for storing data in a virtualized high speed memory system
US9442846B2 (en) * 2009-03-17 2016-09-13 Cisco Technology, Inc. High speed memory systems and methods for designing hierarchical memory systems
US8447722B1 (en) 2009-03-25 2013-05-21 Mcafee, Inc. System and method for data mining and security policy management
US8667121B2 (en) 2009-03-25 2014-03-04 Mcafee, Inc. System and method for managing data and policies
US8162433B2 (en) * 2009-03-30 2012-04-24 Xerox Corporation System and method for scheduling ink jet recovery in an ink jet printer
US8698823B2 (en) 2009-04-08 2014-04-15 Nvidia Corporation System and method for deadlock-free pipelining
US9569282B2 (en) 2009-04-24 2017-02-14 Microsoft Technology Licensing, Llc Concurrent mutation of isolated object graphs
US8726043B2 (en) 2009-04-29 2014-05-13 Empire Technology Development Llc Securing backing storage data passed through a network
US8352679B2 (en) * 2009-04-29 2013-01-08 Empire Technology Development Llc Selectively securing data and/or erasing secure data caches responsive to security compromising conditions
US8799671B2 (en) * 2009-05-06 2014-08-05 Empire Technology Development Llc Techniques for detecting encrypted data
US8924743B2 (en) 2009-05-06 2014-12-30 Empire Technology Development Llc Securing data caches through encryption
US8417754B2 (en) * 2009-05-11 2013-04-09 Empire Technology Development, Llc Identification of integrated circuits
US8180981B2 (en) * 2009-05-15 2012-05-15 Oracle America, Inc. Cache coherent support for flash in a memory hierarchy
US8281227B2 (en) 2009-05-18 2012-10-02 Fusion-10, Inc. Apparatus, system, and method to increase data integrity in a redundant storage system
US8307258B2 (en) 2009-05-18 2012-11-06 Fusion-10, Inc Apparatus, system, and method for reconfiguring an array to operate with less storage elements
US8755942B2 (en) * 2009-05-21 2014-06-17 Lennox Industries, Inc. Heating, ventilation and air conditioning system controller having a multifunctional indoor air quality sensor and method of controlling the system based on input from the sensor
US20100303239A1 (en) * 2009-05-27 2010-12-02 Fujitsu Limited Method and apparatus for protecting root key in control system
IL199272A0 (en) 2009-06-10 2012-07-16 Nds Ltd Protection of secret value using hardware instability
US9298894B2 (en) 2009-06-26 2016-03-29 International Business Machines Corporation Cache structure for a computer system providing support for secure objects
US9954875B2 (en) 2009-06-26 2018-04-24 International Business Machines Corporation Protecting from unintentional malware download
US8819446B2 (en) * 2009-06-26 2014-08-26 International Business Machines Corporation Support for secure objects in a computer system
US9846789B2 (en) 2011-09-06 2017-12-19 International Business Machines Corporation Protecting application programs from malicious software or malware
US8578175B2 (en) 2011-02-23 2013-11-05 International Business Machines Corporation Secure object having protected region, integrity tree, and unprotected region
US8954752B2 (en) 2011-02-23 2015-02-10 International Business Machines Corporation Building and distributing secure object software
JP4772891B2 (en) * 2009-06-30 2011-09-14 株式会社東芝 Host controller, computer terminal and card access method
US8797337B1 (en) 2009-07-02 2014-08-05 Google Inc. Graphics scenegraph rendering for web applications using native code modules
KR101196410B1 (en) * 2009-07-07 2012-11-01 삼성전자주식회사 Method for auto setting configuration of television according to installation type of television and television using the same
US8631411B1 (en) 2009-07-21 2014-01-14 The Research Foundation For The State University Of New York Energy aware processing load distribution system and method
US8984198B2 (en) * 2009-07-21 2015-03-17 Microchip Technology Incorporated Data space arbiter
WO2011013350A1 (en) * 2009-07-29 2011-02-03 パナソニック株式会社 Memory device, host device, and memory system
US8176150B2 (en) * 2009-08-12 2012-05-08 Dell Products L.P. Automated services procurement through multi-stage process
US8370935B1 (en) 2009-08-17 2013-02-05 Fatskunk, Inc. Auditing a device
US8375442B2 (en) * 2009-08-17 2013-02-12 Fatskunk, Inc. Auditing a device
US8949989B2 (en) 2009-08-17 2015-02-03 Qualcomm Incorporated Auditing a device
US8544089B2 (en) * 2009-08-17 2013-09-24 Fatskunk, Inc. Auditing a device
CN102696010B (en) 2009-09-08 2016-03-23 才智知识产权控股公司(2) For by the device of data cache on solid storage device, system and method
WO2011031903A2 (en) * 2009-09-09 2011-03-17 Fusion-Io, Inc. Apparatus, system, and method for allocating storage
US9122579B2 (en) 2010-01-06 2015-09-01 Intelligent Intellectual Property Holdings 2 Llc Apparatus, system, and method for a storage layer
US8601222B2 (en) 2010-05-13 2013-12-03 Fusion-Io, Inc. Apparatus, system, and method for conditional and atomic storage operations
US9223514B2 (en) 2009-09-09 2015-12-29 SanDisk Technologies, Inc. Erase suspend/resume for memory
US8289801B2 (en) 2009-09-09 2012-10-16 Fusion-Io, Inc. Apparatus, system, and method for power reduction management in a storage device
US9084071B2 (en) * 2009-09-10 2015-07-14 Michael-Anthony Lisboa Simple mobile registration mechanism enabling automatic registration via mobile devices
US8689434B2 (en) * 2009-10-14 2014-04-08 Nanya Technology Corporation Integrated circuit manufacturing system
JP5556405B2 (en) * 2009-10-19 2014-07-23 株式会社リコー Power supply control apparatus, image forming apparatus, and power supply control method
CN102055887A (en) * 2009-10-29 2011-05-11 鸿富锦精密工业(深圳)有限公司 Network camera and data management and control method thereof
WO2011056868A2 (en) 2009-11-04 2011-05-12 New Jersey Institute Of Technology Differential frame based scheduling for input queued switches
US8131889B2 (en) 2009-11-10 2012-03-06 Apple Inc. Command queue for peripheral component
US8458233B2 (en) * 2009-11-25 2013-06-04 Cleversafe, Inc. Data de-duplication in a dispersed storage network utilizing data characterization
US9672109B2 (en) * 2009-11-25 2017-06-06 International Business Machines Corporation Adaptive dispersed storage network (DSN) and system
CN102725737B (en) * 2009-12-04 2016-04-20 密码研究公司 The encryption and decryption of anti-leak can be verified
DE102009047538B4 (en) * 2009-12-04 2018-02-22 Endress + Hauser Process Solutions Ag Method for optimizing the parameter setting of power supply parameters of a field device power module
US8452989B1 (en) * 2009-12-09 2013-05-28 Emc Corporation Providing security to an electronic device
CN102095956B (en) * 2009-12-11 2013-02-13 名硕电脑(苏州)有限公司 Detecting device and method
WO2011075167A1 (en) * 2009-12-15 2011-06-23 Memoir Systems,Inc. System and method for reduced latency caching
DE102009055271A1 (en) * 2009-12-23 2011-06-30 Carl Zeiss NTS GmbH, 73447 Method for generating a representation of an object by means of a particle beam and particle beam apparatus for carrying out the method
US20110161560A1 (en) * 2009-12-31 2011-06-30 Hutchison Neil D Erase command caching to improve erase performance on flash memory
US9134918B2 (en) * 2009-12-31 2015-09-15 Sandisk Technologies Inc. Physical compression of data with flat or systematic pattern
US9514055B2 (en) * 2009-12-31 2016-12-06 Seagate Technology Llc Distributed media cache for data storage systems
US8645930B2 (en) * 2010-01-04 2014-02-04 Apple Inc. System and method for obfuscation by common function and common function prototype
EP2524334B1 (en) * 2010-01-12 2020-07-08 Stc.Unm System and methods for generating unclonable security keys in integrated circuits
AU2011209673B2 (en) * 2010-01-26 2015-11-19 Emc Corporation System and method for network security including detection of man-in-the-browser attacks
US8380915B2 (en) 2010-01-27 2013-02-19 Fusion-Io, Inc. Apparatus, system, and method for managing solid-state storage media
US8315092B2 (en) * 2010-01-27 2012-11-20 Fusion-Io, Inc. Apparatus, system, and method for determining a read voltage threshold for solid-state storage media
US8661184B2 (en) 2010-01-27 2014-02-25 Fusion-Io, Inc. Managing non-volatile media
US8854882B2 (en) 2010-01-27 2014-10-07 Intelligent Intellectual Property Holdings 2 Llc Configuring storage cells
JP5446943B2 (en) * 2010-01-29 2014-03-19 ソニー株式会社 Printing system and printer apparatus control method
WO2011097482A1 (en) 2010-02-05 2011-08-11 Maxlinear, Inc. Conditional access integration in a soc for mobile tv applications
US8432981B1 (en) * 2010-03-10 2013-04-30 Smsc Holdings S.A.R.L. High frequency and idle communication signal state detection
US8370648B1 (en) * 2010-03-15 2013-02-05 Emc International Company Writing and reading encrypted data using time-based encryption keys
US9245653B2 (en) 2010-03-15 2016-01-26 Intelligent Intellectual Property Holdings 2 Llc Reduced level cell mode for non-volatile memory
KR20110105153A (en) * 2010-03-18 2011-09-26 삼성전자주식회사 Flipflop circuit and scan flipflop circuit
JP5479177B2 (en) * 2010-03-19 2014-04-23 株式会社Pfu Information processing apparatus, consumable management method, and program
US9141580B2 (en) * 2010-03-23 2015-09-22 Citrix Systems, Inc. Systems and methods for monitoring and maintaining consistency of a configuration
WO2011119985A2 (en) 2010-03-26 2011-09-29 Maxlinear, Inc. Firmware authentication and deciphering for secure tv receiver
US20120079279A1 (en) * 2010-03-29 2012-03-29 Maxlinear, Inc. Generation of SW Encryption Key During Silicon Manufacturing Process
WO2011123561A1 (en) 2010-03-30 2011-10-06 Maxlinear, Inc. Control word obfuscation in secure tv receiver
US20130132720A1 (en) * 2010-05-17 2013-05-23 Jon Parsons System and method for multi-dimensional secretion of digital data
US10353774B2 (en) * 2015-10-30 2019-07-16 International Business Machines Corporation Utilizing storage unit latency data in a dispersed storage network
JP5528209B2 (en) * 2010-05-20 2014-06-25 キヤノン株式会社 Image processing apparatus and image processing method
US9311664B2 (en) * 2010-05-25 2016-04-12 Salesforce.Com, Inc. Systems and methods for automatically collection of performance data in a multi-tenant database system environment
US20110302551A1 (en) * 2010-06-02 2011-12-08 Hummel Jr David Martin System and method for analytic process design
US8977936B2 (en) * 2010-06-10 2015-03-10 The Regents Of The University Of California Strong single and multiple error correcting WOM codes, coding methods and devices
US8433727B2 (en) * 2010-06-22 2013-04-30 Red Hat Israel, Ltd. Method and apparatus for restricting access to writable properties at runtime
US8514630B2 (en) 2010-07-09 2013-08-20 Sandisk Technologies Inc. Detection of word-line leakage in memory arrays: current based approach
US8432732B2 (en) 2010-07-09 2013-04-30 Sandisk Technologies Inc. Detection of word-line leakage in memory arrays
US8305807B2 (en) 2010-07-09 2012-11-06 Sandisk Technologies Inc. Detection of broken word-lines in memory arrays
US8782434B1 (en) 2010-07-15 2014-07-15 The Research Foundation For The State University Of New York System and method for validating program execution at run-time
US8782435B1 (en) 2010-07-15 2014-07-15 The Research Foundation For The State University Of New York System and method for validating program execution at run-time using control flow signatures
WO2012016089A2 (en) 2010-07-28 2012-02-02 Fusion-Io, Inc. Apparatus, system, and method for conditional and atomic storage operations
US8725934B2 (en) 2011-12-22 2014-05-13 Fusion-Io, Inc. Methods and appratuses for atomic storage operations
US9213522B2 (en) 2010-07-29 2015-12-15 Ford Global Technologies, Llc Systems and methods for scheduling driver interface tasks based on driver workload
US8972106B2 (en) 2010-07-29 2015-03-03 Ford Global Technologies, Llc Systems and methods for scheduling driver interface tasks based on driver workload
CN103003854B (en) 2010-07-29 2015-05-27 福特全球技术公司 Systems and methods for scheduling driver interface tasks based on driver workload
US8892855B2 (en) 2010-08-10 2014-11-18 Maxlinear, Inc. Encryption keys distribution for conditional access software in TV receiver SOC
JP2012043071A (en) * 2010-08-16 2012-03-01 Canon Inc Adjusting system, adjusting device, adjusting method and program for the same
US8867682B2 (en) * 2010-08-30 2014-10-21 Exar Corporation Dejitter (desynchronize) technique to smooth gapped clock with jitter/wander attenuation using all digital logic
US8984216B2 (en) 2010-09-09 2015-03-17 Fusion-Io, Llc Apparatus, system, and method for managing lifetime of a storage device
US8819672B2 (en) * 2010-09-20 2014-08-26 International Business Machines Corporation Multi-image migration system and method
JP5159849B2 (en) * 2010-09-24 2013-03-13 株式会社東芝 Memory management device and memory management method
US9454504B2 (en) * 2010-09-30 2016-09-27 Hewlett-Packard Development Company, L.P. Slave device bit sequence zero driver
WO2012048098A1 (en) 2010-10-06 2012-04-12 Blackbird Technology Holdings, Inc. Method and apparatus for low-power, long-range networking
WO2012048118A2 (en) 2010-10-06 2012-04-12 Blackbird Technology Holdings, Inc. Method and apparatus for adaptive searching of distributed datasets
US8718551B2 (en) 2010-10-12 2014-05-06 Blackbird Technology Holdings, Inc. Method and apparatus for a multi-band, multi-mode smartcard
US8532100B2 (en) * 2010-10-19 2013-09-10 Cisco Technology, Inc. System and method for data exchange in a heterogeneous multiprocessor system
US8904356B2 (en) 2010-10-20 2014-12-02 International Business Machines Corporation Collaborative software debugging in a distributed system with multi-member variable expansion
US8671393B2 (en) 2010-10-21 2014-03-11 International Business Machines Corporation Collaborative software debugging in a distributed system with client-specific dynamic breakpoints
US9009673B2 (en) 2010-10-21 2015-04-14 International Business Machines Corporation Collaborative software debugging in a distributed system with collaborative step over operation
US8972945B2 (en) 2010-10-21 2015-03-03 International Business Machines Corporation Collaborative software debugging in a distributed system with client-specific access control
US8687004B2 (en) * 2010-11-01 2014-04-01 Apple Inc. Font file with graphic images
US8806615B2 (en) 2010-11-04 2014-08-12 Mcafee, Inc. System and method for protecting specified data combinations
US8850397B2 (en) 2010-11-10 2014-09-30 International Business Machines Corporation Collaborative software debugging in a distributed system with client-specific display of local variables
US9411709B2 (en) 2010-11-10 2016-08-09 International Business Machines Corporation Collaborative software debugging in a distributed system with client-specific event alerts
US8990775B2 (en) 2010-11-10 2015-03-24 International Business Machines Corporation Collaborative software debugging in a distributed system with dynamically displayed chat sessions
US8622312B2 (en) 2010-11-16 2014-01-07 Blackbird Technology Holdings, Inc. Method and apparatus for interfacing with a smartcard
US9047178B2 (en) 2010-12-13 2015-06-02 SanDisk Technologies, Inc. Auto-commit memory synchronization
EP2652623B1 (en) 2010-12-13 2018-08-01 SanDisk Technologies LLC Apparatus, system, and method for auto-commit memory
US9208071B2 (en) 2010-12-13 2015-12-08 SanDisk Technologies, Inc. Apparatus, system, and method for accessing memory
US10817421B2 (en) 2010-12-13 2020-10-27 Sandisk Technologies Llc Persistent data structures
US10817502B2 (en) 2010-12-13 2020-10-27 Sandisk Technologies Llc Persistent memory management
US9218278B2 (en) 2010-12-13 2015-12-22 SanDisk Technologies, Inc. Auto-commit memory
US20120239860A1 (en) 2010-12-17 2012-09-20 Fusion-Io, Inc. Apparatus, system, and method for persistent data management on a non-volatile storage media
CN103210320B (en) 2010-12-21 2016-01-13 英派尔科技开发有限公司 For the virtual information of the location privacy in location Based service
FR2970133B1 (en) * 2010-12-30 2013-01-18 Thales Sa METHOD AND SYSTEM FOR TESTING CRYPTOGRAPHIC INTEGRITY OF ERROR TOLERANT DATA
US8589509B2 (en) 2011-01-05 2013-11-19 Cloudium Systems Limited Controlling and optimizing system latency
US20120179943A1 (en) * 2011-01-06 2012-07-12 International Business Machines Corporation Method for information transfer in a voltage-driven intelligent characterization bench for semiconductor
JP5598337B2 (en) * 2011-01-12 2014-10-01 ソニー株式会社 Memory access control circuit, prefetch circuit, memory device, and information processing system
US9213594B2 (en) 2011-01-19 2015-12-15 Intelligent Intellectual Property Holdings 2 Llc Apparatus, system, and method for managing out-of-service conditions
WO2012100145A1 (en) * 2011-01-21 2012-07-26 Blackbird Technology Holdings, Inc. Method and apparatus for memory management
EP2668593A4 (en) 2011-01-28 2016-06-29 Hewlett Packard Development Co Document management system and method
US8484477B2 (en) 2011-01-30 2013-07-09 Hewlett-Packard Development Company, L.P. Document management system and method
US9092337B2 (en) 2011-01-31 2015-07-28 Intelligent Intellectual Property Holdings 2 Llc Apparatus, system, and method for managing eviction of data
WO2012109139A1 (en) * 2011-02-08 2012-08-16 Telcordia Technologies, Inc. Method and apparatus for secure data representation allowing efficient collection, search and retrieval
JP5842335B2 (en) * 2011-02-08 2016-01-13 セイコーエプソン株式会社 Image recording apparatus, image recording apparatus control method, and program
US9201677B2 (en) 2011-05-23 2015-12-01 Intelligent Intellectual Property Holdings 2 Llc Managing data input/output operations
US9003104B2 (en) 2011-02-15 2015-04-07 Intelligent Intellectual Property Holdings 2 Llc Systems and methods for a file-level cache
US8874823B2 (en) 2011-02-15 2014-10-28 Intellectual Property Holdings 2 Llc Systems and methods for managing data input/output operations
WO2012112650A1 (en) 2011-02-15 2012-08-23 Blackbird Technology Holdings, Inc. Method and apparatus for plug and play, networkable iso 18000-7 connectivity
JP2012174184A (en) * 2011-02-24 2012-09-10 Canon Inc Information processing device and control method of information processing device
US9141527B2 (en) 2011-02-25 2015-09-22 Intelligent Intellectual Property Holdings 2 Llc Managing cache pools
US20120221767A1 (en) * 2011-02-28 2012-08-30 Apple Inc. Efficient buffering for a system having non-volatile memory
US9154392B2 (en) 2011-03-02 2015-10-06 Blackbird Technology Holdings, Inc. Method and apparatus for power autoscaling in a resource-constrained network
US8763075B2 (en) * 2011-03-07 2014-06-24 Adtran, Inc. Method and apparatus for network access control
US8493120B2 (en) 2011-03-10 2013-07-23 Arm Limited Storage circuitry and method with increased resilience to single event upsets
CN102180022B (en) * 2011-03-11 2013-08-14 珠海艾派克微电子有限公司 Imaging box, imaging device and imaging control method
US8364729B2 (en) 2011-03-17 2013-01-29 Hewlett-Packard Development Company, L.P. Document management system and method
US8966191B2 (en) 2011-03-18 2015-02-24 Fusion-Io, Inc. Logical interface for contextual storage
US9563555B2 (en) 2011-03-18 2017-02-07 Sandisk Technologies Llc Systems and methods for storage allocation
US8649609B1 (en) 2011-03-24 2014-02-11 The United States Of America As Represented By The Adminstrator Of The National Aeronautics And Space Administration Field programmable gate array apparatus, method, and computer program
TW201242333A (en) * 2011-04-06 2012-10-16 Hon Hai Prec Ind Co Ltd Image processing apparatus and method for controlling image processing apparatus
TWI438632B (en) * 2011-04-14 2014-05-21 Mstar Semiconductor Inc Controlling method and controller for memory
US8806438B2 (en) 2011-04-20 2014-08-12 International Business Machines Corporation Collaborative software debugging in a distributed system with variable-specific messages
US8656360B2 (en) 2011-04-20 2014-02-18 International Business Machines Corporation Collaborative software debugging in a distributed system with execution resumption on consensus
US8739127B2 (en) * 2011-04-20 2014-05-27 International Business Machines Corporation Collaborative software debugging in a distributed system with symbol locking
WO2011113377A2 (en) * 2011-04-26 2011-09-22 华为技术有限公司 Method and apparatus for calibrating low frequency clock
US8719957B2 (en) 2011-04-29 2014-05-06 Altera Corporation Systems and methods for detecting and mitigating programmable logic device tampering
US8379454B2 (en) 2011-05-05 2013-02-19 Sandisk Technologies Inc. Detection of broken word-lines in memory arrays
US9063862B2 (en) 2011-05-17 2015-06-23 Sandisk Technologies Inc. Expandable data cache
US20120302212A1 (en) * 2011-05-25 2012-11-29 Critical Medical Solutions, Inc. Secure mobile radiology communication system
US8977930B1 (en) * 2011-06-02 2015-03-10 Drc Computer Corporation Memory architecture optimized for random access
US9077499B2 (en) * 2011-06-15 2015-07-07 Metanoia Communications Inc. Automatic power saving for communication systems
US8817976B2 (en) * 2011-06-24 2014-08-26 Gregory Scott Callen Reversible cipher
US8756577B2 (en) 2011-06-28 2014-06-17 International Business Machines Corporation Collaborative software debugging in a distributed system with private debug sessions
US20130002315A1 (en) * 2011-07-01 2013-01-03 Philippe Boucard Asynchronous clock adapter
US8929961B2 (en) 2011-07-15 2015-01-06 Blackbird Technology Holdings, Inc. Protective case for adding wireless functionality to a handheld electronic device
TWI446160B (en) * 2011-07-21 2014-07-21 Silicon Motion Inc Flash memory controller and data read method
US8601276B2 (en) 2011-07-27 2013-12-03 Hewlett-Packard Development Company, L.P. Managing access to a secure content-part of a PPCD following introduction of the PPCD into a workflow
US8984298B2 (en) 2011-07-27 2015-03-17 Hewlett-Packard Development Company, L.P. Managing access to a secure content-part of a PPCD using a key reset point
US8726104B2 (en) 2011-07-28 2014-05-13 Sandisk Technologies Inc. Non-volatile memory and method with accelerated post-write read using combined verification of multiple pages
US8750042B2 (en) 2011-07-28 2014-06-10 Sandisk Technologies Inc. Combined simultaneous sensing of multiple wordlines in a post-write read (PWR) and detection of NAND failures
US8775901B2 (en) 2011-07-28 2014-07-08 SanDisk Technologies, Inc. Data recovery for defective word lines during programming of non-volatile memory arrays
US8880713B2 (en) * 2011-07-29 2014-11-04 General Electric Company System and methods for use in communicating with an energy management device in an energy device network
JP2013031961A (en) * 2011-08-02 2013-02-14 Ricoh Co Ltd Power supply control device and image forming apparatus
US9021146B2 (en) 2011-08-30 2015-04-28 Apple Inc. High priority command queue for peripheral component
US8821012B2 (en) 2011-08-31 2014-09-02 Semiconductor Components Industries, Llc Combined device identification and temperature measurement
US8845189B2 (en) * 2011-08-31 2014-09-30 Semiconductor Components Industries, Llc Device identification and temperature sensor circuit
US9231926B2 (en) 2011-09-08 2016-01-05 Lexmark International, Inc. System and method for secured host-slave communication
US8872635B2 (en) * 2011-10-25 2014-10-28 Static Control Components, Inc. Systems and methods for verifying a chip
US8334705B1 (en) 2011-10-27 2012-12-18 Certicom Corp. Analog circuitry to conceal activity of logic circuitry
US8635467B2 (en) 2011-10-27 2014-01-21 Certicom Corp. Integrated circuit with logic circuitry and multiple concealing circuits
US9069494B2 (en) * 2011-10-31 2015-06-30 Xerox Corporation Evaluating and managing image quality performance and improving service effectiveness of groups of production printers
US8938658B2 (en) 2011-11-07 2015-01-20 Sandisk Enterprise Ip Llc Statistical read comparison signal generation for memory systems
US9288161B2 (en) * 2011-12-05 2016-03-15 International Business Machines Corporation Verifying the functionality of an integrated circuit
US9330031B2 (en) 2011-12-09 2016-05-03 Nvidia Corporation System and method for calibration of serial links using a serial-to-parallel loopback
US9262250B2 (en) 2011-12-12 2016-02-16 Crashlytics, Inc. System and method for data collection and analysis of information relating to mobile applications
US9087154B1 (en) * 2011-12-12 2015-07-21 Crashlytics, Inc. System and method for providing additional functionality to developer side application in an integrated development environment
US9703680B1 (en) 2011-12-12 2017-07-11 Google Inc. System and method for automatic software development kit configuration and distribution
WO2013088506A1 (en) * 2011-12-13 2013-06-20 キヤノン株式会社 Method for manufacturing nozzle tips
US9274937B2 (en) 2011-12-22 2016-03-01 Longitude Enterprise Flash S.A.R.L. Systems, methods, and interfaces for vector input/output operations
US20130246431A1 (en) 2011-12-27 2013-09-19 Mcafee, Inc. System and method for providing data protection workflows in a network environment
US20130163034A1 (en) * 2011-12-27 2013-06-27 Xerox Corporation Vendor selection method and system for wide format printing
US8458804B1 (en) 2011-12-29 2013-06-04 Elwha Llc Systems and methods for preventing data remanence in memory
US9213645B2 (en) 2011-12-29 2015-12-15 Sandisk Technologies Inc. Command aware partial page programming
US9437967B2 (en) 2011-12-30 2016-09-06 Bedrock Automation Platforms, Inc. Electromagnetic connector for an industrial control system
US10834820B2 (en) 2013-08-06 2020-11-10 Bedrock Automation Platforms Inc. Industrial control system cable
US9467297B2 (en) 2013-08-06 2016-10-11 Bedrock Automation Platforms Inc. Industrial control system redundant communications/control modules authentication
US8868813B2 (en) 2011-12-30 2014-10-21 Bedrock Automation Platforms Inc. Communications control system with a serial communications interface and a parallel communications interface
US9600434B1 (en) 2011-12-30 2017-03-21 Bedrock Automation Platforms, Inc. Switch fabric having a serial communications interface and a parallel communications interface
US9727511B2 (en) 2011-12-30 2017-08-08 Bedrock Automation Platforms Inc. Input/output module with multi-channel switching capability
US8971072B2 (en) 2011-12-30 2015-03-03 Bedrock Automation Platforms Inc. Electromagnetic connector for an industrial control system
US10834094B2 (en) 2013-08-06 2020-11-10 Bedrock Automation Platforms Inc. Operator action authentication in an industrial control system
US9191203B2 (en) 2013-08-06 2015-11-17 Bedrock Automation Platforms Inc. Secure industrial control system
US11314854B2 (en) 2011-12-30 2022-04-26 Bedrock Automation Platforms Inc. Image capture devices for a secure industrial control system
US11144630B2 (en) 2011-12-30 2021-10-12 Bedrock Automation Platforms Inc. Image capture devices for a secure industrial control system
EP2800664B1 (en) 2012-01-05 2016-08-31 ZIH Corp. Method and apparatus for printhead control
US9370939B2 (en) 2012-01-05 2016-06-21 Zih Corp. Method and apparatus for printer control
US20130179614A1 (en) * 2012-01-10 2013-07-11 Diarmuid P. Ross Command Abort to Reduce Latency in Flash Memory Access
US9570124B2 (en) * 2012-01-11 2017-02-14 Viavi Solutions Inc. High speed logging system
US9767032B2 (en) 2012-01-12 2017-09-19 Sandisk Technologies Llc Systems and methods for cache endurance
US9251052B2 (en) 2012-01-12 2016-02-02 Intelligent Intellectual Property Holdings 2 Llc Systems and methods for profiling a non-volatile cache having a logical-to-physical translation layer
US10102117B2 (en) 2012-01-12 2018-10-16 Sandisk Technologies Llc Systems and methods for cache and storage device coordination
US9299451B2 (en) 2012-01-20 2016-03-29 International Business Machines Corporation Tamper resistant electronic system utilizing acceptable tamper threshold count
US8918680B2 (en) 2012-01-23 2014-12-23 Apple Inc. Trace queue for peripheral component
US9251086B2 (en) 2012-01-24 2016-02-02 SanDisk Technologies, Inc. Apparatus, system, and method for managing a cache
US9116812B2 (en) 2012-01-27 2015-08-25 Intelligent Intellectual Property Holdings 2 Llc Systems and methods for a de-duplication cache
US10359972B2 (en) 2012-08-31 2019-07-23 Sandisk Technologies Llc Systems, methods, and interfaces for adaptive persistence
US9059168B2 (en) 2012-02-02 2015-06-16 Taiwan Semiconductor Manufacturing Company, Ltd. Adjustable meander line resistor
US8890222B2 (en) 2012-02-03 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Meander line resistor structure
US10078112B2 (en) 2012-02-07 2018-09-18 Mcube, Inc. Security system and methods for integrated devices
US9418247B2 (en) * 2012-02-07 2016-08-16 MCube Inc. Security system and methods for integrated devices
US8918885B2 (en) * 2012-02-09 2014-12-23 International Business Machines Corporation Automatic discovery of system integrity exposures in system code
US8812466B2 (en) * 2012-02-10 2014-08-19 International Business Machines Corporation Detecting and combating attack in protection system of an industrial control system
EP2820564B1 (en) 2012-02-29 2019-04-10 Global File Systems Holdings, LLC Stream recognition and filtering
TWI475866B (en) * 2012-03-02 2015-03-01 Univ Nat Cheng Kung An authentication method of a chain structure
US10019353B2 (en) 2012-03-02 2018-07-10 Longitude Enterprise Flash S.A.R.L. Systems and methods for referencing data on a storage medium
US8914767B2 (en) * 2012-03-12 2014-12-16 Symantec Corporation Systems and methods for using quick response codes to activate software applications
US8699715B1 (en) * 2012-03-27 2014-04-15 Emc Corporation On-demand proactive epoch control for cryptographic devices
US8776195B1 (en) * 2012-03-30 2014-07-08 Emc Corporation Common data format in knowledge-based authentication
US9764561B2 (en) 2012-04-04 2017-09-19 Xerox Corporation System and method for clearing weak and missing inkjets in an inkjet printer
US9171144B2 (en) 2012-04-13 2015-10-27 Lewis Innovative Technologies Electronic physical unclonable functions
US8985723B2 (en) 2012-04-20 2015-03-24 Xerox Corporation System and method of compensating for defective inkjets
US9131376B2 (en) 2012-04-20 2015-09-08 Bank Of America Corporation Proximity-based dynamic vehicle navigation
DE102012103466B4 (en) * 2012-04-20 2015-08-27 Océ Printing Systems GmbH & Co. KG Printing process and printing device
JP5918004B2 (en) * 2012-04-27 2016-05-18 株式会社東海理化電機製作所 Electronic key registration system
WO2013175224A1 (en) * 2012-05-23 2013-11-28 University Of Leeds Secure communication
US8854413B2 (en) 2012-06-01 2014-10-07 Cisco Technology, Inc. Communicating with an endpoint using matrix barcodes
WO2013186889A1 (en) * 2012-06-14 2013-12-19 三菱電機株式会社 I/o device, programmable logic controller, and arithmetic processing method
US8804415B2 (en) 2012-06-19 2014-08-12 Fusion-Io, Inc. Adaptive voltage range management in non-volatile memory
FR2992083B1 (en) * 2012-06-19 2014-07-04 Alstom Transport Sa COMPUTER, COMMUNICATION ASSEMBLY COMPRISING SUCH A COMPUTER, RAIL MANAGEMENT SYSTEM COMPRISING SUCH A SET, AND METHOD FOR RELIABILITY OF DATA IN A COMPUTER
US9618635B2 (en) 2012-06-21 2017-04-11 Honeywell International Inc. Integrated radiation sensitive circuit
US8575560B1 (en) 2012-06-21 2013-11-05 Honeywell International Inc. Integrated circuit cumulative dose radiation sensor
US8933412B2 (en) 2012-06-21 2015-01-13 Honeywell International Inc. Integrated comparative radiation sensitive circuit
US8667141B2 (en) * 2012-07-03 2014-03-04 Xerox Corporation Method and system for handling load on a service component in a network
US9612966B2 (en) 2012-07-03 2017-04-04 Sandisk Technologies Llc Systems, methods and apparatus for a virtual machine cache
US10339056B2 (en) 2012-07-03 2019-07-02 Sandisk Technologies Llc Systems, methods and apparatus for cache transfers
US20140012750A1 (en) * 2012-07-09 2014-01-09 Jvl Ventures, Llc Systems, methods, and computer program products for integrating third party services with a mobile wallet
US8955937B2 (en) 2012-07-23 2015-02-17 Xerox Corporation System and method for inoperable inkjet compensation
US9258907B2 (en) 2012-08-09 2016-02-09 Lockheed Martin Corporation Conformal 3D non-planar multi-layer circuitry
US9699263B1 (en) 2012-08-17 2017-07-04 Sandisk Technologies Llc. Automatic read and write acceleration of data accessed by virtual machines
JP5750414B2 (en) 2012-08-27 2015-07-22 東芝テック株式会社 Inkjet head drive device
US8786889B2 (en) * 2012-08-29 2014-07-22 Eastman Kodak Company Method for computing scale for tag insertion
US8928929B2 (en) * 2012-08-29 2015-01-06 Eastman Kodak Company System for generating tag layouts
US10158927B1 (en) * 2012-09-05 2018-12-18 Google Llc Systems and methods for detecting audio-video synchronization using timestamps
US9122873B2 (en) 2012-09-14 2015-09-01 The Research Foundation For The State University Of New York Continuous run-time validation of program execution: a practical approach
US9319878B2 (en) 2012-09-14 2016-04-19 Qualcomm Incorporated Streaming alignment of key stream to unaligned data stream
US8938796B2 (en) 2012-09-20 2015-01-20 Paul Case, SR. Case secure computer architecture
US10318495B2 (en) 2012-09-24 2019-06-11 Sandisk Technologies Llc Snapshots for a non-volatile device
US10509776B2 (en) 2012-09-24 2019-12-17 Sandisk Technologies Llc Time sequence data management
US9979960B2 (en) 2012-10-01 2018-05-22 Microsoft Technology Licensing, Llc Frame packing and unpacking between frames of chroma sampling formats with different chroma resolutions
US20200322364A1 (en) * 2012-10-02 2020-10-08 Mordecai Barkan Program verification and malware detection
KR102017828B1 (en) * 2012-10-19 2019-09-03 삼성전자 주식회사 Security management unit, host controller interface including the same, method for operating the host controller interface, and devices including the host controller interface
KR102031661B1 (en) * 2012-10-23 2019-10-14 삼성전자주식회사 Data storage device and controller, and operation method of data storage device
CN102929674B (en) * 2012-11-02 2016-02-10 威盛电子股份有限公司 Electronic installation and starting-up method
US9595350B2 (en) * 2012-11-05 2017-03-14 Nxp Usa, Inc. Hardware-based memory initialization
US9098709B2 (en) * 2012-11-13 2015-08-04 International Business Machines Corporation Protection of user data in hosted application environments
US8714692B1 (en) 2012-12-04 2014-05-06 Xerox Corporation System and method of compensating for defective inkjets with context dependent image data
GB2508631A (en) * 2012-12-06 2014-06-11 Ibm Propagating a query in a network by applying a delay at a node
KR20140076840A (en) * 2012-12-13 2014-06-23 에스케이하이닉스 주식회사 Integrated circuit and semiconductor device using the same
US9501398B2 (en) 2012-12-26 2016-11-22 Sandisk Technologies Llc Persistent storage device with NVRAM for staging writes
US9239751B1 (en) 2012-12-27 2016-01-19 Sandisk Enterprise Ip Llc Compressing data from multiple reads for error control management in memory systems
US9612948B2 (en) 2012-12-27 2017-04-04 Sandisk Technologies Llc Reads and writes between a contiguous data block and noncontiguous sets of logical address blocks in a persistent storage device
US10032659B2 (en) 2012-12-28 2018-07-24 Sunedison Semiconductor Limited (Uen201334164H) Methods and systems for preventing unsafe operations
US9454420B1 (en) 2012-12-31 2016-09-27 Sandisk Technologies Llc Method and system of reading threshold voltage equalization
US20140197865A1 (en) 2013-01-11 2014-07-17 International Business Machines Corporation On-chip randomness generation
JP6071565B2 (en) * 2013-01-11 2017-02-01 キヤノン株式会社 Method for manufacturing liquid discharge head
EP2759405B1 (en) * 2013-01-25 2018-09-19 Müller Martini Holding AG Method for the capture and transmission of process control data prior to and/or within a print process for the production of printed products in a printing machine
US9218509B2 (en) 2013-02-08 2015-12-22 Everspin Technologies, Inc. Response to tamper detection in a memory device
US9135970B2 (en) 2013-02-08 2015-09-15 Everspin Technologies, Inc. Tamper detection and response in a memory device
US8824014B1 (en) 2013-02-11 2014-09-02 Xerox Corporation System and method for adjustment of coverage parameters for different colors in image data
US9065632B2 (en) * 2013-02-20 2015-06-23 Qualcomm Incorporated Message authentication using a universal hash function computed with carryless multiplication
US9088459B1 (en) * 2013-02-22 2015-07-21 Jpmorgan Chase Bank, N.A. Breadth-first resource allocation system and methods
US8772745B1 (en) 2013-03-14 2014-07-08 Lockheed Martin Corporation X-ray obscuration film and related techniques
US9870830B1 (en) 2013-03-14 2018-01-16 Sandisk Technologies Llc Optimal multilevel sensing for reading data from a storage medium
US9753487B2 (en) 2013-03-14 2017-09-05 Micron Technology, Inc. Serial peripheral interface and methods of operating same
US9215075B1 (en) 2013-03-15 2015-12-15 Poltorak Technologies Llc System and method for secure relayed communications from an implantable medical device
US9244763B1 (en) 2013-03-15 2016-01-26 Sandisk Enterprise Ip Llc System and method for updating a reading threshold voltage based on symbol transition information
US9842053B2 (en) 2013-03-15 2017-12-12 Sandisk Technologies Llc Systems and methods for persistent cache logging
US9136877B1 (en) 2013-03-15 2015-09-15 Sandisk Enterprise Ip Llc Syndrome layered decoding for LDPC codes
US9059742B1 (en) 2013-03-15 2015-06-16 Western Digital Technologies, Inc. System and method for dynamic scaling of LDPC decoder in a solid state drive
US9236886B1 (en) 2013-03-15 2016-01-12 Sandisk Enterprise Ip Llc Universal and reconfigurable QC-LDPC encoder
US9367246B2 (en) 2013-03-15 2016-06-14 Sandisk Technologies Inc. Performance optimization of data transfer for soft information generation
WO2014155363A1 (en) 2013-03-29 2014-10-02 Ologn Technologies Ag Systems, methods and apparatuses for secure storage of data using a security-enhancing chip
US9235468B2 (en) * 2013-04-12 2016-01-12 Qualcomm Incorporated Systems and methods to improve the reliability and lifespan of flash memory
US10558561B2 (en) 2013-04-16 2020-02-11 Sandisk Technologies Llc Systems and methods for storage metadata management
US10102144B2 (en) 2013-04-16 2018-10-16 Sandisk Technologies Llc Systems, methods and interfaces for data virtualization
RU2518950C9 (en) * 2013-05-06 2014-09-10 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Санкт-Петербургский государственный электротехнический университет "ЛЭТИ" им. В.И. Ульянова (Ленина)" Method of encrypting n-bit unit m
CN109922462B (en) * 2013-05-06 2022-03-25 康维达无线有限责任公司 Apparatus and method for managing bootstrap
US8896086B1 (en) * 2013-05-30 2014-11-25 Freescale Semiconductor, Inc. System for preventing tampering with integrated circuit
US10181124B2 (en) * 2013-05-30 2019-01-15 Dell Products, L.P. Verifying OEM components within an information handling system using original equipment manufacturer (OEM) identifier
US9230137B2 (en) * 2013-05-30 2016-01-05 Dell Products, L.P. Secure original equipment manufacturer (OEM) identifier for OEM devices
US9159437B2 (en) 2013-06-11 2015-10-13 Sandisk Enterprise IP LLC. Device and method for resolving an LM flag issue
DE102013212525A1 (en) * 2013-06-27 2014-12-31 Siemens Aktiengesellschaft Data storage device for protected data exchange between different security zones
US10068228B1 (en) 2013-06-28 2018-09-04 Winklevoss Ip, Llc Systems and methods for storing digital math-based assets using a secure portal
US9892460B1 (en) 2013-06-28 2018-02-13 Winklevoss Ip, Llc Systems, methods, and program products for operating exchange traded products holding digital math-based assets
US10354325B1 (en) 2013-06-28 2019-07-16 Winklevoss Ip, Llc Computer-generated graphical user interface
US10269009B1 (en) 2013-06-28 2019-04-23 Winklevoss Ip, Llc Systems, methods, and program products for a digital math-based asset exchange
US9397500B2 (en) * 2013-06-28 2016-07-19 Solantro Semiconductor Corp. Inverter with extended endurance memory
US11282139B1 (en) 2013-06-28 2022-03-22 Gemini Ip, Llc Systems, methods, and program products for verifying digital assets held in a custodial digital asset wallet
CN105379304B (en) * 2013-07-04 2018-11-13 富士通株式会社 Data network management system, data network management device, data processing equipment and data network management method
CN103915119B (en) 2013-07-11 2017-02-15 威盛电子股份有限公司 Data storage device and flash memory control method
US9194914B2 (en) * 2013-07-16 2015-11-24 Advanced Micro Devices, Inc. Power supply monitor for detecting faults during scan testing
US9179527B2 (en) * 2013-07-16 2015-11-03 General Electric Company Programmable light emitting diode (LED) driver technique based upon a prefix signal
US9131578B2 (en) 2013-07-16 2015-09-08 General Electric Company Programmable light emitting diode (LED) driver technique based upon an input voltage signal
US9384126B1 (en) 2013-07-25 2016-07-05 Sandisk Technologies Inc. Methods and systems to avoid false negative results in bloom filters implemented in non-volatile data storage systems
US9524235B1 (en) 2013-07-25 2016-12-20 Sandisk Technologies Llc Local hash value generation in non-volatile data storage systems
US9842128B2 (en) 2013-08-01 2017-12-12 Sandisk Technologies Llc Systems and methods for atomic storage operations
US10613567B2 (en) 2013-08-06 2020-04-07 Bedrock Automation Platforms Inc. Secure power supply for an industrial control system
US9639463B1 (en) 2013-08-26 2017-05-02 Sandisk Technologies Llc Heuristic aware garbage collection scheme in storage systems
US9361221B1 (en) 2013-08-26 2016-06-07 Sandisk Technologies Inc. Write amplification reduction through reliable writes during garbage collection
US9466236B2 (en) * 2013-09-03 2016-10-11 Synaptics Incorporated Dithering to avoid pixel value conversion errors
WO2015035338A1 (en) 2013-09-06 2015-03-12 Futurewei Technologies, Inc. Method and apparatus for asynchronous processor with a token ring based parallel processor scheduler
US9569385B2 (en) 2013-09-09 2017-02-14 Nvidia Corporation Memory transaction ordering
EP2849024A1 (en) 2013-09-16 2015-03-18 ST-Ericsson SA Power consumption management system and method
JP6146570B2 (en) * 2013-09-20 2017-06-14 東芝ライテック株式会社 Dimming control system
US9189617B2 (en) * 2013-09-27 2015-11-17 Intel Corporation Apparatus and method for implementing zero-knowledge proof security techniques on a computing platform
US9195857B2 (en) * 2013-09-30 2015-11-24 Infineon Technologies Ag Computational system
US20150095222A1 (en) * 2013-10-02 2015-04-02 Tyfone, Inc. Dynamic identity representation in mobile devices
US20150097839A1 (en) * 2013-10-07 2015-04-09 Tektronix, Inc. Stochastic rasterization of waveform trace displays
WO2015057116A1 (en) * 2013-10-15 2015-04-23 Telefonaktiebolaget L M Ericsson (Publ) Establishing a secure connection between a master device and a slave device
US9298608B2 (en) 2013-10-18 2016-03-29 Sandisk Enterprise Ip Llc Biasing for wear leveling in storage systems
US10019352B2 (en) 2013-10-18 2018-07-10 Sandisk Technologies Llc Systems and methods for adaptive reserve storage
US10019320B2 (en) 2013-10-18 2018-07-10 Sandisk Technologies Llc Systems and methods for distributed atomic storage operations
US9442662B2 (en) 2013-10-18 2016-09-13 Sandisk Technologies Llc Device and method for managing die groups
KR102197505B1 (en) * 2013-10-25 2020-12-31 마이크로소프트 테크놀로지 라이센싱, 엘엘씨 Representing blocks with hash values in video and image coding and decoding
KR20160075705A (en) * 2013-10-25 2016-06-29 마이크로소프트 테크놀로지 라이센싱, 엘엘씨 Hash-based block matching in video and image coding
US9436831B2 (en) * 2013-10-30 2016-09-06 Sandisk Technologies Llc Secure erase in a memory device
US9263156B2 (en) 2013-11-07 2016-02-16 Sandisk Enterprise Ip Llc System and method for adjusting trip points within a storage device
US10073630B2 (en) 2013-11-08 2018-09-11 Sandisk Technologies Llc Systems and methods for log coordination
KR20160085325A (en) 2013-11-12 2016-07-15 프린트릴리프 인크. Automated computer controlled system for measuring the consumption of printer resources and transacting environmental offsets
US9244785B2 (en) 2013-11-13 2016-01-26 Sandisk Enterprise Ip Llc Simulated power failure and data hardening
US9703816B2 (en) 2013-11-19 2017-07-11 Sandisk Technologies Llc Method and system for forward reference logging in a persistent datastore
US9520197B2 (en) 2013-11-22 2016-12-13 Sandisk Technologies Llc Adaptive erase of a storage device
US20150149024A1 (en) * 2013-11-22 2015-05-28 Sikorsky Aircraft Corporation Latency tolerant fault isolation
US9520162B2 (en) 2013-11-27 2016-12-13 Sandisk Technologies Llc DIMM device controller supervisor
US9582058B2 (en) 2013-11-29 2017-02-28 Sandisk Technologies Llc Power inrush management of storage devices
US9235245B2 (en) 2013-12-04 2016-01-12 Sandisk Enterprise Ip Llc Startup performance and power isolation
US9223965B2 (en) 2013-12-10 2015-12-29 International Business Machines Corporation Secure generation and management of a virtual card on a mobile device
US9235692B2 (en) 2013-12-13 2016-01-12 International Business Machines Corporation Secure application debugging
US9497178B2 (en) 2013-12-31 2016-11-15 International Business Machines Corporation Generating challenge response sets utilizing semantic web technology
US9659137B2 (en) * 2014-02-18 2017-05-23 Samsung Electronics Co., Ltd. Method of verifying layout of mask ROM
US9703314B2 (en) * 2014-02-26 2017-07-11 Telefonaktiebolaget Lm Ericsson (Publ) Method and apparatus for a variable frequency and phase clock generation circuit
RU2564243C1 (en) * 2014-02-28 2015-09-27 Открытое Акционерное Общество "Информационные Технологии И Коммуникационные Системы" Cryptographic transformation method
US9703636B2 (en) 2014-03-01 2017-07-11 Sandisk Technologies Llc Firmware reversion trigger and control
US10368092B2 (en) * 2014-03-04 2019-07-30 Microsoft Technology Licensing, Llc Encoder-side decisions for block flipping and skip mode in intra block copy prediction
EP3114838B1 (en) * 2014-03-04 2018-02-07 Microsoft Technology Licensing, LLC Hash table construction and availability checking for hash-based block matching
US9542558B2 (en) 2014-03-12 2017-01-10 Apple Inc. Secure factory data generation and restoration
US9448876B2 (en) 2014-03-19 2016-09-20 Sandisk Technologies Llc Fault detection and prediction in storage devices
US9390814B2 (en) 2014-03-19 2016-07-12 Sandisk Technologies Llc Fault detection and prediction for data storage elements
US9454448B2 (en) 2014-03-19 2016-09-27 Sandisk Technologies Llc Fault testing in storage devices
US9324448B2 (en) 2014-03-25 2016-04-26 Semiconductor Components Industries, Llc Fuse element programming circuit and method
US9641809B2 (en) * 2014-03-25 2017-05-02 Nxp Usa, Inc. Circuit arrangement and method for processing a digital video stream and for detecting a fault in a digital video stream, digital video system and computer readable program product
RU2542880C1 (en) * 2014-03-31 2015-02-27 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Санкт-Петербургский государственный электротехнический университет"ЛЭТИ" им. В.И. Ульянова (Ленина)" Method of encrypting binary data unit
US9626399B2 (en) 2014-03-31 2017-04-18 Sandisk Technologies Llc Conditional updates for reducing frequency of data modification operations
US9626400B2 (en) 2014-03-31 2017-04-18 Sandisk Technologies Llc Compaction of information in tiered data structure
US9390021B2 (en) 2014-03-31 2016-07-12 Sandisk Technologies Llc Efficient cache utilization in a tiered data structure
TWI553484B (en) * 2014-04-01 2016-10-11 Nat Univ Chung Cheng Prospective measurement processing device and processing method thereof
US9697267B2 (en) 2014-04-03 2017-07-04 Sandisk Technologies Llc Methods and systems for performing efficient snapshots in tiered data structures
RU2542929C1 (en) * 2014-04-14 2015-02-27 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Санкт-Петербургский государственный электротехнический университет "ЛЭТИ" им. В.И. Ульянова (Ленина)" Method to code data unit represented as bit string
RU2542926C1 (en) * 2014-04-14 2015-02-27 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Санкт-Петербургский государственный электротехнический университет "ЛЭТИ" им. В.И. Ульянова (Ленина)" Method to code message represented as multidigit binary number
DE102014207479A1 (en) * 2014-04-17 2015-10-22 Robert Bosch Gmbh Method for classifying a data segment with regard to its further processing
US9768957B2 (en) * 2014-04-23 2017-09-19 Cryptography Research, Inc. Generation and management of multiple base keys based on a device generated key
US9246501B2 (en) 2014-04-29 2016-01-26 Honeywell International Inc. Converter for analog inputs
EP2940869B1 (en) * 2014-04-30 2017-09-06 Nxp B.V. Synchronised logic circuit
WO2015174979A1 (en) * 2014-05-15 2015-11-19 Ge Intelligent Platforms, Inc. Intrinsically safe universal i/o device using programmable asic
US9343116B2 (en) 2014-05-28 2016-05-17 Micron Technology, Inc. Providing power availability information to memory
US10060973B1 (en) 2014-05-29 2018-08-28 National Technology & Engineering Solutions Of Sandia, Llc Test circuits for integrated circuit counterfeit detection
US10372613B2 (en) 2014-05-30 2019-08-06 Sandisk Technologies Llc Using sub-region I/O history to cache repeatedly accessed sub-regions in a non-volatile storage device
US10162748B2 (en) 2014-05-30 2018-12-25 Sandisk Technologies Llc Prioritizing garbage collection and block allocation based on I/O history for logical address regions
US9703491B2 (en) 2014-05-30 2017-07-11 Sandisk Technologies Llc Using history of unaligned writes to cache data and avoid read-modify-writes in a non-volatile storage device
US10656840B2 (en) 2014-05-30 2020-05-19 Sandisk Technologies Llc Real-time I/O pattern recognition to enhance performance and endurance of a storage device
US10656842B2 (en) 2014-05-30 2020-05-19 Sandisk Technologies Llc Using history of I/O sizes and I/O sequences to trigger coalesced writes in a non-volatile storage device
US10114557B2 (en) 2014-05-30 2018-10-30 Sandisk Technologies Llc Identification of hot regions to enhance performance and endurance of a non-volatile storage device
US10146448B2 (en) 2014-05-30 2018-12-04 Sandisk Technologies Llc Using history of I/O sequences to trigger cached read ahead in a non-volatile storage device
US9652381B2 (en) 2014-06-19 2017-05-16 Sandisk Technologies Llc Sub-block garbage collection
CN105706450B (en) * 2014-06-23 2019-07-16 微软技术许可有限责任公司 It is determined according to the encoder of the result of the Block- matching based on hash
US9258117B1 (en) 2014-06-26 2016-02-09 Amazon Technologies, Inc. Mutual authentication with symmetric secrets and signatures
US9819488B2 (en) * 2014-07-10 2017-11-14 Ohio State Innovation Foundation Generation of encryption keys based on location
US9826252B2 (en) 2014-07-29 2017-11-21 Nxp Usa, Inc. Method and video system for freeze-frame detection
US9434165B2 (en) 2014-08-28 2016-09-06 Funai Electric Co., Ltd. Chip layout to enable multiple heater chip vertical resolutions
US9443601B2 (en) 2014-09-08 2016-09-13 Sandisk Technologies Llc Holdup capacitor energy harvesting
KR20160030701A (en) * 2014-09-11 2016-03-21 삼성전자주식회사 Host divice transmitting print data to printer and method for rendering print data by host device
JP6388155B2 (en) * 2014-09-18 2018-09-12 富士ゼロックス株式会社 Image forming apparatus and image data processing apparatus
WO2016049834A1 (en) 2014-09-30 2016-04-07 Microsoft Technology Licensing, Llc Hash-based encoder decisions for video coding
US20160098162A1 (en) * 2014-10-06 2016-04-07 Lenovo (Singapore) Pte. Ltd. Pen based locking mechanism
US10180340B2 (en) * 2014-10-09 2019-01-15 Invensense, Inc. System and method for MEMS sensor system synchronization
US10123410B2 (en) 2014-10-10 2018-11-06 Lockheed Martin Corporation Fine line 3D non-planar conforming circuit
EP3212411B1 (en) 2014-10-28 2019-11-27 Hewlett-Packard Development Company, L.P. Printhead with microelectromechanical die and application specific integrated circuit
US9552192B2 (en) * 2014-11-05 2017-01-24 Oracle International Corporation Context-based generation of memory layouts in software programs
US10275154B2 (en) 2014-11-05 2019-04-30 Oracle International Corporation Building memory layouts in software programs
US10353793B2 (en) 2014-11-05 2019-07-16 Oracle International Corporation Identifying improvements to memory usage of software programs
TWI556249B (en) * 2014-11-07 2016-11-01 群聯電子股份有限公司 Data reading method, memory storage device and memory controlling circuit unit
US10779147B2 (en) 2014-11-18 2020-09-15 Micron Technology, Inc. Wireless memory interface
KR101582168B1 (en) * 2014-11-19 2016-01-05 서울대학교산학협력단 Clock Recovery Scheme at DisplayPort Receiver
US10298404B1 (en) 2014-12-12 2019-05-21 Amazon Technologies, Inc. Certificate echoing for session security
US9780952B1 (en) 2014-12-12 2017-10-03 Amazon Technologies, Inc. Binding digitally signed requests to sessions
CA2970195A1 (en) * 2014-12-16 2016-06-23 Kyndi, Inc. Method and apparatus for randomizing computer instruction sets, memory registers and pointers
US10303891B2 (en) 2014-12-30 2019-05-28 Data I/O Corporation Automated manufacturing system with job packaging mechanism and method of operation thereof
US9639425B1 (en) * 2015-01-13 2017-05-02 Marvell International Ltd. Signature-based sleep recovery operation flow
CN105891651B (en) 2015-01-16 2019-12-10 恩智浦美国有限公司 Low power open circuit detection system
US9853977B1 (en) 2015-01-26 2017-12-26 Winklevoss Ip, Llc System, method, and program product for processing secure transactions within a cloud computing system
KR102242065B1 (en) * 2015-01-26 2021-04-19 가부시키가이샤 니콘 Mask case, storage device and storage method, transfer device and transfer method, and exposure device
EP3872666A1 (en) 2015-01-30 2021-09-01 Enrico Maim Systems and methods for managing networked commitments of secure entities
US9940457B2 (en) * 2015-02-13 2018-04-10 International Business Machines Corporation Detecting a cryogenic attack on a memory device with embedded error correction
US9606851B2 (en) 2015-02-02 2017-03-28 International Business Machines Corporation Error monitoring of a memory device containing embedded error correction
JP6418971B2 (en) * 2015-02-05 2018-11-07 キヤノン株式会社 Information processing apparatus and control method thereof
US9946677B2 (en) * 2015-02-12 2018-04-17 Atmel Corporation Managing single-wire communications
CN105988774A (en) * 2015-02-20 2016-10-05 上海芯豪微电子有限公司 Multi-issue processor system and method
US9946607B2 (en) 2015-03-04 2018-04-17 Sandisk Technologies Llc Systems and methods for storage error management
US10915891B1 (en) 2015-03-16 2021-02-09 Winklevoss Ip, Llc Autonomous devices
US10158480B1 (en) 2015-03-16 2018-12-18 Winklevoss Ip, Llc Autonomous devices
US9361972B1 (en) * 2015-03-20 2016-06-07 Intel Corporation Charge level maintenance in a memory
US9979782B2 (en) 2015-03-24 2018-05-22 Qualcomm Incorporated Low-power and low-latency device enumeration with cartesian addressing
JP6498019B2 (en) * 2015-04-10 2019-04-10 キヤノン株式会社 Image recording apparatus and control method thereof
RU2580060C1 (en) * 2015-05-20 2016-04-10 Федеральное государственное автономное образовательное учреждение высшего образования "Санкт-Петербургский государственный электротехнический университет "ЛЭТИ" им. В.И. Ульнова (Ленина)" Method to encrypt messages, represented as a multi-bit binary number
US10122692B2 (en) 2015-06-16 2018-11-06 Amazon Technologies, Inc. Handshake offload
GB2539460A (en) * 2015-06-16 2016-12-21 Nordic Semiconductor Asa Integrated circuit inputs and outputs
US10122689B2 (en) 2015-06-16 2018-11-06 Amazon Technologies, Inc. Load balancing with handshake offload
CN106257879B (en) * 2015-06-16 2020-02-14 阿里巴巴集团控股有限公司 Method and device for downloading application
US10108557B2 (en) * 2015-06-25 2018-10-23 Intel Corporation Technologies for memory confidentiality, integrity, and replay protection
US9434176B1 (en) * 2015-06-29 2016-09-06 Xerox Corporation Vector compensation for inoperative ink-jets in composite colors
US10432196B2 (en) * 2015-07-22 2019-10-01 Nuvoton Technology Corporation Communication device, communication system and operation method thereof
JP6473674B2 (en) * 2015-07-28 2019-02-20 ルネサスエレクトロニクス株式会社 Communication terminal and program
US9921962B2 (en) 2015-09-24 2018-03-20 Qualcomm Incorporated Maintaining cache coherency using conditional intervention among multiple master devices
EP3314391A4 (en) * 2015-09-30 2019-03-27 Hewlett-Packard Development Company, L.P. Printer power management
US20170109526A1 (en) * 2015-10-20 2017-04-20 Intel Corporation Systems and methods for providing anti-malware protection and malware forensics on storage devices
ES2821438T3 (en) * 2015-10-22 2021-04-26 Idemia Germany Gmbh Method to process an encrypted print job
US10084758B2 (en) 2015-10-28 2018-09-25 International Business Machines Corporation System, method, and recording medium for communication and message comparison with encrypted light signals
US9684506B2 (en) * 2015-11-06 2017-06-20 International Business Machines Corporation Work-item expiration in software configuration management environment
DE102015222622A1 (en) * 2015-11-17 2017-05-18 Koenig & Bauer Ag Printing unit and a method for operating a printing unit
US9455233B1 (en) 2015-12-02 2016-09-27 Freescale Semiconductor, Inc. System for preventing tampering with integrated circuit
TWI597666B (en) * 2015-12-28 2017-09-01 緯創資通股份有限公司 Method for using shared device and resource sharing system
US20170192688A1 (en) * 2015-12-30 2017-07-06 International Business Machines Corporation Lazy deletion of vaults in packed slice storage (pss) and zone slice storage (zss)
TWI595248B (en) * 2016-01-20 2017-08-11 新特系統股份有限公司 Test Device Using Switch Switching Connections between Single Signal Channel and Multiple Pads
US10554519B2 (en) 2016-02-08 2020-02-04 Cray Inc. System and method for dampening power swings in distributed computer environments
KR20170094815A (en) 2016-02-11 2017-08-22 삼성전자주식회사 Nonvolatile memory capabling of outputting data using wrap around scheme, computing system having the same, and read method thereof
US10176096B2 (en) 2016-02-22 2019-01-08 Qualcomm Incorporated Providing scalable dynamic random access memory (DRAM) cache management using DRAM cache indicator caches
US9573382B1 (en) 2016-03-02 2017-02-21 Xerox Corporation System and method for missing inkjet compensation in a multi-level inkjet printer
US20170251835A1 (en) * 2016-03-02 2017-09-07 Dci Marketing, Inc. Multi-facing merchandiser and methods relating to same
US20170263141A1 (en) * 2016-03-09 2017-09-14 Arnold Possick Cheating and fraud prevention method and system
JP6542148B2 (en) * 2016-03-18 2019-07-10 株式会社東芝 INFORMATION PROCESSING APPARATUS, INFORMATION PROCESSING METHOD, AND PROGRAM
US20170288866A1 (en) * 2016-03-30 2017-10-05 AVAST Software s.r.o. Systems and methods of creating a distributed ring of trust
US10118696B1 (en) 2016-03-31 2018-11-06 Steven M. Hoffberg Steerable rotating projectile
US11249970B2 (en) * 2016-05-05 2022-02-15 Mastercard International Incorporated Method and system for distributed data storage with eternal integrity guarantees
US10126960B2 (en) * 2016-05-10 2018-11-13 Qualcomm Incorporated Fuse-based anti-replay mechanism
JP6755706B2 (en) * 2016-05-11 2020-09-16 キヤノン株式会社 Information processing device, its control method, and program
US10432685B2 (en) * 2016-05-31 2019-10-01 Brightcove, Inc. Limiting key request rates for streaming media
US10271209B2 (en) * 2016-06-12 2019-04-23 Apple Inc. Session protocol for backward security between paired devices
TWI609378B (en) * 2016-06-15 2017-12-21 慧榮科技股份有限公司 Data storage device and operating method
US10943250B2 (en) 2016-06-17 2021-03-09 International Business Machines Corporation Technology for user engagement
CA2961947C (en) * 2016-06-17 2020-01-14 Erik D. Ness Replaceable item authentication
US10268601B2 (en) 2016-06-17 2019-04-23 Massachusetts Institute Of Technology Timely randomized memory protection
US10056890B2 (en) * 2016-06-24 2018-08-21 Exar Corporation Digital controlled oscillator based clock generator for multi-channel design
US10204011B1 (en) * 2016-06-30 2019-02-12 EMC IP Holding Company LLC Techniques for partially restarting a computing device in response to a configuration change
CN105988404B (en) * 2016-06-30 2018-12-04 深圳市优必选科技有限公司 A kind of servomechanism control system
FR3054763B1 (en) * 2016-07-29 2019-08-30 Dover Europe Sarl SYSTEM FOR ADVANCED PROTECTION OF CONSUMABLE OR DETACHABLE ELEMENTS OF INDUSTRIAL PRINTER
US10411833B2 (en) * 2016-07-29 2019-09-10 Qualcomm Incorporated Early termination techniques for successive decoding processes
US10310991B2 (en) * 2016-08-11 2019-06-04 Massachusetts Institute Of Technology Timely address space randomization
US10569542B2 (en) * 2016-08-16 2020-02-25 Zebra Technologies Corporation Printhead pin configurations
US10122392B2 (en) * 2016-08-18 2018-11-06 Advanced Micro Devices, Inc. Active equalizing negative resistance amplifier for bi-directional bandwidth extension
US10390039B2 (en) 2016-08-31 2019-08-20 Microsoft Technology Licensing, Llc Motion estimation for screen remoting scenarios
US10723146B2 (en) 2016-09-12 2020-07-28 Hewlett-Packard Development Company, L.P. Printing system reduced throughput mode
US10243990B1 (en) * 2016-09-23 2019-03-26 Apple Inc. Systems and methods for detecting replay attacks on security space
US10498532B2 (en) * 2016-10-01 2019-12-03 Intel Corporation Parallel computation techniques for accelerated cryptographic capabilities
US10169140B2 (en) * 2016-10-18 2019-01-01 International Business Machines Corporation Loading a phase-locked loop (PLL) configuration using flash memory
US10595422B2 (en) 2016-10-20 2020-03-17 International Business Machines Corporation Tamper resistant electronic devices
US9858780B1 (en) 2016-10-20 2018-01-02 International Business Machines Corporation Tamper resistant electronic devices
KR20180043626A (en) * 2016-10-20 2018-04-30 삼성전자주식회사 System and method for routing a bus including buffer
US10368080B2 (en) 2016-10-21 2019-07-30 Microsoft Technology Licensing, Llc Selective upsampling or refresh of chroma sample values
US10685710B2 (en) 2016-11-17 2020-06-16 Toshiba Memory Corporation Memory controller
US10693725B1 (en) 2016-11-28 2020-06-23 Barefoot Networks, Inc. Dynamically reconfiguring data plane of forwarding element to account for operating temperature
US11095877B2 (en) 2016-11-30 2021-08-17 Microsoft Technology Licensing, Llc Local hash-based motion estimation for screen remoting scenarios
JP6829063B2 (en) * 2016-12-08 2021-02-10 パナソニック デバイスSunx株式会社 Laser processing equipment
JP6789789B2 (en) * 2016-12-12 2020-11-25 キヤノン株式会社 Recording element substrate, recording head, and image forming apparatus
US10452877B2 (en) 2016-12-16 2019-10-22 Assa Abloy Ab Methods to combine and auto-configure wiegand and RS485
US10394784B2 (en) * 2016-12-22 2019-08-27 Intel Corporation Technologies for management of lookup tables
TWI609185B (en) * 2016-12-23 2017-12-21 英業達股份有限公司 Expansion circuit board for expanding jtag interface
CN106626794B (en) * 2016-12-30 2020-07-28 珠海艾派克微电子有限公司 Ink box indicator lamp control method and device, ink box chip and ink box
US10671378B2 (en) * 2016-12-30 2020-06-02 Paypal, Inc. Updating particular features in an application
US10432730B1 (en) 2017-01-25 2019-10-01 United States Of America As Represented By The Secretary Of The Air Force Apparatus and method for bus protection
KR102615775B1 (en) * 2017-01-31 2023-12-20 에스케이하이닉스 주식회사 Semiconductor device
CN108418776B (en) * 2017-02-09 2021-08-20 上海诺基亚贝尔股份有限公司 Method and apparatus for providing secure services
JP2018136866A (en) * 2017-02-23 2018-08-30 富士ゼロックス株式会社 Information processing apparatus and information processing program
JP6249119B1 (en) * 2017-03-15 2017-12-20 日本電気株式会社 Control device, control method, program, information processing device
CN106991340B (en) * 2017-03-17 2018-05-15 广州小微电子技术有限公司 Chip encryption method
US10296477B2 (en) 2017-03-30 2019-05-21 United States of America as represented by the Secretary of the AirForce Data bus logger
JP6885151B2 (en) * 2017-03-30 2021-06-09 ブラザー工業株式会社 Image processing device
US10990707B1 (en) * 2017-03-30 2021-04-27 Comodo Security Solutions, Inc. Device for safe data signing
US10579499B2 (en) * 2017-04-04 2020-03-03 International Business Machines Corporation Task latency debugging in symmetric multiprocessing computer systems
DE102017108216A1 (en) 2017-04-18 2018-10-18 Infineon Technologies Ag Control system and method of memory access
DE102017108219A1 (en) * 2017-04-18 2018-10-18 Infineon Technologies Ag Control system and method of memory access
CN107038125B (en) * 2017-04-25 2020-11-24 上海兆芯集成电路有限公司 Processor cache with independent pipeline to speed prefetch requests
US10511615B2 (en) * 2017-05-05 2019-12-17 Microsoft Technology Licensing, Llc Non-protocol specific system and method for classifying suspect IP addresses as sources of non-targeted attacks on cloud based machines
US10696899B2 (en) 2017-05-09 2020-06-30 International Business Machines Corporation Light emitting shell in multi-compartment microcapsules
US20180329837A1 (en) * 2017-05-10 2018-11-15 Qualcomm Incorporated Input/output direction decoding in mixed vgpio state exchange
CN108881120B (en) * 2017-05-12 2020-12-04 创新先进技术有限公司 Data processing method and device based on block chain
JP6834771B2 (en) * 2017-05-19 2021-02-24 富士通株式会社 Communication device and communication method
WO2018216994A2 (en) * 2017-05-23 2018-11-29 이명신 Multifunction device control apparatus, security paper multifunction device and security system using same, and control method thereof
US10900908B2 (en) 2017-05-24 2021-01-26 International Business Machines Corporation Chemiluminescence for tamper event detection
US10357921B2 (en) 2017-05-24 2019-07-23 International Business Machines Corporation Light generating microcapsules for photo-curing
TWI647551B (en) * 2017-05-26 2019-01-11 新唐科技股份有限公司 Communication device, communication system and operation method thereof
US10534546B2 (en) * 2017-06-13 2020-01-14 Western Digital Technologies, Inc. Storage system having an adaptive workload-based command processing clock
US10392452B2 (en) 2017-06-23 2019-08-27 International Business Machines Corporation Light generating microcapsules for self-healing polymer applications
US11124002B2 (en) 2017-06-23 2021-09-21 Hewlett-Packard Development Company, L.P. Partial printing fluid short detection
US10445500B2 (en) * 2017-06-28 2019-10-15 Arm Limited Reset attack detection
WO2019013760A1 (en) 2017-07-11 2019-01-17 Hewlett-Packard Development Company, L.P. Fluidic die with primitive size greater than or equal to evaluator subset
CN107484207B (en) * 2017-07-13 2020-06-30 燕山大学 Combined topology control and channel distribution load balancing method in wireless sensor network
US10268572B2 (en) * 2017-08-03 2019-04-23 Fujitsu Limited Interactive software program repair
US10362055B2 (en) * 2017-08-10 2019-07-23 Blue Jeans Network, Inc. System and methods for active brute force attack protection
RU2652450C1 (en) * 2017-08-18 2018-04-26 федеральное государственное автономное образовательное учреждение высшего образования "Северо-Кавказский федеральный университет" Device for calculation montgomery modular product
JP6992323B2 (en) * 2017-08-24 2022-01-13 コニカミノルタ株式会社 Image forming device and correction control program
CN107704730B (en) * 2017-09-15 2021-08-10 成都驰通数码系统有限公司 Self-encryption method for embedded software of electronic equipment
CN107491659B (en) * 2017-09-20 2022-03-15 上海联影医疗科技股份有限公司 Medical equipment system upgrading method and device
US10315419B2 (en) * 2017-09-22 2019-06-11 Eastman Kodak Company Method for assigning communication addresses
US10545844B2 (en) 2017-09-29 2020-01-28 Ricoh Company, Ltd. Print verification system that reports defective printheads
KR102366972B1 (en) * 2017-12-05 2022-02-24 삼성전자주식회사 Clock and data recovery device and method using current-controlled oscillator
JP6584487B2 (en) * 2017-12-20 2019-10-02 キヤノン株式会社 Information processing apparatus, control method thereof, and program
US10649656B2 (en) 2017-12-28 2020-05-12 Micron Technology, Inc. Techniques to update a trim parameter in non-volatile memory
CN108556483B (en) * 2018-01-17 2019-08-09 森大(深圳)技术有限公司 Modify method, apparatus, equipment and the medium of pre-press data compensation abnormal nozzle
CN108537344B (en) * 2018-02-01 2021-09-14 贵州电网有限责任公司 Secondary equipment intelligent operation and maintenance method based on closed-loop knowledge management
US11909860B1 (en) 2018-02-12 2024-02-20 Gemini Ip, Llc Systems, methods, and program products for loaning digital assets and for depositing, holding and/or distributing collateral as a token in the form of digital assets on an underlying blockchain
US11308487B1 (en) 2018-02-12 2022-04-19 Gemini Ip, Llc System, method and program product for obtaining digital assets
US11139955B1 (en) 2018-02-12 2021-10-05 Winklevoss Ip, Llc Systems, methods, and program products for loaning digital assets and for depositing, holding and/or distributing collateral as a token in the form of digital assets on an underlying blockchain
US10929842B1 (en) 2018-03-05 2021-02-23 Winklevoss Ip, Llc System, method and program product for depositing and withdrawing stable value digital assets in exchange for fiat
US11475442B1 (en) 2018-02-12 2022-10-18 Gemini Ip, Llc System, method and program product for modifying a supply of stable value digital asset tokens
US10438290B1 (en) 2018-03-05 2019-10-08 Winklevoss Ip, Llc System, method and program product for generating and utilizing stable value digital assets
US10373158B1 (en) 2018-02-12 2019-08-06 Winklevoss Ip, Llc System, method and program product for modifying a supply of stable value digital asset tokens
US11522700B1 (en) 2018-02-12 2022-12-06 Gemini Ip, Llc Systems, methods, and program products for depositing, holding and/or distributing collateral as a token in the form of digital assets on an underlying blockchain
US11200569B1 (en) 2018-02-12 2021-12-14 Winklevoss Ip, Llc System, method and program product for making payments using fiat-backed digital assets
US10540654B1 (en) 2018-02-12 2020-01-21 Winklevoss Ip, Llc System, method and program product for generating and utilizing stable value digital assets
US10373129B1 (en) 2018-03-05 2019-08-06 Winklevoss Ip, Llc System, method and program product for generating and utilizing stable value digital assets
CN108399075A (en) * 2018-02-28 2018-08-14 郑州云海信息技术有限公司 A kind of method and system of update management engine
US11334883B1 (en) 2018-03-05 2022-05-17 Gemini Ip, Llc Systems, methods, and program products for modifying the supply, depositing, holding and/or distributing collateral as a stable value token in the form of digital assets
WO2019172872A1 (en) * 2018-03-05 2019-09-12 Hewlett-Packard Development Company, L.P. Zonal actuator fault detection
WO2019172873A1 (en) * 2018-03-05 2019-09-12 Hewlett-Packard Development Company, L.P. Actuator fault indication via wires along busses
US10642951B1 (en) * 2018-03-07 2020-05-05 Xilinx, Inc. Register pull-out for sequential circuit blocks in circuit designs
US11712637B1 (en) 2018-03-23 2023-08-01 Steven M. Hoffberg Steerable disk or ball
CN108681458A (en) * 2018-03-23 2018-10-19 天津清智科技有限公司 A kind of hand-held programmer and its control method
JP7183559B2 (en) * 2018-03-30 2022-12-06 ブラザー工業株式会社 Printers and computer programs for printers
US10969467B1 (en) 2018-04-13 2021-04-06 Kwesst Inc. Programmable multi-waveform RF generator for use as battlefield decoy
US11096243B2 (en) 2018-04-13 2021-08-17 Kwesst Inc. Programmable multi-waveform RF generator for use as battlefield decoy
US11017078B2 (en) * 2018-04-24 2021-05-25 Microsoft Technology Licensing, Llc Environmentally-trained time dilation
US10965444B2 (en) 2018-04-24 2021-03-30 Microsoft Technology Licensing, Llc Mitigating timing attacks via dynamically triggered time dilation
US10785017B2 (en) 2018-04-24 2020-09-22 Microsoft Technology Licensing, Llc Mitigating timing attacks via dynamically scaled time dilation
WO2019207697A1 (en) 2018-04-25 2019-10-31 三菱電機株式会社 Information processing device, information processing method, and information processing program
US10700709B1 (en) * 2018-04-27 2020-06-30 Xilinx, Inc. Linear block code decoding
CN108804102B (en) * 2018-05-24 2022-02-22 武汉斗鱼网络科技有限公司 Method and system for expanding interface style of live broadcast room, server and storage medium
CN108874371B (en) * 2018-05-24 2022-02-22 武汉斗鱼网络科技有限公司 Method and system for extending style of live broadcast room, server and storage medium
US10892903B2 (en) * 2018-05-29 2021-01-12 Ememory Technology Inc. Communication system capable of preserving a chip-to-chip integrity
WO2019236079A1 (en) * 2018-06-06 2019-12-12 Hewlett-Packard Development Company, L.P. Extendable width adjustors
JP7137379B2 (en) * 2018-07-05 2022-09-14 Juki株式会社 Production system, management device, program
CN108985016A (en) * 2018-07-12 2018-12-11 江苏慧学堂系统工程有限公司 A kind of computer data information protective device
TW202010325A (en) * 2018-08-10 2020-03-01 華創車電技術中心股份有限公司 System and method for data processing of on-board-unit
US10838621B2 (en) * 2018-08-14 2020-11-17 Silicon Motion, Inc. Method and flash memory controller capable of avoiding inefficient memory block swap or inefficient garbage collection
US11165578B1 (en) 2018-08-16 2021-11-02 Pqsecure Technologies, Llc Efficient architecture and method for arithmetic computations in post-quantum cryptography
CN109191340A (en) * 2018-08-27 2019-01-11 芜湖新使命教育科技有限公司 A kind of Examinee identity verification system and verification method based on mobile terminal
US10942909B2 (en) * 2018-09-25 2021-03-09 Salesforce.Com, Inc. Efficient production and consumption for data changes in a database under high concurrency
EP3824382A4 (en) 2018-09-26 2022-03-16 Hewlett-Packard Development Company, L.P. Color pipeline
TWI668704B (en) * 2018-10-01 2019-08-11 大陸商深圳大心電子科技有限公司 Data management method and storage controller using the same
US11288405B2 (en) * 2018-10-25 2022-03-29 Hewlett-Packard Development Company, L.P. Integrated circuit(s) with anti-glitch canary circuit(s)
US11068598B2 (en) * 2018-11-01 2021-07-20 Dell Products L.P. Chassis internal device security
CN110764797A (en) * 2018-11-19 2020-02-07 哈尔滨安天科技集团股份有限公司 Method, device and system for upgrading file in chip and server
CN109615423B (en) 2018-11-29 2020-06-16 阿里巴巴集团控股有限公司 Service processing method and device
WO2020117195A1 (en) 2018-12-03 2020-06-11 Hewlett-Packard Development Company, L.P. Logic circuitry
US10894423B2 (en) 2018-12-03 2021-01-19 Hewlett-Packard Development Company, L.P. Logic circuitry
MX2021005993A (en) 2018-12-03 2021-07-06 Hewlett Packard Development Co Logic circuitry.
EP3718039B1 (en) 2018-12-03 2021-08-18 Hewlett-Packard Development Company, L.P. Logic circuitry
MX2021005988A (en) 2018-12-03 2021-07-06 Hewlett Packard Development Co Logic circuitry.
AU2018452257B2 (en) 2018-12-03 2022-12-01 Hewlett-Packard Development Company, L.P. Logic circuitry
AU2018452256B2 (en) 2018-12-03 2022-09-08 Hewlett-Packard Development Company, L.P. Logic circuitry
EP3688639B1 (en) 2018-12-03 2021-10-13 Hewlett-Packard Development Company, L.P. Logic circuitry package
US11338586B2 (en) 2018-12-03 2022-05-24 Hewlett-Packard Development Company, L.P. Logic circuitry
WO2020117776A1 (en) 2018-12-03 2020-06-11 Hewlett-Packard Development Company, L.P. Logic circuitry package
CN109379195B (en) * 2018-12-18 2021-04-30 深圳前海微众银行股份有限公司 Zero-knowledge proof circuit optimization method, device, equipment and readable storage medium
CN109697033B (en) * 2018-12-19 2022-01-07 中国人民解放军国防科技大学 Tile record disk sensing storage caching method and system
US11456891B2 (en) 2018-12-20 2022-09-27 Rolls-Royce North American Technologies Inc. Apparatus and methods for authenticating cyber secure control system configurations using distributed ledgers
US10585650B1 (en) * 2018-12-21 2020-03-10 Dspace Digital Signal Processing And Control Engineering Gmbh Method and system for generating program code
CN109710198B (en) * 2018-12-29 2020-12-25 森大(深圳)技术有限公司 Printing method, device and equipment for local dynamic variable image
WO2020141524A1 (en) 2018-12-31 2020-07-09 Stratasys Ltd. Method and system for improving color uniformity in inkjet printing
CN109885351B (en) * 2019-01-22 2021-09-28 飞天诚信科技股份有限公司 Multi-application smart card and method for establishing master-slave application relationship thereof
US11366689B2 (en) * 2019-02-26 2022-06-21 Nxp Usa, Inc. Hardware for supporting OS driven observation and anticipation based on more granular, variable sized observation units
US11144705B2 (en) 2019-03-21 2021-10-12 International Business Machines Corporation Cognitive multiple-level highlight contrasting for entities
CN110202940A (en) * 2019-05-06 2019-09-06 珠海艾派克微电子有限公司 Printing consumables, print cartridge and control method
US11356283B2 (en) * 2019-05-08 2022-06-07 Seagate Technology Llc Data storage using an encryption key with a time expiration associated therewith
US11036406B2 (en) * 2019-05-21 2021-06-15 International Business Machines Corporation Thermally aware memory management
EP3742295A1 (en) * 2019-05-23 2020-11-25 NXP USA, Inc. Automatic firmware rollback
CN110162052A (en) * 2019-05-27 2019-08-23 北京新能源汽车股份有限公司 Code generating method, system and the vehicle of automatic Pilot decision
US11210280B2 (en) * 2019-06-04 2021-12-28 Alibaba Group Holding Limited Systems and methods for fast bloom filter operations
WO2020251521A1 (en) * 2019-06-10 2020-12-17 Hewlett-Packard Development Company, L.P. Replacement-triggered software updates
CN110224789B (en) * 2019-06-10 2021-09-07 哈尔滨工业大学 Multi-mode HDLC controller based on FPGA
US11182486B2 (en) * 2019-06-11 2021-11-23 Sophos Limited Early boot driver for start-up detection of malicious code
US11501370B1 (en) 2019-06-17 2022-11-15 Gemini Ip, Llc Systems, methods, and program products for non-custodial trading of digital assets on a digital asset exchange
US11456877B2 (en) * 2019-06-28 2022-09-27 Intel Corporation Unified accelerator for classical and post-quantum digital signature schemes in computing environments
US11269999B2 (en) * 2019-07-01 2022-03-08 At&T Intellectual Property I, L.P. Protecting computing devices from malicious tampering
CN110362501B (en) * 2019-07-05 2021-09-24 北京大学 Apparatus and method for performing saturated addressing load and store operations
CN110347555B (en) * 2019-07-09 2021-10-01 英业达科技有限公司 Hard disk operation state determination method
TWI689736B (en) * 2019-07-11 2020-04-01 瑞昱半導體股份有限公司 Method of detecting correlation of pins of circuit and computer program product thereof
US11037613B2 (en) * 2019-07-17 2021-06-15 Micron Technology, Inc. Implementations to store fuse data in memory devices
CN112311718B (en) * 2019-07-24 2023-08-22 华为技术有限公司 Method, device, equipment and storage medium for detecting hardware
CN110413272B (en) * 2019-07-30 2023-10-13 广州市百果园信息技术有限公司 Front-end project construction method, device, storage medium and equipment
CN110610077B (en) * 2019-08-12 2021-05-11 深圳市国科亿道科技有限公司 Encryption and decryption method based on chip
US10957381B1 (en) * 2019-08-28 2021-03-23 Micron Technology, Inc. Metadata grouping for un-map techniques
CN110492964B (en) * 2019-08-29 2020-10-02 广东博智林机器人有限公司 CLOCK source synchronization device and method based on CLOCK BUFF
US11416435B2 (en) * 2019-09-03 2022-08-16 Pensando Systems Inc. Flexible datapath offload chaining
US10998075B2 (en) * 2019-09-11 2021-05-04 International Business Machines Corporation Built-in self-test for bit-write enabled memory arrays
US10971242B2 (en) 2019-09-11 2021-04-06 International Business Machines Corporation Sequential error capture during memory test
CN110531307B (en) * 2019-09-12 2021-09-21 宁波三星医疗电气股份有限公司 Debugging method and device of power acquisition terminal and power acquisition terminal
US11321457B2 (en) * 2019-09-16 2022-05-03 Nuvoton Technology Corporation Data-sampling integrity check by sampling using flip-flops with relative delay
US10642979B1 (en) * 2019-09-19 2020-05-05 Capital One Services, Llc System and method for application tamper discovery
CN110693486B (en) * 2019-09-27 2022-06-14 武汉中旗生物医疗电子有限公司 Electrocardiogram abnormity labeling method and device
CN110752977B (en) * 2019-10-11 2021-07-27 中国海洋大学 Abnormal intrusion detection method and device for CAN bus of Internet of vehicles
CN112671690B (en) * 2019-10-16 2022-08-30 中国电信股份有限公司 Streaming media communication method, device, system and storage medium
EP3844000B1 (en) 2019-10-25 2023-04-12 Hewlett-Packard Development Company, L.P. Logic circuitry package
US10831954B1 (en) * 2019-10-29 2020-11-10 International Business Machines Corporation Technology lookup table-based default assertion generation and consumption for timing closure of VLSI designs
WO2021086384A1 (en) * 2019-10-31 2021-05-06 Hewlett-Packard Development Company, L.P. Fluid delivery fault detection
US11288406B1 (en) * 2019-11-15 2022-03-29 The Charles Stark Draper Laboratory, Inc. Fast XOR interface with processor and memory
CN110753221B (en) * 2019-11-18 2021-04-27 中国科学院长春光学精密机械与物理研究所 Real-time correction system for serial image data training of CMOS image sensor
WO2021101539A1 (en) * 2019-11-20 2021-05-27 Hewlett-Packard Development Company, L.P. Electronic component having extra functionality mode
WO2021101567A1 (en) * 2019-11-22 2021-05-27 Hewlett-Packard Development Company, L.P. Determining printing fluid amounts
CN111147158A (en) * 2019-12-04 2020-05-12 杭州恒生数字设备科技有限公司 Method for shielding multi-frequency WIFI signal
US11775378B2 (en) * 2019-12-16 2023-10-03 Micron Technology, Inc. Memory health status reporting
CN111049604B (en) * 2019-12-16 2021-10-15 深圳市烽云技术有限公司 Wireless ad hoc network method and device based on auxiliary receiving channel
CN111221755B (en) * 2019-12-28 2020-11-10 重庆秦嵩科技有限公司 Io interrupt control method for FPGA2 submodule
TWI734326B (en) 2019-12-30 2021-07-21 新唐科技股份有限公司 Audio synchronization processing circuit and method thereof
CN111338984B (en) * 2020-02-25 2022-05-17 大唐半导体科技有限公司 Cache RAM and Retention RAM data high-speed exchange architecture and method thereof
CN111339001B (en) * 2020-03-09 2021-07-30 厦门润积集成电路技术有限公司 Low-power-consumption single bus communication method and system
US11080059B1 (en) * 2020-03-30 2021-08-03 Sandisk Technologies Llc Reducing firmware size and increasing firmware performance
KR20210133799A (en) * 2020-04-29 2021-11-08 삼성전자주식회사 Data transceiving system including clock and data recovery device and operating method thereof
US11282558B2 (en) * 2020-05-21 2022-03-22 Wuxi Petabyte Technologies Co., Ltd. Ferroelectric random-access memory with ROMFUSE area having redundant configuration wordlines
CN111722581B (en) * 2020-05-28 2021-10-22 国电南瑞科技股份有限公司 Method for improving communication transmission and data processing efficiency of PLC and upper computer
US11202085B1 (en) 2020-06-12 2021-12-14 Microsoft Technology Licensing, Llc Low-cost hash table construction and hash-based block matching for variable-size blocks
CN111693757A (en) * 2020-06-22 2020-09-22 索尔思光电(成都)有限公司 LD bias current detection method and circuit, and optical module
CN111787320B (en) * 2020-07-03 2022-02-08 北京博雅慧视智能技术研究院有限公司 Transform coding system and method
US20220021544A1 (en) * 2020-07-15 2022-01-20 Micron Technology, Inc. Secure Serial Peripheral Interface (SPI) Flash
WO2022019886A1 (en) * 2020-07-20 2022-01-27 Pqsecure Technologies, Llc An architecture and method for hybrid isogeny-based cryptosystems
CN111857307B (en) * 2020-07-30 2022-04-01 南京英锐创电子科技有限公司 Control device, control system and control method of power reset circuit
CN112002495B (en) * 2020-08-14 2022-08-19 李洪恩 Cable core shaping device
US11843667B2 (en) 2020-08-17 2023-12-12 Toyota Motor North America, Inc. Real time boot for secure distributed systems
EP4182794A1 (en) * 2020-09-11 2023-05-24 Google LLC Hardware-based save-and-restore controller
JP7362583B2 (en) * 2020-09-23 2023-10-17 株式会社東芝 information processing equipment
US20220100428A1 (en) * 2020-09-25 2022-03-31 Micron Technology, Inc. Frequency monitoring for memory devices
US11600362B2 (en) 2020-09-30 2023-03-07 International Business Machines Corporation Visually representing concepts and relationships on an electronic interface for delivered content
CN112291066B (en) * 2020-10-29 2022-02-01 中国科学院信息工程研究所 Data sending method, data receiving method, terminal equipment and electronic equipment
CN112463672A (en) * 2020-11-04 2021-03-09 贵州电网有限责任公司 Data transmission method, device and medium
US11783026B2 (en) * 2021-01-05 2023-10-10 Nuvoton Technology Corporation Processor with in-band fault-injection detection
CN112748791B (en) * 2021-01-19 2022-07-01 中国科学院微小卫星创新研究院 Satellite comprehensive electronic computer autonomous switching method
CN112995330B (en) * 2021-03-19 2021-10-01 北京北航天宇长鹰无人机科技有限公司 Transparent information extraction method and device for data
JP2024514178A (en) * 2021-04-16 2024-03-28 ブルックヘヴン サイエンス アソシエイツ リミテッド ライアビリティ カンパニー Event-driven readout system with non-priority arbitration for multichannel data sources
TWI805069B (en) * 2021-04-26 2023-06-11 財團法人工業技術研究院 High-frequency component test device and method thereof
EP4341094A1 (en) * 2021-05-21 2024-03-27 Hewlett-Packard Development Company, L.P. Page wide array print job interruptions
CN113296705B (en) * 2021-05-27 2022-09-27 浙江萤火虫区块链科技有限公司 Framework system for parallel computing Poseido Hash in Filecin
CN113553103B (en) * 2021-06-03 2022-09-23 中国人民解放军战略支援部队信息工程大学 Multi-core parallel scheduling method based on CPU + GPU heterogeneous processing platform
CN113255296A (en) * 2021-06-08 2021-08-13 北京翔东智能科技有限公司 Electronic contract classified storage safety management system
JP2023043534A (en) * 2021-09-16 2023-03-29 キオクシア株式会社 Measuring method, measuring apparatus, and mark
US20220004398A1 (en) * 2021-09-22 2022-01-06 Intel Corporation Integrated circuit package reconfiguration mechanism
CN113946313B (en) * 2021-10-12 2023-05-05 哲库科技(北京)有限公司 Processing circuit, chip and terminal of LOOKUP3 hash algorithm
US20230229336A1 (en) * 2022-01-20 2023-07-20 Dell Products L.P. Read-disturb-based read temperature time-based attenuation system
US11928354B2 (en) 2022-01-21 2024-03-12 Dell Products L.P. Read-disturb-based read temperature determination system
US11922035B2 (en) 2022-01-21 2024-03-05 Dell Products L.P. Read-disturb-based read temperature adjustment system
CN114565069A (en) * 2022-01-25 2022-05-31 国创移动能源创新中心(江苏)有限公司 Data transmission system of contact card and control method thereof
CN115080473B (en) * 2022-06-29 2023-11-21 海光信息技术股份有限公司 Multi-chip interconnection system and safe starting method based on same
CN116684221B (en) * 2023-08-02 2023-10-17 佛山冠湾智能科技有限公司 Time-sharing IO bus of integrative hardware modularization is driven in accuse

Family Cites Families (263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US554828A (en) * 1896-02-18 maldura
US583542A (en) * 1897-06-01 Corn-sheller
US635689A (en) * 1899-01-06 1899-10-24 Joseph D King Adjustable handle-bar.
US3654689A (en) * 1970-06-03 1972-04-11 Eis Automotive Corp Method and apparatus of assembling and disassembling hydraulic disc brake calipers
US4247913A (en) * 1979-05-10 1981-01-27 Hiniker Company Protection circuit for storage of volatile data
US4309602A (en) * 1979-11-01 1982-01-05 Eikonix Corportation Wavefront sensing by phase retrieval
JPS573164A (en) * 1980-06-04 1982-01-08 Nippon Denso Co Ltd Microcomputer control device
JPS58500820A (en) * 1981-05-27 1983-05-19 マステク、コ−パレイシヤン Integrated circuit operating method and integrated circuit operating power control circuit
FR2542540B1 (en) * 1983-03-08 1989-02-10 Canon Kk IMAGE PROCESSING SYSTEM
DE3401610A1 (en) * 1984-01-18 1985-07-18 Siemens AG, 1000 Berlin und 8000 München INTEGRATED SEMICONDUCTOR CIRCUIT WITH A RINGOSCILLATOR
US4644494A (en) * 1984-02-06 1987-02-17 Sundstrand Data Control, Inc. Solid state memory for aircraft flight data recorder systems
EP0165386B1 (en) * 1984-04-26 1989-11-29 Heidelberger Druckmaschinen Aktiengesellschaft Method and storage system for the storage of control data for press actuators
US4593384A (en) * 1984-12-21 1986-06-03 Ncr Corporation Security device for the secure storage of sensitive data
US4685056A (en) * 1985-06-11 1987-08-04 Pueblo Technologies, Inc. Computer security device
US4692903A (en) * 1985-07-15 1987-09-08 Zenith Electronics Corporation Memory loss protection circuit
US4683496A (en) * 1985-08-23 1987-07-28 The Analytic Sciences Corporation System for and method of enhancing images using multiband information
US4690555A (en) * 1985-11-01 1987-09-01 Hughes Aircraft Company Solid-state wavefront slope determination
WO1988001120A1 (en) * 1986-07-31 1988-02-11 Kabushiki Kaisya Advance System for generating a shared cryptographic key and a communication system using the shared cryptographic key
JPH0612616B2 (en) * 1986-08-13 1994-02-16 日本テキサス・インスツルメンツ株式会社 Semiconductor memory device
US4882686A (en) * 1987-06-22 1989-11-21 Eastman Kodak Company Printing apparatus with improved data formatting circuitry
US5138438A (en) * 1987-06-24 1992-08-11 Akita Electronics Co. Ltd. Lead connections means for stacked tab packaged IC chips
EP0304289A3 (en) * 1987-08-18 1991-03-13 Kabushiki Kaisha Toshiba Half-tone image reproduction method and apparatus
US4992827A (en) * 1987-12-28 1991-02-12 Canon Kabushiki Kaisha Image forming apparatus
JP2710943B2 (en) * 1988-02-26 1998-02-10 キヤノン株式会社 Inkjet printer
US4932232A (en) * 1988-05-20 1990-06-12 Alcan Aluminum Corporation Methods of detecting and correcting spray header malfunctions
CH678663A5 (en) * 1988-06-09 1991-10-15 Zeiss Carl Fa
US5031034A (en) * 1988-06-20 1991-07-09 Canon Kabushiki Kaisha Image forming and processing apparatus with identification of character portions of images
US5185717A (en) * 1988-08-05 1993-02-09 Ryoichi Mori Tamper resistant module having logical elements arranged in multiple layers on the outer surface of a substrate to protect stored information
US4924301A (en) * 1988-11-08 1990-05-08 Seecolor Corporation Apparatus and methods for digital halftoning
JP2563134B2 (en) * 1989-01-25 1996-12-11 日本電子株式会社 Scanning transmission type phase contrast electron microscope
US5172134A (en) * 1989-03-31 1992-12-15 Canon Kabushiki Kaisha Ink jet recording head, driving method for same and ink jet recording apparatus
US5212664A (en) * 1989-04-05 1993-05-18 Mitsubishi Denki Kabushiki Kaisha Information card with dual power detection signals to memory decoder
JPH02296410A (en) * 1989-05-11 1990-12-07 Mitsubishi Electric Corp Delay circuit
US4999575A (en) * 1989-09-25 1991-03-12 General Electric Company Power supply and monitor for controlling an electrical load following a power outage
US5305436A (en) * 1990-04-02 1994-04-19 Hewlett-Packard Company Hose bus video interface in personal computers
JPH0461096A (en) * 1990-06-29 1992-02-27 Matsushita Electric Ind Co Ltd Memory control device
US5091938B1 (en) * 1990-08-06 1997-02-04 Nippon Denki Home Electronics Digital data cryptographic system
US5327404A (en) * 1990-11-27 1994-07-05 Vlsi Technology, Inc. On-chip frequency trimming method for real-time clock
JP3039563B2 (en) * 1990-11-29 2000-05-08 株式会社日立製作所 Scanning electron microscope and scanning electron microscope method
US5309516A (en) * 1990-12-07 1994-05-03 Hitachi, Ltd. Group cipher communication method and group cipher communication system
US5193012A (en) * 1991-04-29 1993-03-09 Snap-Fax Corporation Real-time conversion of still-video to half-tone for hard copy output (such as on a facsimile machine)
JPH0548446A (en) 1991-08-09 1993-02-26 Sony Corp Semiconductor integrated circuit
US5198054A (en) * 1991-08-12 1993-03-30 Xerox Corporation Method of making compensated collinear reading or writing bar arrays assembled from subunits
JPH05217834A (en) * 1992-01-31 1993-08-27 Sharp Corp Layout method of lsi chip on mask
US5367375A (en) * 1992-02-07 1994-11-22 Hughes Aircraft Company Spatial wavefront evaluation by intensity relationship
EP0907142B1 (en) * 1992-04-02 2007-06-13 Kabushiki Kaisha Toshiba Memory card apparatus
JP3180494B2 (en) * 1992-04-17 2001-06-25 セイコーエプソン株式会社 Logic device
JPH06291994A (en) * 1992-08-10 1994-10-18 Ricoh Co Ltd Method and unit for processing picture
JP3221085B2 (en) * 1992-09-14 2001-10-22 富士ゼロックス株式会社 Parallel processing unit
US5315635A (en) * 1992-09-30 1994-05-24 Motorola, Inc. Reliable message communication system
KR950011655B1 (en) * 1992-10-31 1995-10-07 삼성전자주식회사 Channel & broadcasting station marked apparatus
US5457748A (en) * 1992-11-30 1995-10-10 Motorola, Inc. Method and apparatus for improved security within encrypted communication devices
US5440632A (en) * 1992-12-02 1995-08-08 Scientific-Atlanta, Inc. Reprogrammable subscriber terminal
US5363447A (en) * 1993-03-26 1994-11-08 Motorola, Inc. Method for loading encryption keys into secure transmission devices
US5784642A (en) * 1993-04-05 1998-07-21 Packard Bell Nec System for establishing a transfer mode between system controller and peripheral device
DE69328551T2 (en) 1993-05-24 2001-01-04 Hewlett Packard Co Processor reacting to environmental conditions to enable or block a delay in a process step
JP3254913B2 (en) * 1993-07-21 2002-02-12 セイコーエプソン株式会社 Control method of print head
US5511202A (en) * 1993-07-26 1996-04-23 International Business Machines Corporation Desktop computer system having zero-volt system suspend and control unit for ascertaining interrupt controller base address
US5420798A (en) * 1993-09-30 1995-05-30 Macronix International Co., Ltd. Supply voltage detection circuit
US5375089A (en) * 1993-10-05 1994-12-20 Advanced Micro Devices, Inc. Plural port memory system utilizing a memory having a read port and a write port
US5467327A (en) * 1993-12-22 1995-11-14 Jamail; Randall Method of masking data on a storage medium
US20020013898A1 (en) * 1997-06-04 2002-01-31 Sudia Frank W. Method and apparatus for roaming use of cryptographic values
JPH07210472A (en) * 1994-01-25 1995-08-11 Fujitsu Ltd I/o interface control method and computer system
EP0665673A3 (en) * 1994-02-01 1996-06-12 Dainippon Screen Mfg Method and apparatus for producing a halftone image using a threshold matrix.
US5603063A (en) * 1994-06-27 1997-02-11 Quantum Corporation Disk drive command queuing method using two memory devices for storing two types of commands separately first before queuing commands in the second memory device
JP2742220B2 (en) * 1994-09-09 1998-04-22 松下電器産業株式会社 Semiconductor storage device
US5587730A (en) * 1994-09-30 1996-12-24 Xerox Corporation Redundant full width array thermal ink jet printing for improved reliability
US5594839A (en) * 1994-10-17 1997-01-14 Seiko Epson Corporation Apparatus and method for improving black and color separation in halftoned images by printing black dots in a different screen phase
DE69533937T2 (en) * 1994-11-17 2005-06-30 Canon K.K. Transfer of shifted data to a color printer
KR960019415A (en) * 1994-11-23 1996-06-17 윤종용 Plasma display panel
US5581284A (en) * 1994-11-25 1996-12-03 Xerox Corporation Method of extending the life of a printbar of a color ink jet printer
JP3302847B2 (en) * 1994-12-02 2002-07-15 富士通株式会社 Storage device
US5633714A (en) * 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
KR0140481B1 (en) * 1994-12-31 1998-07-01 김주용 Data Signal Distribution Circuit of Synchronous Memory Device
US5719602A (en) * 1995-01-20 1998-02-17 Hewlett-Packard Company Controlling PWA inkjet nozzle timing as a function of media speed
US5581198A (en) * 1995-02-24 1996-12-03 Xilinx, Inc. Shadow DRAM for programmable logic devices
US5796416A (en) * 1995-04-12 1998-08-18 Eastman Kodak Company Nozzle placement in monolithic drop-on-demand print heads
JP2887836B2 (en) * 1995-04-27 1999-05-10 富士ゼロックス株式会社 Ink jet print head and image recording device
US5689565A (en) * 1995-06-29 1997-11-18 Microsoft Corporation Cryptography system and method for providing cryptographic services for a computer application
JPH09123437A (en) * 1995-08-28 1997-05-13 Seiko Epson Corp Ink jet printer and ink jet recording ink
US5675365A (en) * 1995-09-13 1997-10-07 Xerox Corporation Ejector activation scheduling system for an ink-jet printhead
US5606703A (en) * 1995-12-06 1997-02-25 International Business Machines Corporation Interrupt protocol system and method using priority-arranged queues of interrupt status block control data structures
US5619456A (en) * 1996-01-19 1997-04-08 Sgs-Thomson Microelectronics, Inc. Synchronous output circuit
JPH09212254A (en) * 1996-02-06 1997-08-15 Toshiba Corp Clock margin control device
US5805403A (en) * 1996-03-28 1998-09-08 3Com Ltd. Integrated circuit temperature monitoring and protection system
US5673316A (en) * 1996-03-29 1997-09-30 International Business Machines Corporation Creation and distribution of cryptographic envelope
US6371590B1 (en) * 1996-04-09 2002-04-16 Samsung Electronics Co., Ltd. Method for testing nozzles of an inkjet printer
US5661428A (en) * 1996-04-15 1997-08-26 Micron Technology, Inc. Frequency adjustable, zero temperature coefficient referencing ring oscillator circuit
US5915226A (en) * 1996-04-19 1999-06-22 Gemplus Card International Prepaid smart card in a GSM based wireless telephone network and method for operating prepaid cards
US5796313A (en) * 1996-04-25 1998-08-18 Waferscale Integration Inc. Low power programmable ring oscillator
EP0805050B1 (en) * 1996-05-02 2003-04-16 Ricoh Company, Ltd Image forming method and apparatus for rapidly fixing ink on a recording medium
US6113208A (en) * 1996-05-22 2000-09-05 Hewlett-Packard Company Replaceable cartridge for a printer including resident memory with stored message triggering data
US5796312A (en) * 1996-05-24 1998-08-18 Microchip Technology Incorporated Microcontroller with firmware selectable oscillator trimming
US6320782B1 (en) * 1996-06-10 2001-11-20 Kabushiki Kaisha Toshiba Semiconductor memory device and various systems mounting them
US5870267A (en) * 1996-07-25 1999-02-09 Konami Co., Ltd. Semiconductor integrated circuit device with overheating protector and method of protecting semiconductor integrated circuit against overheating
JP3411159B2 (en) * 1996-08-02 2003-05-26 株式会社日立製作所 Mobile computer support system
US5822758A (en) 1996-09-09 1998-10-13 International Business Machines Corporation Method and system for high performance dynamic and user programmable cache arbitration
US5935259A (en) * 1996-09-24 1999-08-10 Apple Computer, Inc. System and method for preventing damage to media files within a digital camera device
US5754567A (en) * 1996-10-15 1998-05-19 Micron Quantum Devices, Inc. Write reduction in flash memory systems through ECC usage
US6027195A (en) * 1996-11-12 2000-02-22 Varis Corporation System and method for synchronizing the piezoelectric clock sources of a plurality of ink jet printheads
JPH10163867A (en) * 1996-11-29 1998-06-19 Ricoh Co Ltd Clock generator and its production
US6168251B1 (en) * 1996-12-18 2001-01-02 Canon Kabushiki Kaisha Recording apparatus and method for correcting offset of recorded pixels
DE69731361T2 (en) * 1996-12-19 2005-10-27 Koninklijke Philips Electronics N.V. Portable electronic device with detector device of changes of a supply voltage
FR2757653B1 (en) * 1996-12-20 1999-03-12 Sextant Avionique SELF-CONTAINED INPUT AND OUTPUT CONTROLLER
US5754762A (en) * 1997-01-13 1998-05-19 Kuo; Chih-Cheng Secure multiple application IC card using interrupt instruction issued by operating system or application program to control operation flag that determines the operational mode of bi-modal CPU
US5920630A (en) * 1997-02-25 1999-07-06 United States Of America Method of public key cryptography that includes key escrow
US5987576A (en) * 1997-02-27 1999-11-16 Hewlett-Packard Company Method and apparatus for generating and distributing clock signals with minimal skew
US6283572B1 (en) * 1997-03-04 2001-09-04 Hewlett-Packard Company Dynamic multi-pass print mode corrections to compensate for malfunctioning inkjet nozzles
US6065113A (en) * 1997-03-07 2000-05-16 Texas Instruments Incorporated Circuits, systems, and methods for uniquely identifying a microprocessor at the instruction set level employing one-time programmable register
US6010205A (en) 1997-03-12 2000-01-04 Raster Graphics Inc. Method and apparatus for improved printing
AUPO799197A0 (en) * 1997-07-15 1997-08-07 Silverbrook Research Pty Ltd Image processing method and apparatus (ART01)
US6112265A (en) * 1997-04-07 2000-08-29 Intel Corportion System for issuing a command to a memory having a reorder module for priority commands and an arbiter tracking address of recently issued command
JPH10326493A (en) * 1997-05-23 1998-12-08 Ricoh Co Ltd Compounded flash memory device
US6064989A (en) * 1997-05-29 2000-05-16 Pitney Bowes Inc. Synchronization of cryptographic keys between two modules of a distributed system
US5841125A (en) * 1997-06-06 1998-11-24 Trw Inc. High energy laser focal sensor (HELFS)
US5896263A (en) * 1997-06-27 1999-04-20 Allen-Bradley Company, Llc Output circuit having electronic overload protection activated by voltage drop across output transistor
US6803989B2 (en) * 1997-07-15 2004-10-12 Silverbrook Research Pty Ltd Image printing apparatus including a microcontroller
US6702417B2 (en) * 1997-07-12 2004-03-09 Silverbrook Research Pty Ltd Printing cartridge with capacitive sensor identification
US6217165B1 (en) * 1997-07-15 2001-04-17 Silverbrook Research Pty. Ltd. Ink and media cartridge with axial ink chambers
AUPO794797A0 (en) * 1997-07-15 1997-08-07 Silverbrook Research Pty Ltd A device (MEMS07)
US7743262B2 (en) * 1997-07-15 2010-06-22 Silverbrook Research Pty Ltd Integrated circuit incorporating protection from power supply attacks
US6857724B2 (en) * 1997-07-15 2005-02-22 Silverbrook Research Pty Ltd Print assembly for a wide format pagewidth printer
AU8765098A (en) 1997-08-01 1999-03-08 Encad, Inc. Ink-jet printer, method and system compensating for nonfunctional print elements
US5942949A (en) * 1997-10-14 1999-08-24 Lucent Technologies Inc. Self-calibrating phase-lock loop with auto-trim operations for selecting an appropriate oscillator operating curve
US6219142B1 (en) * 1997-10-17 2001-04-17 Southwest Sciences Incorporated Method and apparatus for determining wave characteristics from wave phenomena
US6026492A (en) * 1997-11-06 2000-02-15 International Business Machines Corporation Computer system and method to disable same when network cable is removed
US6385728B1 (en) * 1997-11-26 2002-05-07 International Business Machines Corporation System, method, and program for providing will-call certificates for guaranteeing authorization for a printer to retrieve a file directly from a file server upon request from a client in a network computer system environment
US6314521B1 (en) * 1997-11-26 2001-11-06 International Business Machines Corporation Secure configuration of a digital certificate for a printer or other network device
JP3065053B2 (en) * 1998-01-06 2000-07-12 セイコーエプソン株式会社 Device monitoring system, local monitoring device, integrated monitoring device, device monitoring method, and computer-readable medium storing program
FI108827B (en) * 1998-01-08 2002-03-28 Nokia Corp A method for implementing connection security in a wireless network
US6145054A (en) * 1998-01-21 2000-11-07 Sun Microsystems, Inc. Apparatus and method for handling multiple mergeable misses in a non-blocking cache
US5983225A (en) * 1998-01-26 1999-11-09 Telenor As Parameterized lock management system and method for conditional conflict serializability of transactions
US6378072B1 (en) * 1998-02-03 2002-04-23 Compaq Computer Corporation Cryptographic system
JP3847970B2 (en) * 1998-04-14 2006-11-22 キヤノン株式会社 Print data processing apparatus, print data processing method, and recording medium
US5973968A (en) * 1998-04-30 1999-10-26 Medtronic, Inc. Apparatus and method for write protecting a programmable memory
US6297888B1 (en) * 1998-05-04 2001-10-02 Canon Kabushiki Kaisha Automatic alignment of print heads
US6154195A (en) * 1998-05-14 2000-11-28 S3 Incorporated System and method for performing dithering with a graphics unit having an oversampling buffer
US6481820B1 (en) 1998-05-25 2002-11-19 Konica Corporation Ink jet printer which can carry out high speed image formation and which can avoid image failure due to a defective nozzle
US6226098B1 (en) * 1998-06-11 2001-05-01 Nuworld Marketing, Ltd Printer appliance for use in a wireless system for broadcasting packets of information
US6246970B1 (en) * 1998-07-10 2001-06-12 Silverbrook Research Pty Ltd Method for making a chip tamper-resistant
US6816968B1 (en) * 1998-07-10 2004-11-09 Silverbrook Research Pty Ltd Consumable authentication protocol and system
US20020008723A1 (en) 1998-07-21 2002-01-24 Xin Wen Printer and method of compensating for malperforming and inoperative ink nozzles in a print head
JP3611177B2 (en) 1998-07-22 2005-01-19 セイコーエプソン株式会社 Inkjet recording apparatus and recording method
US6350004B1 (en) * 1998-07-29 2002-02-26 Lexmark International, Inc. Method and system for compensating for skew in an ink jet printer
US6241338B1 (en) * 1998-08-06 2001-06-05 Seiko Epson Corporation Dot printing using partial overlap scheme
JP4682421B2 (en) * 1998-08-31 2011-05-11 ソニー株式会社 Storage device, processing device, and processing method
EP0983855A3 (en) 1998-08-31 2000-08-02 Hewlett-Packard Company Dot substitution to compensate for failed ink jet nozzles
US6192349B1 (en) * 1998-09-28 2001-02-20 International Business Machines Corporation Smart card mechanism and method for obtaining electronic tickets for goods services over an open communications link
US6202101B1 (en) * 1998-09-30 2001-03-13 Compaq Computer Corporation System and method for concurrently requesting input/output and memory address space while maintaining order of data sent and returned therefrom
US6115303A (en) * 1998-10-09 2000-09-05 Micron Technology, Inc. Method and apparatus for testing memory devices
WO2000023279A1 (en) * 1998-10-16 2000-04-27 Silverbrook Research Pty. Limited Improvements relating to inkjet printers
AU760436B2 (en) * 1998-10-16 2003-05-15 Matsushita Electric Industrial Co., Ltd. Production protection system dealing with contents that are digital production
US6526484B1 (en) * 1998-11-16 2003-02-25 Infineon Technologies Ag Methods and apparatus for reordering of the memory requests to achieve higher average utilization of the command and data bus
JP4395943B2 (en) * 1998-11-26 2010-01-13 セイコーエプソン株式会社 Printing apparatus and information management method thereof
AUPP752398A0 (en) * 1998-12-04 1999-01-07 Collins, Lyal Sidney Secure multi-point data transfer system
US6294962B1 (en) * 1998-12-09 2001-09-25 Cypress Semiconductor Corp. Circuit(s), architecture and method(s) for operating and/or tuning a ring oscillator
TW460846B (en) * 1998-12-10 2001-10-21 Toshiba Corp Data recording media having certification information
US6354689B1 (en) 1998-12-22 2002-03-12 Eastman Kodak Company Method of compensating for malperforming nozzles in a multitone inkjet printer
US6418472B1 (en) * 1999-01-19 2002-07-09 Intel Corporation System and method for using internet based caller ID for controlling access to an object stored in a computer
US6601151B1 (en) * 1999-02-08 2003-07-29 Sun Microsystems, Inc. Apparatus and method for handling memory access requests in a data processing system
US6771385B1 (en) * 1999-03-03 2004-08-03 Konica Corporation Method of using a server connected with a network and a server system
US6753739B1 (en) * 1999-03-24 2004-06-22 Cypress Semiconductor Corp. Programmable oscillator scheme
US6191660B1 (en) * 1999-03-24 2001-02-20 Cypress Semiconductor Corp. Programmable oscillator scheme
JP2000286737A (en) * 1999-03-30 2000-10-13 Kokusai Electric Co Ltd Amplifier
US6587947B1 (en) * 1999-04-01 2003-07-01 Intel Corporation System and method for verification of off-chip processor code
US7002702B1 (en) * 1999-04-09 2006-02-21 Canon Kabushiki Kaisha Data processing apparatus and data processing method for controlling plural peripheral devices to provide function
US6775022B2 (en) * 1999-04-14 2004-08-10 Canon Kabushiki Kaisha Printer control based on head alignment
US6390579B1 (en) * 1999-04-15 2002-05-21 Hewlett-Packard Company Pulse width modulator using delay-line technology with automatic calibration of delays to desired operating frequency
AUPP996099A0 (en) * 1999-04-23 1999-05-20 Silverbrook Research Pty Ltd A method and apparatus(sprint01)
JP3389186B2 (en) * 1999-04-27 2003-03-24 松下電器産業株式会社 Semiconductor memory card and reading device
US6312074B1 (en) * 1999-04-30 2001-11-06 Hewlett-Packard Company Method and apparatus for detecting fluid level in a fluid container
US6269164B1 (en) * 1999-05-17 2001-07-31 Paul Pires Method of and system for encrypting messages
US7035812B2 (en) * 1999-05-28 2006-04-25 Overture Services, Inc. System and method for enabling multi-element bidding for influencing a position on a search result list generated by a computer network search engine
US6711677B1 (en) * 1999-07-12 2004-03-23 Hewlett-Packard Development Company, L.P. Secure printing method
US6947903B1 (en) * 1999-08-06 2005-09-20 Elcommerce.Com.Inc. Method and system for monitoring a supply-chain
US6757831B1 (en) * 1999-08-18 2004-06-29 Sun Microsystems, Inc. Logic block used to check instruction buffer configuration
US7124170B1 (en) * 1999-08-20 2006-10-17 Intertrust Technologies Corp. Secure processing unit systems and methods
US7093137B1 (en) * 1999-09-30 2006-08-15 Casio Computer Co., Ltd. Database management apparatus and encrypting/decrypting system
JP4497689B2 (en) * 1999-10-01 2010-07-07 キヤノン株式会社 Printing device, exchange unit, and memory unit
KR100429941B1 (en) * 1999-10-04 2004-05-03 세이코 엡슨 가부시키가이샤 Integrated circuit, ink cartridge, and ink-jet printer
JP2001162841A (en) * 1999-12-07 2001-06-19 Seiko Epson Corp Printing of parallel bidirectional printing or unidirectional printing for every type of ink
JP2001211154A (en) * 2000-01-25 2001-08-03 Murata Mach Ltd Secret key generating method, ciphering method, and cipher communication method
JP3587751B2 (en) * 2000-01-25 2004-11-10 村田機械株式会社 Common key generator, encryption communication method, encryption communication system, and recording medium
US6850337B1 (en) * 2000-01-31 2005-02-01 Hewlett-Packard Development Company, L.P. Methods and arrangement for providing and using printer configuration status information
US6757832B1 (en) * 2000-02-15 2004-06-29 Silverbrook Research Pty Ltd Unauthorized modification of values in flash memory
TW487639B (en) * 2000-02-15 2002-05-21 Acer Comm & Multimedia Inc Ink-jet printer having abnormal compensation function of nozzle
AUPQ595900A0 (en) * 2000-03-02 2000-03-23 Silverbrook Research Pty Ltd Modular printhead
FR2807245B1 (en) * 2000-03-30 2002-05-24 France Telecom METHOD FOR PROTECTING A CHIP FROM FRAUD
EP1202208A4 (en) * 2000-04-06 2006-04-12 Sony Corp Storage area dividing method for portable device
WO2001077837A1 (en) * 2000-04-11 2001-10-18 Mathis Richard M Method and apparatus for computer memory protection and verification
US7016898B1 (en) * 2000-04-14 2006-03-21 International Business Machines Corporation Extension of browser web page content labels and password checking to communications protocols
JP4387553B2 (en) * 2000-04-27 2009-12-16 キヤノン株式会社 Printing control apparatus and method and information processing apparatus and method
JP4681751B2 (en) * 2000-05-01 2011-05-11 キヤノン株式会社 Recording apparatus and recording method
JP2002033271A (en) * 2000-05-12 2002-01-31 Nikon Corp Projection exposure method, device manufacturing method using it, and projection aligner
US6545950B1 (en) * 2000-05-16 2003-04-08 Ericsson Inc. Methods, systems, wireless terminals, and computer program products for calibrating an electronic clock using a base reference signal and a non-continuous calibration reference signal having greater accuracy than the base reference signal
AUPQ766300A0 (en) 2000-05-22 2000-06-15 Canon Kabushiki Kaisha Defective nozzle compensation
US6859289B1 (en) * 2000-05-23 2005-02-22 Silverbrook Research Pty Ltd Print engine/controller with color mask
ATE344147T1 (en) * 2000-05-30 2006-11-15 Seiko Epson Corp ADJUSTING THE POINT POSITION SHIFT OF A PRINTER
US6807225B1 (en) * 2000-05-31 2004-10-19 Conexant Systems, Inc. Circuit and method for self trimming frequency acquisition
US6581111B1 (en) * 2000-06-02 2003-06-17 Advanced Micro Devices, Inc. Out-of-order probing in an in-order system
FR2810139B1 (en) * 2000-06-08 2002-08-23 Bull Cp8 METHOD FOR SECURING THE PRE-INITIALIZATION PHASE OF AN ON-BOARD ELECTRONIC CHIP SYSTEM, ESPECIALLY A CHIP CARD, AND ON-BOARD SYSTEM IMPLEMENTING THE METHOD
US6816750B1 (en) * 2000-06-09 2004-11-09 Cirrus Logic, Inc. System-on-a-chip
US6515304B1 (en) 2000-06-23 2003-02-04 International Business Machines Corporation Device for defeating reverse engineering of integrated circuits by optical means
AU5374100A (en) * 2000-06-30 2002-01-14 Silverbrook Res Pty Ltd Ink jet fault tolerance using extra ink dots
US6467870B2 (en) * 2000-07-21 2002-10-22 Fuji Photo Film Co., Ltd. Recording head
US6816923B1 (en) * 2000-07-31 2004-11-09 Webtv Networks, Inc. Arbitrating and servicing polychronous data requests in direct memory access
US6453196B1 (en) * 2000-08-07 2002-09-17 Cardiac Pacemakers, Inc. High frequency oscillator for implantable medical devices
US6445232B1 (en) * 2000-08-31 2002-09-03 Xilinx, Inc. Digital clock multiplier and divider with output waveform shaping
US6252471B1 (en) * 2000-09-29 2001-06-26 Motorola Inc. Programmable oscillator using magnetoresistive memory technology
US7273483B2 (en) * 2000-10-20 2007-09-25 Ethicon Endo-Surgery, Inc. Apparatus and method for alerting generator functions in an ultrasonic surgical system
US7360080B2 (en) * 2000-11-03 2008-04-15 International Business Machines Corporation Non-transferable anonymous credential system with optional anonymity revocation
KR100358919B1 (en) * 2000-11-18 2002-10-31 주식회사 메모리앤테스팅 Semiconductor testing using Master-slave technique
DE60035113T2 (en) * 2000-11-30 2008-02-07 Stmicroelectronics S.R.L., Agrate Brianza Circuit architecture for trimming integrated circuits
US6561627B2 (en) * 2000-11-30 2003-05-13 Eastman Kodak Company Thermal actuator
US6565174B2 (en) * 2000-12-15 2003-05-20 Hitachi Koki Co., Ltd. Ink jet recording device
JP2002215258A (en) * 2001-01-23 2002-07-31 Mitsubishi Electric Corp Semiconductor integrated circuit device
US6877833B2 (en) * 2001-01-31 2005-04-12 Canon Kabushiki Kaisha Printing data producing method for printing apparatus
JP2002254611A (en) * 2001-02-28 2002-09-11 Canon Inc Recording device and selection method for recording head performance data
EP1368897B1 (en) * 2001-03-03 2011-05-04 Optimum Power Technology, L.P. Apparatus and method for adjusting filter frequency in relation to sampling frequency
JP3578097B2 (en) * 2001-03-16 2004-10-20 日立プリンティングソリューションズ株式会社 Charge deflecting device and ink jet printer using the same
US6734538B1 (en) * 2001-04-12 2004-05-11 Bae Systems Information & Electronic Systems Integration, Inc. Article comprising a multi-layer electronic package and method therefor
US7203837B2 (en) * 2001-04-12 2007-04-10 Microsoft Corporation Methods and systems for unilateral authentication of messages
JP4065492B2 (en) * 2001-05-15 2008-03-26 キヤノン株式会社 Inkjet printing apparatus, inkjet printing method, program, and computer-readable storage medium storing the program
US7051332B2 (en) * 2001-05-21 2006-05-23 Cyberscan Technology, Inc. Controller having a restart engine configured to initiate a controller restart cycle upon receipt of a timeout signal from a watchdog timer
DE10125164C1 (en) * 2001-05-23 2003-01-16 Infineon Technologies Ag Semiconductor chip with trimmable oscillator
US6672697B2 (en) * 2001-05-30 2004-01-06 Eastman Kodak Company Compensation method for overlapping print heads of an ink jet printer
US7200759B2 (en) * 2001-06-08 2007-04-03 Safenet B.V. Method and device for making information contents of a volatile semiconductor memory irretrievable
FI114416B (en) * 2001-06-15 2004-10-15 Nokia Corp Method for securing the electronic device, the backup system and the electronic device
US6604808B2 (en) * 2001-07-03 2003-08-12 Lexmark International, Inc. Method for determining the skew of a printhead of a printer
US6559629B1 (en) * 2001-07-09 2003-05-06 Cygnal Integrated Products, Inc. Supply voltage monitor using bandgap device without feedback
US7313824B1 (en) * 2001-07-13 2007-12-25 Liquid Machines, Inc. Method for protecting digital content from unauthorized use by automatically and dynamically integrating a content-protection agent
US20030011040A1 (en) * 2001-07-13 2003-01-16 Motorola, Inc. Active feedback circuit for gain linearization
US7137000B2 (en) * 2001-08-24 2006-11-14 Zih Corp. Method and apparatus for article authentication
EP1293856A1 (en) * 2001-09-18 2003-03-19 EM Microelectronic-Marin SA Secure integrated circuit having confidential parts and a method for activating the circuit
TW532025B (en) * 2001-09-25 2003-05-11 Admtek Inc Data encryption and decryption method and apparatus therefor
US6741253B2 (en) * 2001-10-09 2004-05-25 Micron Technology, Inc. Embedded memory system and method including data error correction
US6595619B2 (en) * 2001-10-30 2003-07-22 Hewlett-Packard Development Company, L.P. Printing mechanism service station for a printbar assembly
US6650589B2 (en) * 2001-11-29 2003-11-18 Intel Corporation Low voltage operation of static random access memory
KR100454123B1 (en) * 2001-12-06 2004-10-26 삼성전자주식회사 Semiconductor integrated circuit devices and modules with the same
CN100431838C (en) * 2001-12-18 2008-11-12 索尼公司 Print head
US6925539B2 (en) * 2002-02-06 2005-08-02 Seagate Technology Llc Data transfer performance through resource allocation
US6829689B1 (en) * 2002-02-12 2004-12-07 Nvidia Corporation Method and system for memory access arbitration for minimizing read/write turnaround penalties
JP3967935B2 (en) * 2002-02-25 2007-08-29 株式会社日立製作所 Alignment accuracy measuring apparatus and method
US6820972B2 (en) * 2002-03-29 2004-11-23 Hewlett-Packard Development Company, L.P. Printing cartridge pigment replenishment apparatus and method
NL1020312C2 (en) * 2002-04-05 2003-10-07 Otb Groep B V Method and device for manufacturing a display, such as for example a polymeric OLED display, a display and a substrate for use in the method.
US6738788B1 (en) * 2002-04-17 2004-05-18 Icid, Llc Database system using a record key having some randomly positioned, non-deterministic bits
US6809606B2 (en) 2002-05-02 2004-10-26 Intel Corporation Voltage ID based frequency control for clock generating circuit
US6637860B1 (en) * 2002-05-13 2003-10-28 Creo Srl High throughput inkjet printer with provision for spot color printing
WO2003097361A2 (en) * 2002-05-14 2003-11-27 Wellspring Trust, An Oregon Charitable Trust High-speed, high-resolution color printing apparatus and method
US7149857B2 (en) * 2002-05-14 2006-12-12 Micron Technology, Inc. Out of order DRAM sequencer
JP3707558B2 (en) * 2002-08-26 2005-10-19 セイコーエプソン株式会社 Liquid jet head
US6764155B2 (en) * 2002-09-09 2004-07-20 Hewlett-Packard Development Company, L.P. System and method for compensating for non-functional ink cartridge ink jet nozzles
US6895475B2 (en) 2002-09-30 2005-05-17 Analog Devices, Inc. Prefetch buffer method and apparatus
US6778024B2 (en) * 2002-11-14 2004-08-17 Gennum Corporation Dynamically trimmed voltage controlled oscillator
US7818519B2 (en) * 2002-12-02 2010-10-19 Silverbrook Research Pty Ltd Timeslot arbitration scheme
US6819195B1 (en) * 2003-03-07 2004-11-16 Ami Semiconductor, Inc. Stimulated quick start oscillator
US7206928B2 (en) * 2003-06-03 2007-04-17 Digi International Inc. System boot method
JP2005049970A (en) * 2003-07-30 2005-02-24 Renesas Technology Corp Semiconductor integrated circuit
US6900675B2 (en) * 2003-09-02 2005-05-31 Standard Microsystems Corporation All digital PLL trimming circuit
JP4497877B2 (en) * 2003-09-24 2010-07-07 キヤノン株式会社 Recording device
US7444564B2 (en) 2003-11-19 2008-10-28 International Business Machines Corporation Automatic bit fail mapping for embedded memories with clock multipliers
KR100604871B1 (en) * 2004-06-17 2006-07-31 삼성전자주식회사 Complementary non-volatile memory device, methods of operating and manufacturing the same and logic device and semiconductor device comprising the same
US7071751B1 (en) * 2004-12-17 2006-07-04 Xilinx, Inc. Counter-controlled delay line

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110719250A (en) * 2018-07-13 2020-01-21 中国科学院沈阳自动化研究所 Powerlink industrial control protocol anomaly detection method based on PSO-SVDD
CN110719250B (en) * 2018-07-13 2021-07-06 中国科学院沈阳自动化研究所 Powerlink industrial control protocol anomaly detection method based on PSO-SVDD
CN109542059A (en) * 2018-11-19 2019-03-29 国核自仪系统工程有限公司 Historical data compression set and method
CN109542059B (en) * 2018-11-19 2022-04-01 国核自仪系统工程有限公司 Historical data compression device and method

Also Published As

Publication number Publication date
US7592829B2 (en) 2009-09-22
US20060071981A1 (en) 2006-04-06
US7328115B2 (en) 2008-02-05
US8038239B2 (en) 2011-10-18
US7278034B2 (en) 2007-10-02
US20100223453A1 (en) 2010-09-02
US20060071951A1 (en) 2006-04-06
US7302592B2 (en) 2007-11-27
US20080086655A1 (en) 2008-04-10
US20050182985A1 (en) 2005-08-18
US20040199786A1 (en) 2004-10-07
US7770008B2 (en) 2010-08-03
US20050166040A1 (en) 2005-07-28
US7360131B2 (en) 2008-04-15
US20040196320A1 (en) 2004-10-07
US20080117243A1 (en) 2008-05-22
US20060052962A1 (en) 2006-03-09
US7573301B2 (en) 2009-08-11
US7722146B2 (en) 2010-05-25
US20040189731A1 (en) 2004-09-30
US20090058903A1 (en) 2009-03-05
US7747887B2 (en) 2010-06-29
US7152942B2 (en) 2006-12-26
US20090125720A1 (en) 2009-05-14
US20080155826A1 (en) 2008-07-03
US7976116B2 (en) 2011-07-12
US20090273389A1 (en) 2009-11-05
US7188282B2 (en) 2007-03-06
US7818519B2 (en) 2010-10-19
US7121639B2 (en) 2006-10-17
US7181572B2 (en) 2007-02-20
US20050213761A1 (en) 2005-09-29
US20060214977A1 (en) 2006-09-28
US20050160316A1 (en) 2005-07-21
US20100039467A1 (en) 2010-02-18
US7171323B2 (en) 2007-01-30
US20090251502A1 (en) 2009-10-08
US7096137B2 (en) 2006-08-22
US20080150997A1 (en) 2008-06-26
US7805626B2 (en) 2010-09-28
US20080259711A1 (en) 2008-10-23
US7707621B2 (en) 2010-04-27
US7399043B2 (en) 2008-07-15
US20040243978A1 (en) 2004-12-02
US20040225881A1 (en) 2004-11-11
US20040181303A1 (en) 2004-09-16
CA2508141A1 (en) 2004-06-17
US7467839B2 (en) 2008-12-23
US7278697B2 (en) 2007-10-09
US20050188218A1 (en) 2005-08-25
US20060082609A1 (en) 2006-04-20
US20060259258A1 (en) 2006-11-16
US20040193880A1 (en) 2004-09-30
US20040183843A1 (en) 2004-09-23
US7377608B2 (en) 2008-05-27
US7540579B2 (en) 2009-06-02
US20040143710A1 (en) 2004-07-22
CA2508141C (en) 2009-11-03
US7800410B2 (en) 2010-09-21
US20090073196A1 (en) 2009-03-19
US20040227205A1 (en) 2004-11-18
US20040223010A1 (en) 2004-11-11
US20070006150A9 (en) 2007-01-04
US7610163B2 (en) 2009-10-27
EP1572463A4 (en) 2008-07-02
US7831827B2 (en) 2010-11-09
US7660998B2 (en) 2010-02-09
US20100238213A1 (en) 2010-09-23
US20080170093A1 (en) 2008-07-17
EP1572463A1 (en) 2005-09-14
US7783886B2 (en) 2010-08-24
DE60336677D1 (en) 2011-05-19
US8005636B2 (en) 2011-08-23
US20090284279A1 (en) 2009-11-19
US20100134541A1 (en) 2010-06-03
US20040249757A1 (en) 2004-12-09
US20060242496A1 (en) 2006-10-26
EP1572463B1 (en) 2011-04-06
US20110074850A1 (en) 2011-03-31
US7523111B2 (en) 2009-04-21
WO2004050369A1 (en) 2004-06-17
US7996880B2 (en) 2011-08-09
US20070211285A1 (en) 2007-09-13
US7747646B2 (en) 2010-06-29
US20040189355A1 (en) 2004-09-30
US20040221287A1 (en) 2004-11-04
US7611215B2 (en) 2009-11-03
US20050152596A1 (en) 2005-07-14
US7465005B2 (en) 2008-12-16
DK1572463T3 (en) 2011-07-25
US20040201939A1 (en) 2004-10-14
US20100010767A1 (en) 2010-01-14
ATE504446T1 (en) 2011-04-15
US20040201647A1 (en) 2004-10-14
US7165824B2 (en) 2007-01-23
US20050177633A1 (en) 2005-08-11

Similar Documents

Publication Publication Date Title
US7707621B2 (en) Creation and usage of mutually exclusive messages
US20040174570A1 (en) Variable size dither matrix usage

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
COP Corrected version of pamphlet

Free format text: PAGES 37-46, DESCRIPTION, REPLACED BY NEW PAGES 37-46; PAGES 237/331 AND 331/331, DRAWINGS, REPLACED BY NEW PAGES 237/331 AND 331/331

WWE Wipo information: entry into national phase

Ref document number: 2508141

Country of ref document: CA

WWE Wipo information: entry into national phase

Ref document number: 2003302611

Country of ref document: AU

WWE Wipo information: entry into national phase

Ref document number: 2003812106

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2003812106

Country of ref document: EP

NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP

WWG Wipo information: grant in national office

Ref document number: 2003302611

Country of ref document: AU