WO2005034216A1 - Masking structure including an amorphous carbon layer - Google Patents
Masking structure including an amorphous carbon layer Download PDFInfo
- Publication number
- WO2005034216A1 WO2005034216A1 PCT/US2004/029209 US2004029209W WO2005034216A1 WO 2005034216 A1 WO2005034216 A1 WO 2005034216A1 US 2004029209 W US2004029209 W US 2004029209W WO 2005034216 A1 WO2005034216 A1 WO 2005034216A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- layer
- cap layer
- carbon layer
- amoφhous carbon
- fluorine doped
- Prior art date
Links
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3081—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B12/00—Dynamic random access memory [DRAM] devices
- H10B12/01—Manufacture or treatment
- H10B12/02—Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
- H10B12/03—Making the capacitor or connections thereto
- H10B12/033—Making the capacitor or connections thereto the capacitor extending over the transistor
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Semiconductor Memories (AREA)
- Drying Of Semiconductors (AREA)
- Laminated Bodies (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
Description
Claims
Priority Applications (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2006526248A JP2007505498A (en) | 2003-09-12 | 2004-09-08 | Masking structure including an amorphous carbon layer |
EP04783451A EP1678748A1 (en) | 2003-09-12 | 2004-09-08 | Masking structure including an amorphous carbon layer |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/661,100 | 2003-09-12 | ||
US10/661,100 US7129180B2 (en) | 2003-09-12 | 2003-09-12 | Masking structure having multiple layers including an amorphous carbon layer |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2005034216A1 true WO2005034216A1 (en) | 2005-04-14 |
Family
ID=34273803
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2004/029209 WO2005034216A1 (en) | 2003-09-12 | 2004-09-08 | Masking structure including an amorphous carbon layer |
Country Status (7)
Country | Link |
---|---|
US (2) | US7129180B2 (en) |
EP (1) | EP1678748A1 (en) |
JP (1) | JP2007505498A (en) |
KR (1) | KR100869460B1 (en) |
CN (1) | CN100585808C (en) |
TW (1) | TWI261146B (en) |
WO (1) | WO2005034216A1 (en) |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7129180B2 (en) | 2003-09-12 | 2006-10-31 | Micron Technology, Inc. | Masking structure having multiple layers including an amorphous carbon layer |
US7132201B2 (en) | 2003-09-12 | 2006-11-07 | Micron Technology, Inc. | Transparent amorphous carbon structure in semiconductor devices |
JP2007088458A (en) * | 2005-09-09 | 2007-04-05 | Qimonda Ag | Transistor manufacturing method and memory device manufacturing method |
JP2007110077A (en) * | 2005-10-12 | 2007-04-26 | Hynix Semiconductor Inc | Method for forming contact hole of semiconductor device |
JP2008016837A (en) * | 2006-06-30 | 2008-01-24 | Hynix Semiconductor Inc | Method of forming contact plugs in semiconductor device |
JP2008227360A (en) * | 2007-03-15 | 2008-09-25 | Elpida Memory Inc | Method for manufacturing semiconductor device |
US7977035B2 (en) | 2006-09-08 | 2011-07-12 | Hynix Semiconductor Inc. | Method for forming fine pattern of semiconductor device |
Families Citing this family (30)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6939794B2 (en) * | 2003-06-17 | 2005-09-06 | Micron Technology, Inc. | Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device |
US7105431B2 (en) * | 2003-08-22 | 2006-09-12 | Micron Technology, Inc. | Masking methods |
US7109087B2 (en) * | 2003-10-03 | 2006-09-19 | Applied Materials, Inc. | Absorber layer for DSA processing |
WO2005036627A1 (en) * | 2003-10-03 | 2005-04-21 | Applied Materials, Inc. | Absorber layer for dynamic surface annealing processing |
US7115993B2 (en) * | 2004-01-30 | 2006-10-03 | Tokyo Electron Limited | Structure comprising amorphous carbon film and method of forming thereof |
US7115524B2 (en) * | 2004-05-17 | 2006-10-03 | Micron Technology, Inc. | Methods of processing a semiconductor substrate |
US7341906B2 (en) * | 2005-05-19 | 2008-03-11 | Micron Technology, Inc. | Method of manufacturing sidewall spacers on a memory device, and device comprising same |
US7364276B2 (en) * | 2005-09-16 | 2008-04-29 | Eastman Kodak Company | Continuous ink jet apparatus with integrated drop action devices and control circuitry |
KR100724568B1 (en) * | 2005-10-12 | 2007-06-04 | 삼성전자주식회사 | Semiconductor memory device and method of fabricating the same |
US20070087227A1 (en) * | 2005-10-14 | 2007-04-19 | Seagate Technology Llc | Granular magnetic recording media with improved corrosion resistance by cap layer + pre-covercoat etching |
KR100792402B1 (en) | 2005-12-28 | 2008-01-09 | 주식회사 하이닉스반도체 | Method for manufacturing semiconductor device with dual poly gate |
US7691499B2 (en) * | 2006-04-21 | 2010-04-06 | Seagate Technology Llc | Corrosion-resistant granular magnetic media with improved recording performance and methods of manufacturing same |
US20100155899A1 (en) * | 2006-05-01 | 2010-06-24 | Mitsubishi Chemical Corporation | Etching method, etching mask and method for manufacturing semiconductor device using the same |
US7807064B2 (en) * | 2007-03-21 | 2010-10-05 | Applied Materials, Inc. | Halogen-free amorphous carbon mask etch having high selectivity to photoresist |
US7553770B2 (en) * | 2007-06-06 | 2009-06-30 | Micron Technology, Inc. | Reverse masking profile improvements in high aspect ratio etch |
US7718546B2 (en) | 2007-06-27 | 2010-05-18 | Sandisk 3D Llc | Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon |
JP2009059804A (en) * | 2007-08-30 | 2009-03-19 | Elpida Memory Inc | Method of manufacturing semiconductor device and hard mask |
US7935618B2 (en) * | 2007-09-26 | 2011-05-03 | Micron Technology, Inc. | Sputtering-less ultra-low energy ion implantation |
US8298931B2 (en) * | 2007-09-28 | 2012-10-30 | Sandisk 3D Llc | Dual damascene with amorphous carbon for 3D deep via/trench application |
US8076229B2 (en) * | 2008-05-30 | 2011-12-13 | Micron Technology, Inc. | Methods of forming data cells and connections to data cells |
JP5411171B2 (en) * | 2010-02-05 | 2014-02-12 | 東京エレクトロン株式会社 | Method for forming a laminated structure including an amorphous carbon film |
TW201216331A (en) | 2010-10-05 | 2012-04-16 | Applied Materials Inc | Ultra high selectivity doped amorphous carbon strippable hardmask development and integration |
KR20130075158A (en) | 2011-12-27 | 2013-07-05 | 삼성전자주식회사 | Methods of manufacturing a semiconductor device |
CN104347392A (en) * | 2013-08-05 | 2015-02-11 | 中芯国际集成电路制造(上海)有限公司 | Patterning method |
JP2016153518A (en) * | 2015-02-20 | 2016-08-25 | 東京エレクトロン株式会社 | Film deposition method and film deposition apparatus of carbon film |
US9312064B1 (en) | 2015-03-02 | 2016-04-12 | Western Digital (Fremont), Llc | Method to fabricate a magnetic head including ion milling of read gap using dual layer hard mask |
US10418243B2 (en) | 2015-10-09 | 2019-09-17 | Applied Materials, Inc. | Ultra-high modulus and etch selectivity boron-carbon hardmask films |
CN110914330B (en) | 2017-07-21 | 2022-07-22 | 东丽株式会社 | Epoxy resin composition, prepreg, and fiber-reinforced composite material |
CN111954921A (en) * | 2018-04-09 | 2020-11-17 | 应用材料公司 | Carbon hardmask for patterning applications and associated methods |
US11049728B2 (en) * | 2018-10-31 | 2021-06-29 | Entegris, Inc. | Boron-doped amorphous carbon hard mask and related methods |
Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4975144A (en) * | 1988-03-22 | 1990-12-04 | Semiconductor Energy Laboratory Co., Ltd. | Method of plasma etching amorphous carbon films |
EP0531232A2 (en) * | 1991-08-26 | 1993-03-10 | Eastman Kodak Company | High durability mask for use in selective area, epitaxial regrowth of GaAs |
US5998100A (en) * | 1996-05-24 | 1999-12-07 | Kabushiki Kaisha Toshiba | Fabrication process using a multi-layer antireflective layer |
US6316329B1 (en) * | 1998-12-30 | 2001-11-13 | Nec Corporation | Forming a trench mask comprising a DLC and ASH protecting layer |
EP1154468A2 (en) * | 2000-02-17 | 2001-11-14 | Applied Materials, Inc. | Method of depositing an amorphous carbon layer |
US6331380B1 (en) * | 1997-12-12 | 2001-12-18 | Applied Materials, Inc. | Method of pattern etching a low K dielectric layer |
US20020086547A1 (en) * | 2000-02-17 | 2002-07-04 | Applied Materials, Inc. | Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask |
US6566757B1 (en) * | 1998-11-30 | 2003-05-20 | Intel Corporation | Stabilization of low dielectric constant film with in situ capping layer |
US6653735B1 (en) * | 2002-07-30 | 2003-11-25 | Advanced Micro Devices, Inc. | CVD silicon carbide layer as a BARC and hard mask for gate patterning |
WO2004012246A2 (en) * | 2002-07-31 | 2004-02-05 | Advanced Micro Devices, Inc. | Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication |
Family Cites Families (79)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6837A (en) * | 1849-10-30 | Improvement in electro-chemical telegraphs | ||
US86547A (en) * | 1869-02-02 | Improvement in rendering safes and other structures fire-proof | ||
US570316A (en) | 1896-10-27 | le blois | ||
US1778A (en) * | 1840-09-10 | Spark- arrester | ||
JPS58204534A (en) | 1982-05-24 | 1983-11-29 | Hitachi Ltd | Mask for x-ray lithography |
USH566H (en) * | 1985-12-04 | 1989-01-03 | The United States Of America As Represented By The United States Department Of Energy | Apparatus and process for deposition of hard carbon films |
US5514885A (en) * | 1986-10-09 | 1996-05-07 | Myrick; James J. | SOI methods and apparatus |
JPS63155145A (en) * | 1986-12-19 | 1988-06-28 | Seiko Instr & Electronics Ltd | Correcting method for white spot defect of mask |
JPH01154468A (en) * | 1987-12-11 | 1989-06-16 | Hitachi Ltd | Liquid fuel cell |
US6224952B1 (en) * | 1988-03-07 | 2001-05-01 | Semiconductor Energy Laboratory Co., Ltd. | Electrostatic-erasing abrasion-proof coating and method for forming the same |
US4971853A (en) * | 1988-05-04 | 1990-11-20 | Syracuse University | Laser directed chemical vapor deposition of transparent metal films |
IL88837A (en) * | 1988-12-30 | 1993-08-18 | Technion Res & Dev Foundation | Method for the preparation of mask for x-ray lithography |
KR950011563B1 (en) * | 1990-11-27 | 1995-10-06 | 가부시끼가이샤 도시바 | Manufacturing method of semiconductor device |
US5198263A (en) * | 1991-03-15 | 1993-03-30 | The United States Of America As Represented By The United States Department Of Energy | High rate chemical vapor deposition of carbon films using fluorinated gases |
US5324365A (en) * | 1991-09-24 | 1994-06-28 | Canon Kabushiki Kaisha | Solar cell |
US5369040A (en) * | 1992-05-18 | 1994-11-29 | Westinghouse Electric Corporation | Method of making transparent polysilicon gate for imaging arrays |
JP2530990B2 (en) * | 1992-10-15 | 1996-09-04 | 富士通株式会社 | Method of manufacturing thin film transistor matrix |
US5470661A (en) * | 1993-01-07 | 1995-11-28 | International Business Machines Corporation | Diamond-like carbon films from a hydrocarbon helium plasma |
US5358880A (en) * | 1993-04-12 | 1994-10-25 | Motorola, Inc. | Method of manufacturing closed cavity LED |
JP3256751B2 (en) * | 1993-05-06 | 2002-02-12 | 四国化工機株式会社 | Solid material transfer device |
US5346729A (en) * | 1993-05-17 | 1994-09-13 | Midwest Research Institute | Solar-induced chemical vapor deposition of diamond-type carbon films |
JPH0773909A (en) * | 1993-08-23 | 1995-03-17 | Ebara Res Co Ltd | Photoelectro chemical device |
US5431800A (en) * | 1993-11-05 | 1995-07-11 | The University Of Toledo | Layered electrodes with inorganic thin films and method for producing the same |
JP3441011B2 (en) * | 1994-03-18 | 2003-08-25 | 富士通株式会社 | Semiconductor device manufacturing method using amorphous carbon |
US6420095B1 (en) * | 1994-03-18 | 2002-07-16 | Fujitsu Limited | Manufacture of semiconductor device using A-C anti-reflection coating |
EP0687961B1 (en) * | 1994-05-26 | 2001-01-03 | Dai Nippon Printing Co., Ltd. | Printing plate and process for preparing the same |
US5566112A (en) * | 1994-08-10 | 1996-10-15 | Sgs-Thomson Microelectronics, Inc. | Apparatus and method for enabling a bus driver when a data signal is valid |
TW366367B (en) * | 1995-01-26 | 1999-08-11 | Ibm | Sputter deposition of hydrogenated amorphous carbon film |
US6128700A (en) * | 1995-05-17 | 2000-10-03 | Monolithic System Technology, Inc. | System utilizing a DRAM array as a next level cache memory and method for operating same |
US5669644A (en) * | 1995-11-13 | 1997-09-23 | Kokusai Electric Co., Ltd. | Wafer transfer plate |
US5700316A (en) * | 1996-03-29 | 1997-12-23 | Xerox Corporation | Acoustic ink compositions |
AU2903097A (en) * | 1996-05-11 | 1997-12-05 | Victoria University Of Manchester, The | Photorefractive composite |
JP3408074B2 (en) * | 1996-09-06 | 2003-05-19 | キヤノン株式会社 | Roof material integrated solar cell and method of construction |
US5800878A (en) * | 1996-10-24 | 1998-09-01 | Applied Materials, Inc. | Reducing hydrogen concentration in pecvd amorphous silicon carbide films |
JP3327811B2 (en) * | 1997-05-13 | 2002-09-24 | キヤノン株式会社 | Method for producing zinc oxide thin film, photovoltaic element and semiconductor element substrate using the same |
JPH1146006A (en) * | 1997-07-25 | 1999-02-16 | Canon Inc | Photovoltaic element and manufacture thereof |
US6035803A (en) * | 1997-09-29 | 2000-03-14 | Applied Materials, Inc. | Method and apparatus for controlling the deposition of a fluorinated carbon film |
US6624064B1 (en) * | 1997-10-10 | 2003-09-23 | Applied Materials, Inc. | Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application |
US6211065B1 (en) * | 1997-10-10 | 2001-04-03 | Applied Materials, Inc. | Method of depositing and amorphous fluorocarbon film using HDP-CVD |
US6323119B1 (en) * | 1997-10-10 | 2001-11-27 | Applied Materials, Inc. | CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application |
EP0915523A3 (en) * | 1997-10-29 | 2005-11-02 | Canon Kabushiki Kaisha | A photovoltaic element having a back side transparent and electrically conductive layer with a light incident side surface region having a specific cross section and a module comprising said photovoltaic element |
US6291334B1 (en) * | 1997-12-19 | 2001-09-18 | Applied Materials, Inc. | Etch stop layer for dual damascene process |
US6627532B1 (en) * | 1998-02-11 | 2003-09-30 | Applied Materials, Inc. | Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition |
US6262450B1 (en) * | 1998-04-22 | 2001-07-17 | International Business Machines Corporation | DRAM stack capacitor with vias and conductive connection extending from above conductive lines to the substrate |
JP3763667B2 (en) * | 1998-04-23 | 2006-04-05 | 株式会社東芝 | Semiconductor light emitting device |
JPH11307782A (en) * | 1998-04-24 | 1999-11-05 | Semiconductor Energy Lab Co Ltd | Semiconductor device and its manufacture |
JP3884564B2 (en) * | 1998-05-20 | 2007-02-21 | 出光興産株式会社 | Organic EL light emitting device and light emitting device using the same |
ATA119098A (en) * | 1998-07-09 | 1999-05-15 | Ims Ionen Mikrofab Syst | METHOD FOR PRODUCING A CARBON FILM ON A SUBSTRATE |
US6281100B1 (en) * | 1998-09-03 | 2001-08-28 | Micron Technology, Inc. | Semiconductor processing methods |
US6140652A (en) * | 1998-09-09 | 2000-10-31 | Intersil Corporation | Device containing sample preparation sites for transmission electron microscopic analysis and processes of formation and use |
US6394109B1 (en) * | 1999-04-13 | 2002-05-28 | Applied Materials, Inc. | Method and apparatus for removing carbon contamination in a sub-atmospheric charged particle beam lithography system |
US6447891B1 (en) * | 1999-05-03 | 2002-09-10 | Guardian Industries Corp. | Low-E coating system including protective DLC |
US6821571B2 (en) * | 1999-06-18 | 2004-11-23 | Applied Materials Inc. | Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers |
US6423384B1 (en) * | 1999-06-25 | 2002-07-23 | Applied Materials, Inc. | HDP-CVD deposition of low dielectric constant amorphous carbon film |
US6508911B1 (en) * | 1999-08-16 | 2003-01-21 | Applied Materials Inc. | Diamond coated parts in a plasma reactor |
US6313896B1 (en) * | 1999-08-31 | 2001-11-06 | International Business Machines Corporation | Method for forming a multi-domain alignment layer for a liquid crystal display device |
US6300631B1 (en) * | 1999-10-07 | 2001-10-09 | Lucent Technologies Inc. | Method of thinning an electron transparent thin film membrane on a TEM grid using a focused ion beam |
US6875687B1 (en) * | 1999-10-18 | 2005-04-05 | Applied Materials, Inc. | Capping layer for extreme low dielectric constant films |
JP4467692B2 (en) * | 1999-12-22 | 2010-05-26 | 株式会社半導体エネルギー研究所 | Solar cell and manufacturing method thereof |
KR20010059284A (en) | 1999-12-30 | 2001-07-06 | 박종섭 | A method for forming a capacitor of a semiconductor device |
JP2001223384A (en) * | 2000-02-08 | 2001-08-17 | Toshiba Corp | Semiconductor light-emitting element |
US6795636B1 (en) * | 2000-03-05 | 2004-09-21 | 3M Innovative Properties Company | Radiation-transmissive films on glass articles |
JP2002194547A (en) | 2000-06-08 | 2002-07-10 | Applied Materials Inc | Method of depositing amorphous carbon layer |
US20020003239A1 (en) * | 2000-06-28 | 2002-01-10 | Motorola, Inc. | Semiconductor structure and device including a carbon film and method of forming the same |
US6551941B2 (en) * | 2001-02-22 | 2003-04-22 | Applied Materials, Inc. | Method of forming a notched silicon-containing gate structure |
DE10153310A1 (en) | 2001-10-29 | 2003-05-22 | Infineon Technologies Ag | Photolithographic structuring process with a carbon hard mask layer produced by a plasma-assisted deposition process with diamond-like hardness |
US6541397B1 (en) * | 2002-03-29 | 2003-04-01 | Applied Materials, Inc. | Removable amorphous carbon CMP stop |
US20030198814A1 (en) * | 2002-04-23 | 2003-10-23 | 3M Innovative Properties Company | Retroreflective sheeting comprising thin continuous hardcoat |
US6951709B2 (en) * | 2002-05-03 | 2005-10-04 | Micron Technology, Inc. | Method of fabricating a semiconductor multilevel interconnect structure |
US6780753B2 (en) * | 2002-05-31 | 2004-08-24 | Applied Materials Inc. | Airgap for semiconductor devices |
US6864556B1 (en) * | 2002-07-31 | 2005-03-08 | Advanced Micro Devices, Inc. | CVD organic polymer film for advanced gate patterning |
US6884733B1 (en) * | 2002-08-08 | 2005-04-26 | Advanced Micro Devices, Inc. | Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation |
US6875664B1 (en) * | 2002-08-29 | 2005-04-05 | Advanced Micro Devices, Inc. | Formation of amorphous carbon ARC stack having graded transition between amorphous carbon and ARC material |
US6803313B2 (en) * | 2002-09-27 | 2004-10-12 | Advanced Micro Devices, Inc. | Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes |
US6649469B1 (en) * | 2002-10-11 | 2003-11-18 | Micron Technology, Inc. | Methods of forming capacitors |
US6787452B2 (en) * | 2002-11-08 | 2004-09-07 | Chartered Semiconductor Manufacturing Ltd. | Use of amorphous carbon as a removable ARC material for dual damascene fabrication |
US6825114B1 (en) * | 2003-04-28 | 2004-11-30 | Advanced Micro Devices, Inc. | Selective stress-inducing implant and resulting pattern distortion in amorphous carbon patterning |
US7132201B2 (en) * | 2003-09-12 | 2006-11-07 | Micron Technology, Inc. | Transparent amorphous carbon structure in semiconductor devices |
US7129180B2 (en) | 2003-09-12 | 2006-10-31 | Micron Technology, Inc. | Masking structure having multiple layers including an amorphous carbon layer |
-
2003
- 2003-09-12 US US10/661,100 patent/US7129180B2/en not_active Expired - Lifetime
-
2004
- 2004-09-07 TW TW093126978A patent/TWI261146B/en active
- 2004-09-08 EP EP04783451A patent/EP1678748A1/en not_active Withdrawn
- 2004-09-08 CN CN200480033277A patent/CN100585808C/en active Active
- 2004-09-08 KR KR1020067006752A patent/KR100869460B1/en active IP Right Grant
- 2004-09-08 WO PCT/US2004/029209 patent/WO2005034216A1/en active Search and Examination
- 2004-09-08 JP JP2006526248A patent/JP2007505498A/en not_active Abandoned
-
2005
- 2005-08-30 US US11/215,671 patent/US7341957B2/en not_active Expired - Lifetime
Patent Citations (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4975144A (en) * | 1988-03-22 | 1990-12-04 | Semiconductor Energy Laboratory Co., Ltd. | Method of plasma etching amorphous carbon films |
EP0531232A2 (en) * | 1991-08-26 | 1993-03-10 | Eastman Kodak Company | High durability mask for use in selective area, epitaxial regrowth of GaAs |
US5998100A (en) * | 1996-05-24 | 1999-12-07 | Kabushiki Kaisha Toshiba | Fabrication process using a multi-layer antireflective layer |
US6331380B1 (en) * | 1997-12-12 | 2001-12-18 | Applied Materials, Inc. | Method of pattern etching a low K dielectric layer |
US6566757B1 (en) * | 1998-11-30 | 2003-05-20 | Intel Corporation | Stabilization of low dielectric constant film with in situ capping layer |
US6316329B1 (en) * | 1998-12-30 | 2001-11-13 | Nec Corporation | Forming a trench mask comprising a DLC and ASH protecting layer |
EP1154468A2 (en) * | 2000-02-17 | 2001-11-14 | Applied Materials, Inc. | Method of depositing an amorphous carbon layer |
US20020086547A1 (en) * | 2000-02-17 | 2002-07-04 | Applied Materials, Inc. | Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask |
US6653735B1 (en) * | 2002-07-30 | 2003-11-25 | Advanced Micro Devices, Inc. | CVD silicon carbide layer as a BARC and hard mask for gate patterning |
WO2004012246A2 (en) * | 2002-07-31 | 2004-02-05 | Advanced Micro Devices, Inc. | Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication |
Non-Patent Citations (1)
Title |
---|
SHIEH J-M ET AL: "CHARACTERISTICS OF FLUORINATED AMORPHOUS CARBON FILMS AND IMPLEMENTATION OF 0.15 MUM CU/A-C:F DAMASCENE INTERCONNECTION", JOURNAL OF VACUUM SCIENCE AND TECHNOLOGY: PART B, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 19, no. 3, May 2001 (2001-05-01), pages 780 - 787, XP001179886, ISSN: 1071-1023 * |
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7129180B2 (en) | 2003-09-12 | 2006-10-31 | Micron Technology, Inc. | Masking structure having multiple layers including an amorphous carbon layer |
US7132201B2 (en) | 2003-09-12 | 2006-11-07 | Micron Technology, Inc. | Transparent amorphous carbon structure in semiconductor devices |
US7220683B2 (en) | 2003-09-12 | 2007-05-22 | Micron Technology, Inc. | Transparent amorphous carbon structure in semiconductor devices |
US7298024B2 (en) | 2003-09-12 | 2007-11-20 | Micron Technology, Inc. | Transparent amorphous carbon structure in semiconductor devices |
JP2007088458A (en) * | 2005-09-09 | 2007-04-05 | Qimonda Ag | Transistor manufacturing method and memory device manufacturing method |
JP2007110077A (en) * | 2005-10-12 | 2007-04-26 | Hynix Semiconductor Inc | Method for forming contact hole of semiconductor device |
JP2008016837A (en) * | 2006-06-30 | 2008-01-24 | Hynix Semiconductor Inc | Method of forming contact plugs in semiconductor device |
US7977035B2 (en) | 2006-09-08 | 2011-07-12 | Hynix Semiconductor Inc. | Method for forming fine pattern of semiconductor device |
JP2008227360A (en) * | 2007-03-15 | 2008-09-25 | Elpida Memory Inc | Method for manufacturing semiconductor device |
Also Published As
Publication number | Publication date |
---|---|
CN1879196A (en) | 2006-12-13 |
TWI261146B (en) | 2006-09-01 |
KR20060083216A (en) | 2006-07-20 |
CN100585808C (en) | 2010-01-27 |
US20060001175A1 (en) | 2006-01-05 |
US7129180B2 (en) | 2006-10-31 |
US20050056940A1 (en) | 2005-03-17 |
EP1678748A1 (en) | 2006-07-12 |
KR100869460B1 (en) | 2008-11-19 |
JP2007505498A (en) | 2007-03-08 |
TW200517771A (en) | 2005-06-01 |
US7341957B2 (en) | 2008-03-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7129180B2 (en) | Masking structure having multiple layers including an amorphous carbon layer | |
US7132201B2 (en) | Transparent amorphous carbon structure in semiconductor devices | |
EP0540321B1 (en) | A method for fabricating an interlayer-dielectric film of BPSG in a semiconductor device | |
US5710067A (en) | Silicon oxime film | |
KR100562212B1 (en) | Hard etch mask | |
US6515350B1 (en) | Protective conformal silicon nitride films and spacers | |
US20230018973A1 (en) | Method for manufacturing semiconductor structure | |
US5552344A (en) | Non-etchback self-aligned via size reduction method employing ozone assisted chemical vapor deposited silicon oxide | |
US20040048441A1 (en) | Vertical hard mask | |
KR100626928B1 (en) | Method for forming a silicide gate stack for use in a self-aligned contact etch | |
KR20000004349A (en) | Method for manufacturing semiconductor device | |
Voshchenkov | Plasma etching: An enabling technology for gigahertz silicon integrated circuits | |
US5930659A (en) | Forming minimal size spaces in integrated circuit conductive lines | |
KR100360150B1 (en) | Method for forming capacitor of semiconductor device | |
KR100240891B1 (en) | Lower electrode fabricating method for capacitor of semiconductor device | |
Kim et al. | Plasma enhanced chemical vapor deposition Si-rich silicon oxynitride films for advanced self-aligned contact oxide etching in sub-0.25 μm ultralarge scale integration technology and beyond | |
KR100419879B1 (en) | Manufacturing method of semiconductor device | |
US20030124795A1 (en) | Method of forming a polysilicon to polysilicon capacitor | |
KR20000033153A (en) | Method for manufacturing semiconductor device | |
KR20040065035A (en) | Method for forming plug in semiconductor device | |
KR20060063978A (en) | Oxide-nitride stack gate dielectric |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
WWE | Wipo information: entry into national phase |
Ref document number: 200480033277.9 Country of ref document: CN |
|
AK | Designated states |
Kind code of ref document: A1 Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW |
|
AL | Designated countries for regional patents |
Kind code of ref document: A1 Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application | ||
WWE | Wipo information: entry into national phase |
Ref document number: 2006526248 Country of ref document: JP |
|
WWE | Wipo information: entry into national phase |
Ref document number: 1020067006752 Country of ref document: KR |
|
WWE | Wipo information: entry into national phase |
Ref document number: 2004783451 Country of ref document: EP |
|
DPEN | Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101) | ||
WWP | Wipo information: published in national office |
Ref document number: 2004783451 Country of ref document: EP |
|
WWP | Wipo information: published in national office |
Ref document number: 1020067006752 Country of ref document: KR |