WO2005042658A1 - Abrasive-free che.mical mechanical polishing composition and polishing process containing same - Google Patents

Abrasive-free che.mical mechanical polishing composition and polishing process containing same Download PDF

Info

Publication number
WO2005042658A1
WO2005042658A1 PCT/US2004/034563 US2004034563W WO2005042658A1 WO 2005042658 A1 WO2005042658 A1 WO 2005042658A1 US 2004034563 W US2004034563 W US 2004034563W WO 2005042658 A1 WO2005042658 A1 WO 2005042658A1
Authority
WO
WIPO (PCT)
Prior art keywords
hydroxylamine
composition
mechanical polishing
metal
chemical mechanical
Prior art date
Application number
PCT/US2004/034563
Other languages
French (fr)
Inventor
Li Yao
Robert J. Small
Original Assignee
Dupont Air Products Nanomaterials Llc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dupont Air Products Nanomaterials Llc filed Critical Dupont Air Products Nanomaterials Llc
Publication of WO2005042658A1 publication Critical patent/WO2005042658A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Definitions

  • This invention relates to an improved composition and process for the chemical mechanical polishing or planarization of semiconductor wafers. More particularly, it relates to such a composition and process tailored to meet more stringent requirements of advanced integrated circuit fabrication.
  • CMP Chemical mechanical polishing (or planarization)
  • ILD inter-layer dielectrics
  • MLM multilayered metal
  • the National Technology Roadmap for the Semiconductor Industries (1994) indicates that the current computer chips with 0.35 micron feature sizes will be reduced to 0.18 micron feature size in 2001.
  • the DRAM chip will have a memory of 1 gigabit, and a typical CPU will have 13 million transistors/cm 2 (currently they only contain 4 million).
  • the number of metal layers (the "wires") will increase f om the current 2-3 to 5-6 and the operating frequency, which is currently 200 MHZ, will increase to 500 MHZ. This will increase the need for a three dimensional construction on the wafer chip to reduce delays of the electrical signals.
  • the CMP process would appear to be the simple rotation of a wafer on a rotary platen in the presence of a polishing medium and a polishing pad that grinds (chips away) the surface material.
  • the CMP process is actually considered to be a two part mechanism: step one consists of chemically modifying the surface of the material and then in the final step the altered material is removed by mechanical grinding.
  • the challenge of the process is to control the chemical attack of the substrate and the rate of the grinding and yet maintain a high selectivity (preference) for removing the offending wafer features without significant damage to the desired features.
  • the CMP process is very much like a controlled corrosion process.
  • EKC electronic Chemography
  • Some of these compositions and processes are also useful for removing photoresist, polyimide, or other polymeric layers from substrates in integrated circuit fabrication, and EKC has also developed a variety of compositions and processes specifically for removing such polymeric layers from substrates in integrated circuit fabrication. Additionally, EKC has developed a variety of compositions and processes to selectively remove specific substrate compositions from a substrate surface at a controlled rate. Such compositions and processes are disclosed in the following commonly assigned issued patents:
  • Ethylenediaminetetraacetic acid or its ammonium salt semiconductor process residue removal process
  • Stripping compositions comprising hydroxylamine and alkanolamine
  • Triamine positive photoresist stripping composition and prebaking process Triamine positive photoresist stripping composition and prebaking process
  • Hydroxylamme (HA) formulations have been found to be useful in the removal of substrate, for example as an etchant used in chemical-mechanical etching processes, as described in U.S. Patent Nos. 6,313,039; 6,251,150; and 6,117,783.
  • Hydroxylamine formulations have also been useful in removing photoresists, such as is found in U.S. Patent Nos. 5,279,771 and 5,381,807, which describe formulations containing hydroxylamine, an alkanolamine, and optionally a! polar organic solvent.
  • Hydroxylamine formulations have also been useful in removing etching residue, such as is found in U.S. Patent No. 5,334,332, which describes a formulation containing hydroxylamine, an alkanolamine, water, and a chelating agent. Hydroxylamine-containing formulations designed to remove residues are known to be aggressive to metals, particularly to titanium film and under more aggressive process conditions to aluminum film.
  • the attack of titanium can be moderated by using different chelator, e.g., such as disclosed in
  • U.S. Patent No. 6,276,372 and /or by selecting a class of alkanolamine with 2-carbon linkage(s), which is disclosed, e.g., in U.S. Patent No. 6,121,217.
  • other formulations include those disclosed in: U.S. Patent Nos. 6,276,372, 6,221,818, and
  • U.S. Patent No. 5,997,658 to Peters et al. describes a hydroxlamine-free photoresist stripping and cleaning composition, for use particularly of copper or titanium [0044] substrates, having about 70 to 85% by weight of an alkanolamine, about 0.5 to
  • Alkanolamines include N-methylethanolamine (NMEA), monoethanolamine (MEA), diethanolamine, mono-, di-, and tri- isopropanolamine, 2-(2-aminoethylamino)-ethanol, 2-(2-aminoethoxy)-ethanol, triethanolamine, and the like.
  • the preferred alkanolamine is N-methylethanolamine (MEA).
  • U.S. Patent No. 5,928,430 to Ward et al. entitled Aqueous stripping and cleaning compositions containing hydroxylamine and use thereof, describes an aqueous stripping composition comprising a mixture of about 55% to 70% by weight of a polar amine solvent, about 22.5 to 15% by weight of a basic amine, especially hydroxylamine, gallic acid as a corrosion inhibitor, and water.
  • U.S. Patent No. 5,928,430 to Ward et al. entitled Aqueous stripping and cleaning compositions containing hydroxylamine and use thereof, describes an aqueous stripping composition comprising a mixture of about 55% to 70% by weight of a polar amine solvent, about 22.5 to 15% by weight of a basic amine, especially hydroxylamine, gallic acid as a corrosion inhibitor, and water.
  • 5,419,779 to Ward describes a stripping composition containing water, 22.5 to 15% by weight of hydroxylamine, 55% to 70% monoethanolamine, and preferably up to about 10% by weight of a corrosion inhibitor, particularly one selected from the group consisting of catechol, pyrogallol, anthranilic, acid, gallic acid, and gallic ester.
  • a corrosion inhibitor particularly one selected from the group consisting of catechol, pyrogallol, anthranilic, acid, gallic acid, and gallic ester.
  • the polishing slurries are a critical part of the CMP process.
  • the polishing slurries consist of an abrasive suspension (silica, alumina, etc.) usually in a water solution.
  • the type and size of the abrasive, the solution pH and presence of (or lack of) oxidizing chemistry are very important to the success of the CMP process.
  • Metal CMP slurries must have a high selectivity for removing the unwanted metal compared to the dielectric features on the wafers.
  • the metal removal rate should be between 1700 to 3500 A/min) without excessive "dishing" of the metal plugs or erosion of the oxide substrate.
  • the oxide CMP has similar requirements and polishing rates close to 1700 A/min.
  • metal polishing selectivity to oxide generally ranges from 20 to 100:1, depending on the metal type. Tungsten metal should have selectivities >50:1 for the metal to oxide, and copper could have > 140:1 metal to oxide selectivity. Etch rates can be up to 7000 A/min. The chemical diffusion rate and the type of metal oxide surface are important to the successful planarization process. A detailed mechanism has been proposed by Kaufman.
  • the low pH and highly corrosive oxidants (ferric nitrate) being used with an example metal CMP process has created corrosion problems with the polishing equipment.
  • the oxidant used in the metal polishing step has ranged from nitric acid to hydrogen peroxide, cesium and ferric nitrate solutions and even ferric cyanide solutions. Because of chemical stability problems, many slurries are made up at the point of use which means that there is little or no shelf life.
  • Metal planarization needs an oxidizing reagent that is stable and is not going to contribute to mobile ion contamination, will not "stain” the equipment, will not affect the slurry composition and slurry particle distribution and is generally environmentally friendly.
  • the current hydrogen peroxide systems are not stable when premixed with the slurry and therefore have to be delivered to the polishing equipment with separate pumping systems and mixed at the point of use.
  • the ferric nitrate system requires a low pH and is known to "stain" the polishing equipment.
  • the potassium iodate system also requires special handling.
  • An emerging area of CMP will deal with the copper damascene process.
  • the copper metal interconnects (wires) will be required because of its better conductivity compared to Al.
  • One major disadvantage with copper is its easy diffusion through silica under normal operating conditions.
  • the copper damascene process will need barrier layers to prevent this copper diffusion.
  • barrier materials are etched into the interdielectric layers, and then the walls of these trenches are coated with barrier materials. These materials can be composed of Ta, TaN, Ti or TiN among other materials. Copper metal is then deposited, by electroless or electrode plating, or PVD or CVD methods. The excess copper above the trench is then removed by chemical mechanical polishing. The difficult part of the CMP process is not to remove excess copper ("dishing") which will remove the copper metal below the interdielectric layer.
  • CMP of the copper metal can be done over a wide pH range (2 to 12).
  • Pourbaix diagrams for copper indicate that copper can only be passivated (oxide layer) in neutral or basic solutions.
  • an inhibitor e.g., benzotriazole (BTA)
  • BTA benzotriazole
  • Much of the CMP work has been done with hydrogen peroxide at various pH ranges.
  • Some CMP work has been done with ammonium hydroxide, because of its ability to form copper complexes though there are problems with poor selectivity between copper and titanium and silicon oxide.
  • polishing process appears to involve two concurrent processes; a mechanical process involving plastic deformation of the surface and, chemical attack by hydroxide (-OH) to form silanol bonds.
  • the silicon surface There are other important features of the silicon surface that will influence the etch rates and final surface conditions; (metal contamination and possibly micro scratches).
  • the typical silicon surface is terminated (covered) with -OH groups under neutral or basic conditions.
  • the silicon surface is hydrophilic (the surface is "wettable”). These groups activate the surface to a number of possible chemical or physioabsorbtion phenomena.
  • the Si-OH groups impart a weak acid effect which allows for the formation of salts and to exchange the proton (H + ) for various metals (similar to the ion exchange resins).
  • These Si-O " and Si-OH can also act as ligands for complexing Al, Fe, Cu, Sn, and Ca.
  • the surface is very dipolar and so electrostatic charges can accumulate or be dissipated depending on the bulk solution's pH, ion concentration and charge. This accumulated surface charge can be measured as the Zeta potential.
  • silica (Si) surface underneath the oxide layer is exposed because of an over aggressive polishing process, this could cause electrochemical problems because silica has a modest redox potential which will allow Cu, Au, Pt, Pb, Hg and Ag to "plate on” the silica surface. Exposure to light will also affect the redox reaction for Cu. The light will "generate” electrons in the semiconductor Si material which then reduces the copper ion to Cu°.
  • One aspect of the present invention relates to a chemical mechanical polishing composition for polishing a metal, a metal oxide, and/or a metal nitride layer of a substrate, which composition is typically substantially free of abrasive particles and can include: a hydroxylamine derivative; a corrosion inhibitor; and water.
  • water can be the majority of the composition. In one embodiment, the water is present in a total amount from about 90% to about 99% by weight of the composition.
  • the composition may further contain a sufficient amount of an acid and/or a base to adjust the pH of the composition to a desired level.
  • the acid and/or base are present in a total amount from about 0.01% to about 2% by weight of the composition.
  • the composition is substantially free of acid and or base to adjust the pH.
  • the chemical mechanical polishing composition according to the invention may further include, or alternately be substantially free from one or more of the following: hydroxylamine, acid and or base to adjust pH, two carbon atom linkage alkanolamine compounds, quaternary ammonium salts, chelating agents, organic solvents, non-hydroxyl- containing amine compounds, surfactants, additional oxidizing agents, and non-abrasive additives.
  • the hydroxylamine derivative includes hydroxylamine nitrate, hydroxylamine sulfate, and/or hydroxylamine. In another embodiment, the hydroxylamine derivative is present in a total amount from about 1% to about 5% by weight of the composition.
  • the corrosion inhibitor includes benzotriazole. In another embodiment, the corrosion inhibitor consists essentially of benzotriazole. In another embodiment, the corrosion inhibitor is present in a total amount from about 0.01% to about 0.05% by weight of the composition.
  • the chemical mechanical polishing composition according to the invention can be substantially free of abrasive particles and can consist essentially of: about 1% to about 5% by weight of a hydroxylamine derivative selected from the group consisting of hydroxylamine, hydroxylamine nitrate, hydroxylamine sulfate, and mixtures thereof; about 0.01% to about 0.05% by weight of benzotriazole; about 90% to 99% by weight of water; and less than about 2% by weight of an acid and/or a base to adjust the pH of the composition to a desired level.
  • the composition is substantially free of hydroxylamine.
  • Another aspect of the invention relates to a process for chemical mechanical polishing of a substrate including the steps of: providing a substantially abrasive-free chemical mechanical polishing composition according to the invention; contacting the chemical mechanical polishing composition with a substrate having a dielectric layer surface, upon which dielectric surface a barrier layer is disposed, upon which barrier layer a metal layer is disposed; and chemically mechanically polishing the substrate by contacting the substrate surface with an abrasive polishing pad, preferably at an applied pressure of not more than about 2 psi, and by moving the pad in relation to the substrate.
  • the metal layer includes copper.
  • the metal layer can include tungsten, aluminum, polysilicon, or the like, or a combination thereof.
  • the barrier layer can be based on a refractory metal such as tantalum and/or may include a metal nitride (and preferably includes tantalum nitride). In an alternate embodiment, the barrier layer can be titanium-based.
  • the removal rate during the CMP step of the barrier layer can be greater than about 500 A/min, less than about 750 A/min, or both;
  • the removal rate during the CMP step of the dielectric layer can be less than about 10 A/min, preferably less than about 5 A/min, for example not more than about 1 A/min;
  • the removal rate of the metal layer during the CMP step can be less than about 250 A/min, greater than about 10 A/min, or both.
  • the removal rate of the metal layer during the CMP step can be less than about 500 A/min, greater than about 50 A/min, or both.
  • Figures 1 and 2 are Pourbaix diagrams for copper and metal, useful for an understanding of the invention. DEFINITIONS
  • the phrases "contains substantially no” and “is substantially free from,” in reference to a composition should be understood to mean: [0080] for components such as abrasives, alkanolamines including AEEA, polar organic solvents including non-hydroxyl-containing amines, water, organic solvents, hydroxylamine and hydroxylamine derivatives, the aforementioned phrases should be understood to mean that the composition contains less than 1.5%, preferably less than about 1%, more preferably less than about 0.1 %, of the specific element mentioned thereafter; [0081] for minor components including chelating agents, corrosion inhibitors, surfactants, and the like, the aforementioned phrases should be understood to mean that the composition contains less than 0.2%, preferably less than about 0.1%, most preferably less than about 0.01%, of the specific element mention thereafter; and
  • substantially free is defined in the specification, e.g., less than 10 ppm metals and metal ions.
  • the composition is completely free of any added element specifically mentioned thereafter, or at least does not contain the added element in an amount such that the element affects the efficacy, storability, usability regarding necessary safety concerns, or stability of the composition.
  • a compound should generally not be characterized under more than one enumerated element of the composition according to the invention. If a compound is capable of being characterized under, for example, two enumerated embodiments of the composition, such a compound maybe characterized herein only under either one of the two enumerated elements, but not under both. At times, the distinction may be made based on the content of the compound in the composition.
  • catechol or gallic acid can act primarily as a corrosion inhibitor at "high" concentrations, i.e. about 0.5% to 20%, or primarily as a metal chelator at "low” concentrations, i.e., in the ppm to 0.5 wt% range.
  • hydroxylamine and hydroxyamine derivatives are not considered organic, despite the organic substituents that may be present on substituted hydroxylamine.
  • This invention does not deal with the composition or type of abrasive (slurry particle size, shape, size distribution % solids) in the slurry. But the slurries have numerous other components (oxidizing agents, stabilizers, etc.) that can be improved through additional experiments. These components include solutions pH, type of chemistry and chemical and slurry purity. This proposed invention focuses on the chemistry and its possible pH, Zeta potential, contact angle ("wetting") and other associated effects.
  • the first phase of the invention focuses on understanding the CMP chemistry based on hydroxylamine (HDA) and hydroxylamine derivatives (the chloride, sulfate, nitrate or other salts) under different pH conditions
  • HDA ⁇ H 2 OH
  • HDA is a hybrid between hydrazine (H 2 N-NH 2 ) and hydrogen peroxide (H O ) in its redox chemistry.
  • HDA is a more selective (controllable) oxidation and reducing agent. This dual capability is achieved by shifting the pH from the acid to basic media, as shown below.
  • Cu +2 ( ⁇ H 4 OH) — > Cu " pH 9-11 E v -0.08 Reduction
  • E v -0.34 Oxidation
  • HDA like ammonia, can form complex salts with many metals including Al(SO ) 2 *NH 2 OH* H 2 O and Cu (x) 2 *NH 2 OH *H 2 O.
  • Another important advantage of using hydroxylamine type compounds is their decomposition products. Depending on the solution pH and metal ions and concentration, HDA will decompose to water, nitrogen, ammonia andN 2 0. The formation of nitrogen even takes place through a slow internal redox reaction at pHs above 8.
  • the metals currently being studied for the CMP process include Al, Cu, and
  • Pourbaix diagrams can be used to examine the best regions (E v versus pH) for the various polishing rates (corrosion). No two metal or alloy systems will have the same regions of chemical activity. Using this data may also allow CMP polishing conditions to be chosen so that the selectivity of the polishing rate of one metal is significantly greater than another metal (or oxide or nitride material) on the same wafer. Pourbaix diagrams can be obtained for all metals, oxides, nitrides and other materials appearing on wafer surfaces wherever they are available. By overlaying the diagrams, pH regions can be roughly determined which may be corrosive for one material while passivating for another. This could be one tool that is useful in seeking high selectivities.
  • Figure 1 shows the Pourbaix diagram for Cu.
  • thermodynamic data shows that copper, copper (I) oxide and copper (II) oxide can exist together in the redox environment of our world (delineated by the sloping parallel dashed lines). The data also shows that none of these three compounds can exist at pHs less than 6.8, and at oxidation potentials above -0.2 volts, all of these compounds will dissolve. [0094] At higher pH values the three compounds can exist in aqueous solution, including with various anions (Cu(OH) and CuO 2" ).
  • This invention proposes that usage of HDA or its salts can be used to remove copper using CMP methods.
  • E v -1.05 volts
  • Figure 2 shows the Pourbaix diagram for aluminum metal.
  • the data shows that the pure metal Al cannot exist in the normal redox regime but only as an oxide coating. Between a pH of 4 and 10 this oxide layer will not dissolve.
  • chelating agents e.g., alkyl beta-diketones (2,4 pentanedione, etc.) or EDTA or aromatic phenolic aldehydes (salicylaldehyde, etc.) or other agents.
  • These components can be added in concentrations ranging from 2 ppm to 15 wt%. Higher concentrations could be used but there is a possibility that these chelators could "plate” on the chip's structures, or would alter the effectiveness of the over all chemistry.
  • the ketone-based systems may react with the hydroxylamine based products to form oxime derivatives which are good chelating agents in their own right.
  • Other agents could include bis(hydroxypropyl)hydroxylamine, anisaldehyde or even alpha hydroxy isobutyric acid as a chelator.
  • Other compounds could also be aromatic dioxygenated compounds, benzoin and benzil.
  • a recently reported water soluble iron chelator is O-TRENSOX which can be used in the HDA-based chemistries and should show promising results.
  • catechol and catechol derivatives are known to be good chelating agents at high pH conditions (because of the mono or dianion) only a little work has been done with this class of compounds under acidic conditions. There are reports that catechol will complex with aluminum at pH 3-5.
  • Gallic acid is also another compound that under mildly acidic conditions could have complexing powers with certain Group 3 through 12 metals (IUPAC nomenclature).
  • the catechol and gallic acid family of compounds can act as either corrosion inhibitors (at
  • HDA-based chemistries are the environment, safety and health aspects.
  • HDA under basic conditions decomposes to water, nitrogen, and small concentrations of NH 3 .
  • HDA is mildly caustic compared to other nitrogen containing compounds, i.e., organic amines.
  • hydroxylamine compounds are very stable in aqueous solutions.
  • CMP users do not like working with sodium or potassium hydroxide because of the potential mobile ion contamination. Many users have changed over to ammonium hydroxide which does not have the same magnitude of a mobile ion problem and does have a lower surface tension (better surface contact). The main problem with ammonium hydroxide is its odor which requires very effective ventilation systems.
  • the Zeta potential is a electrostatic potential measurement of the interaction of the electrostatic double layer ions (anions and cations) that exists around each particle in a solution.
  • the Zeta potential depending on the type of particle; i.e. aluminum, silica, manganese dioxide etc., and the solution pH, can be positive or negative. Poorly designed slurries may have a Zeta potential which leads to settling of the slurry particles. This can be very detrimental to its performance during the CMP polishing process.
  • Another measure of Zeta potential is the isoelectric point (IEP) for a particle.
  • the IEP is the pH at which the Zeta potential value is zero.
  • the chemical composition and source will have significant effect on the IEP.
  • Some metal residue IEP values are 9.5 for TiO , while tungsten is somewhere around ⁇ 1. Such wide ranges of values pose a major challenge to developing chemistries to control the Zeta potential of the particles that may eventually adhere to the wafer surface.
  • Another concern is that the Zeta potential between the slurry and metal particles and the wafer will be such that the particles will be attracted and adhere to the wafer surface. This will require that a post CMIP clean step remove the adhering particles.
  • the hydroxylamine or hydroxylamine salts can react with the particle surface through either a redox reaction or a normal chemical reaction with the terminal groups on the surface. Since the HDA chemistries can be chemically "tuned” by adjusting the pH and still be active for metal CMP (see Cu idea above), this will give us a wider process window to affect the solution slurry Zeta potential. Concentrations for this effect should be between 1 to 10 wt% because of HDA' s single charge.
  • Another way to change the Zeta potential is to use surfactants (nonionic, cationic or anion) to reduce the surface charge on the wafer.
  • the hydroxylamine chemistries can be matched with the appropriate surfactant.
  • octylphenol polyethylene (9-10 ethylene oxide units) at pH 9.5 did reduce the surface tension and also reduced surface roughness.
  • Anionic surfactants can be used for particles that have positive Zeta potentials.
  • Some of the films currently being planarized include TEOS, BPSG, PSG and
  • the HDA free base material should be tested at various pH's (7-11) with a silica slurry.
  • the amount of HDA used in the slurry should be ⁇ 2 to 10% o .
  • SLMS data should show that the mobile ion content remained constant or was decreased.
  • ammonium hydroxide solutions will also polish the silicon surface, the vapors from the polishing process need to be handled (removed) in an effective manner.
  • HDA chemistries do not have the same smell intensity.
  • colloidal silica is very sensitive to pH and undergoes flocculation at pH values near 8, due to the presence of insufficient alkali ions.
  • Ammonium bifluoride is another important ingredient to be evaluated in the above matrix.
  • Silica dioxide has several solubility regions depending on pH. Ammonium bifluoride at low concentrations (>1X10 "3 molar) and low pH (4-6) can be effective for expanding the "window” for dissolving silica structures. This chemistry region might open up an entirely new CMP processing window for ILD. The concentration ranges must be rather narrow, i.e., 1X10 " to 1X10 " molar. At higher concentrations the chemistries start to act as conventional HF etching media (in the pH range 4-7) with very rapid etching.
  • Nitride appears to undergoing slow oxidation to a silicon oxide type compound which undergoes the standard oxide polishing process. This reduces the desired polishing selectivity.
  • the HDA free base is a saturated nitrogen solution, and the free base reacts with oxygen thus creating a solution with very poor oxidizing potentials, it is possible that the nitride structures will not be readily attacked. Thus the oxide to nitride polishing selectivity should be enhanced.
  • HDA and HDA related compounds can effect the particle and wafer surfaces through pH and redox chemistries, these chemical species only have a single ionic charge per molecule (though a reasonable charge density for the size of molecule involved). It may be necessary to augment the electrostatic double layer around the particles or on the wafer by adding "polyelecfrolytes" which are highly charged compounds. Normally the polyelecfrolytes are used in high enough concentration to "force" particles to clump together. In this invention we only want to add enough polyelecfrolytes encourage the particles to repel each other and away from the wafer surfaces. This will enhance the post CMP cleaning step. The concentration for this affect could range from 1 part per thousand to 10 wt%.
  • redox reagents that also can be used in CMP applications which could be used by themselves or in conjunction with other chemistries, including hydroxylamine and its salts.
  • ammonium peroxydisulfate can be used to remove Al, copper or tungsten using CMP methods. Though ammonium persulfate has been used to strip copper metal films from electronic component boards, this material has not been used to remove Cu in a very controlled manner. We are not aware of this chemistry being used to polish Al metal under CMP process conditions.
  • WO 4 tungstate
  • the current CMP processes are based on ferric nitrate or hydrogen peroxide under acid conditions
  • ferric nitrate or hydrogen peroxide under acid conditions another feasible route to obtain this species is to oxidize the W metal with an oxidizing agent under basic conditions.
  • the tungstate should have maximum solubility at pH >6.
  • Normally ammonium persulfate solutions have a pH in the range of 2 to 3. This invention illustrates that by adjusting the oxidizing solution's pH to higher values, the resulting solution will be a very effective for polishing W metal films.
  • a polishing composition is optionally but preferably substantially abrasive-free and can contain: optionally hydroxylamine; optionally at least one additive for controlling pH, e.g., an acid; at least one corrosion inhibitor, preferably a copper corrosion inhibitor, such as a copper (I) corrosion inhibitor and/or a copper (II) corrosion inhibitor, more preferably including benzotriazole or a salt or derivative thereof; water; and at least one hydroxylamine derivative having the following formula:
  • R 3 is hydrogen or a linear, branched, or cyclic hydrocarbon containing from 1 to 7 carbon atoms; and wherein X and Y are, independently, hydrogen or a linear, branched, or cyclic hydrocarbon containing from 1 to 7 carbon atoms, or wherein X and Y are linked together form a nitrogen-containing heterocyclic C 4 -C 7 ring.
  • X, Y, and R 3 are all hydrogen, the compound is hydroxylamine.
  • hydroxylamine examples include, but are in no way limited to, hydroxylamine, N-methyl-hydroxylamine, N,N-dimethyl- hydroxylamine, N-ethyl-hydroxylamine, N,N-diethyl-hydroxylamine, methoxylamine, ethoxylamine, N-methyl-methoxylamine, and the like.
  • hydroxylamine is not an organic, and the boiling point and flash point of hydroxylamine and hydroxylamine derivatives is of no consequence to the formulation.
  • hydroxylamine and its derivatives are available (and may be included in a composition according to the invention) as salts, e.g., sulfate salts, nitrate salts, phosphate salts, or the like, or a combination thereof, and the invention includes these forms of hydroxylamine compounds and their derivatives. These salts greatly increase the theoretical flash point of hydroxylamine derivatives. Therefore, in another embodiment, the composition contains hydroxylamine, a sulfate, nitrate, or phosphate salt of hydroxylamine, or a combination thereof. Hydroxylamines may not be desired in a subset of the formulations described herein. Therefore, in some embodiments, the composition according to the invention is substantially free from hydroxylamine.
  • the composition according to the invention contains water.
  • hydroxylamine is commercially available in an aqueous, i.e., a 50% aqueous, solution. Hydroxylamine derivatives are typically available in more concentrated aqueous forms, for example, 82% solutions with 18% water (as is the case with HAN, or hydroxylamine nitrate). However, hydroxylamine and/or hydroxylamine derivatives can be obtained or manufactured, in some instances and in some concentrations, in a water-free formulation.
  • the composition can contain an acid, e.g., to adjust the pH.
  • the acid maybe inorganic (e.g., hydrochloric, hydrobromic, sulfuric, sulfurous, nitric, nitrous, phosphoric, phosphorous, or the like, or a combination thereof), organic (e.g., lactic, acetic, formic, propionic, butyric, benzoic, ascorbic, carbonic, gluconic, maleic, malonic, oxalic, succinic, tartaric. citric, gallic, a polycarboxylic acid such as EDTA, or the like, or a combination thereof), or a combination thereof.
  • organic e.g., lactic, acetic, formic, propionic, butyric, benzoic, ascorbic, carbonic, gluconic, maleic, malonic, oxalic, succinic, tartaric. citric, gallic, a polycarboxylic acid such as EDTA, or the like, or a combination thereof
  • acids according to the invention may include salts of acids that still have acid functional moieties, e.g., a hydrogen ascorbate, a hydrogen carbonate, a hydrogen gluconate, a hydrogen maleate, a hydrogen malonate, a hydrogen oxalate, a hydrogen succinate, a hydrogen tartarate, a hydrogen citrate, a dihydrogen citrate, a hydrogen gallate, a dihydrogen gallate, a mono-, di-, or tri- substituted salt of EDTA, or the like, or a combination thereof.
  • the composition can contain a base to adjust the pH.
  • the base may be inorganic (e.g., a completely substituted salt of an inorganic acid, such as those mentioned above; hydrazine or a derivative thereof; a hydroxide salt, such as ammonium hydroxide, sodium hydroxide, lithium hydroxide, potassium hydroxide, calcium hydroxide, or the like, or a combination thereof; or the like; or a combination thereof), organic (e.g., a completely substituted salt of an organic acid, such as those mentioned above; a non-hydroxyl-containing amine, such as a substituted or unsubstituted aminobenzene, a substituted or unsubstituted pyridine, a substituted or unsubstituted pyrrole, a substituted or unsubstituted pyrrolidine, a substituted or unsubstituted pyrrolid(in)one, a substituted or unsubstituted carbazole, a substituted or unsubstituted indole, or the like
  • Ri , R 2 , R 3 , and R_t are independently hydrogen; a hydroxyl group; optionally a substituted C_-C 6 straight, branched or cyclic hydrocarbon group; optionally a substituted acyl group, straight or branched alkoxy group, amidyl group, carboxyl group, alkoxyalkyl group, alkylamino group, alkylsulfonyl group, or sulfonic acid group; or single or multiple quaternary ammonium salts of such compounds.
  • compositions according to the invention typically contains a corrosion inhibitor.
  • composition according to the invention contains a single corrosion inhibitor, which is preferably benzotriazole.
  • corrosion inhibitors useful in the composition of the invention can be hydroxybenzenes according to the formula:
  • the corrosion inhibitors can be dihydroxybenzene isomers and/or alkyl substituted dihydroxybenzenes.
  • the preferred corrosion inhibitors are 1,2- dihydroxybenzene and or l,2-dihydroxy-4-tert-butylbenzene.
  • corrosion inhibitors as known in the art can also be used in the composition of the present invention.
  • corrosion inhibitors which are substantially metal-ion-free can be utilized, such as thiophenol or its derivatives according to the formula: where R_ is preferably a hydrogen, hydroxyl, or carboxylic acid group; or an ethylenediamine tetracarboxylic acid (EDTC), or a salt thereof, having the formula:
  • Ri, R 2 , R and R. can be either H, or NRsR ⁇ / s, where R 5 , ⁇ , R 7 , and Rs are each independently hydrogen or a linear or branched C ⁇ -C 6 hydrocarbon, or where two or more of
  • the EDTC can be mono-, di- or tri- substituted rather than tetra-substituted. For example, when each of q, r, s, and t are
  • the EDTC above is ethylenediamine tetraacetic acid (EDTA).
  • EDTA ethylenediamine tetraacetic acid
  • Examples of other corrosion inhibitors include, but are not limited to, nitrate salts of ammonium; hydrocarbon-substituted ammonium nitrate salts; a choline, bischoline, and/or trischoline salt, e.g., such as a hydroxide, a bisulfite, or the like; 2,4-pentandione dioxime; l,6-dioxaspiro[4,4] nonane 2,7-dione (di-ether); thiourea; ammonium bisulfite; glycerol; sorbitol; gelatine; starch; phosphoric acid; silicic acid; polyethylene oxide; polyethylene imine; and the like; or a combination thereof.
  • nitrate salts of ammonium such as a hydroxide, a bisulfite, or the like
  • 2,4-pentandione dioxime such as a hydroxide, a bisulfite, or the like
  • the corrosion inhibitors are substantially free of metals and/or metal ions.
  • the composition can optionally include at least one two carbon atom linkage alkanolamine compound.
  • the generic two carbon atom linkage alkanolamine compounds suitable for inclusion in the invention have the structural formula,
  • Y are, independently in each case, hydrogen, a -C linear, branched, or cyclic hydrocarbon, or a group having the formula -CRi R ⁇ '-CR 2 R 2 '-Z-F, with F being either -O-R 3 or -NE ⁇ i, where t is defined similarly to i, Ri', R 2 , R 2 ', and R 3 above, and with Z, Ri, Ri', R 2 , R 2 ', and R 3 defined as above, or wherein X and Y are linked together form a nitrogen-containing heterocyclic C 4 -C 7 ring.
  • the two carbon atom linkage alkanolamine compounds have relatively low boiling points and relatively low flash points.
  • the two carbon atom linkage alkanolamine compounds that may be useful in the present invention preferably have relatively high boiling points (e.g., 185°C or above, preferably 200°C or above, alternately 215°C or above) and preferably have relatively high flash points (e.g., 95°C or above, preferably 100°C or above, alternately 110°C or above).
  • relatively high boiling points e.g., 185°C or above, preferably 200°C or above, alternately 215°C or above
  • relatively high flash points e.g., 95°C or above, preferably 100°C or above, alternately 110°C or above.
  • Preferred specific examples of such two carbon atom linkage alkanolamine compounds include AEEA and 2-(2-aminoethoxy) ethanol (“DGA").
  • AEEA or N-hydroxyethyl-ethylenediamine
  • AEEA is the most preferred of the two carbon atom linkage alkanolamine compounds, though it maybe admixed with other two carbon atom linkage alkanolamine compounds to achieve a particular result, such as increased etching or lower cost.
  • Examples of other two-carbon atom linkage alkanolamine compounds include, but are in no way limited to, 2-aminoethanol (“monoethanolamine” or “MEA”), 2-(N- methylamino) ethanol (“monomethyl ethanolamine” or “MMEA”), 2-amino-l-propanol
  • MIPA 2-(N-hydroxyethyl-amino)-ethanol
  • DEA 2-(N-hydroxyethyl-amino)-ethanol
  • DEA 2-[(2-aminoethyl)-(2-hydroxyethyl)-amino]-ethanol
  • TAA N,N,N-tris-(2-hydroxyethyl)-arnmonia
  • N-aminoethyl-N'-hydroxyethyl-ethylenediamine N,N'- dihydroxyethyl- ethylenediamine
  • the composition can contain a two-carbon atom linkage alkanolamine compound, in which m is greater than or equal to 1.
  • the composition can contain a two-carbon atom linkage alkanolamine compound, in which m is 1 and Ri, Ri', R 2 , R 2 ', and R 3 are all hydrogen or a C ⁇ -C 4 linear or branched hydrocarbon, hi an alternate embodiment, the composition can contain a two-carbon atom linkage alkanolamine compound, in which: m is 1; R 1 ⁇ Ri', R 2 , R 2 ', and R 3 are all hydrogen or a Ci- C 4 linear or branched hydrocarbon; and Q is -NR 3 .
  • the composition can contain a two-carbon atom linkage alkanolamine compound, in which: m is 1; Ri, Ri', R 2 , R 2 ', and R 3 are all hydrogen; X and Y are, independently, hydrogen or a linear or branched C ⁇ -C 4 hydrocarbon; and Q is -NH-, -NCH -, or -N[(C 2 -C 4 ) linear or branched hydrocarbon]-.
  • the composition may be substantially free from two carbon atom linkage alkanolamine compounds.
  • the corrosion inhibitor when present, the corrosion inhibitor (particularly in the form of an EDTC, catechol, or gallic acid) enhances the ability of the two carbon atom linkage alkanolamine compound (when present) to clean/polish the substrate.
  • the EDTC, catechol, gallic acid, or other corrosion inhibitor can help to prevent attack on the metal or metal alloy substrate, e.g., copper.
  • the composition can contain a quaternary ammonium salt, e.g. , as represented by the following formula:
  • Ri, R 2 , R 3 , and R_j are independently hydrogen; optionally a substituted C ⁇ -C 6 straight, branched or cyclic hydrocarbon group; optionally a substituted acyl group, straight or branched alkoxy group, amidyl group, carboxyl group, alkoxyalkyl group, alkylamino group, or alkylsulfonyl group, sulfonic acid group; or the salt of such compounds; and wherein the [counterion] " may be a monovalent, divalent, trivalent, or tetravalent anion and is preferably a monovalent anion.
  • the composition may be substantially free from quaternary ammonium salts.
  • Examples of monovalent anions for use as a counterion to a quaternary ammonium salt according to the invention include, but are not limited to, hydroxyl groups, nitrate groups, bisulfite groups, bicarbonate groups, carboxylate groups having structures based on singly de-protonated carboxylic acid groups (e.g., formate, acetate, propionate, butyrate, isobutyrate, benzoate, naphthoate, or the like, or singly de-protonated forms of multiply protic carboxylic acids, such as lactate, ascorbate, glyconate, oxalate, malonate, fumarate, maleate, phthalate, isophthalate, terephthalate, gluconate, succinate, glutarate, tartrate, salicylate, glycerate, citrate, gallate, subgallate, or the like), or other acids listed herein, or the like, or a combination thereof.
  • the composition according to the invention optionally contains a chelating agent.
  • the composition according to the invention contains a single chelating agent, which is preferably EDTA (or a non-metallic salt thereof), another organic polyacetic acid compound (or a non-metallic salt thereof), gallic acid, or catechol.
  • Catechol has a boiling point of 245°C, and a flash point of 127°C.
  • the composition according to the invention contains a mixture of two chelating agents, such as catechol and gallic acid.
  • the composition according to the invention contains a mixture of two chelating agents, preferably catechol and a polyacetic acid such as EDTA, or its corresponding salt.
  • the composition is substantially free from chelating agents.
  • chelatmg agents include, but in no way limited to, mono-, di-, or multi- hydroxybenzene-type compounds, e.g., such as catechol, resorcinol, butylated hydroxytoluene ("BHT"), and the like, or a combination thereof.
  • the chelators include three or more carboxylic acid-containing moieties, e.g., such as ethylenediamine tetraacetic acid (“EDTA”), non-metallic EDTA salts, and the like, or a combination thereof.
  • EDTA ethylenediamine tetraacetic acid
  • Compounds containing a two carboxylic acid moieties, such as citric acid are less preferred.
  • Aromatic compounds containing thiol groups e.g., such as thiphenol; amino-carboxylic acids; diamines, e.g., such as ethylene diamine; polyalcohols; polyethylene oxide; polyamines; polyimines; or a combination thereof, are useful in one embodiment.
  • two or more chelating agents can be used in one composition, where the chelating agents are selected from groups described above. Alternately or additionally, some chelating agents are described in U.S. Patent No. 5,417,877, issued May 23, 1995 to Ward, and in commonly assigned U.S. Patent No. 5,672,577, issued September 30, 1997 to Lee, the disclosures of each of which are incorporated herein by reference.
  • Catechol can, in one embodiment, act as both a chelating agent and as a corrosion inhibitor.
  • the composition according to the invention optionally contains a polar organic solvent.
  • the compositions is substantially free of polar organic solvent.
  • polar organic solvents for the composition according to the invention include, but are in no way limited to, dimethyl sulfoxide, ethylene glycol, ethylene glycol alkyl ether, diethylene glycol alkyl ether, triethylene glycol alkyl ether, propylene glycol, propylene glycol alkyl ether, dimethyl sulfoxide, N-substituted pyrrolidone such as N-methyl-2-pyrrolidone (NMP), sulfolanes, dimethylacetamide, and the like, or any combination thereof.
  • NMP N-methyl-2-pyrrolidone
  • Dimethylsulfone CAS No. 126-33-0, with a boiling point of 237°C, is preferred in some embodiments of the invention.
  • NMP with a boiling point of 199-202°C and a flash point of only 96°C, may be useful in some embodiments because of low cost. ⁇ MP does, however, tend to lower the flash point of mixtures of the present invention.
  • DMSO with a boiling point of 189°C and a flash point of only 95°C, is less preferred in some embodiments of the invention.
  • 2,4- dimethylsulfolane with a boiling point of 280°C and a flash point of 143°C, is preferred in some embodiments of the invention.
  • amines particularly alkanolamines and also particularly low molecular weight amines, are separate from, and are not classified as, a polar organic solvent.
  • additional polar organic solvents as known in the art, other than those specifically excluded, can also be used in the composition of the present invention.
  • the composition according to the invention is substantially free from polar organic solvents as defined herein.
  • non-polar organic solvents are not preferred, though high boiling alcohols and the like may be used.
  • Organic solvents including polar organic solvents, that have a boiling point less than about 100°C are undesirable in the composition according to the invention, as they tend to evaporate over a period of more than about 24-48 hours at operating conditions.
  • the composition according to the invention can be substantially free of organic solvents, particularly that have a boiling point less than about 100°C. It is more preferred that the composition according to the invention be substantially free of organic solvents that have a boiling point less than about 150°C. It is even more preferred that the composition according to the invention be substantially free of organic solvents that have a boiling point less than about 199°C.
  • the composition according to the invention optionally contains an amine compound that is not a hydroxyl-containing amine and is not an alkanolamine.
  • the composition is substantially free of amines that are not hydroxyl-containing amines and that are not alkanolamines.
  • amine compounds include, but are in no way limited to, o-diaminobenzene, p- diaminobenzene, N-(2-aminoethyl)-ethylenediamine (“AEEDA”), piperazine, N-substituted piperazine derivatives, piperidine, N-substituted piperidine derivatives, diethylene triamine, 2-methyleneaminopropylenediamine, hexamthylene tetramine, and the like, or a combination thereof.
  • the non-hydroxyl-containing amine compound(s) has(have) a boiling point no less than about 100°C, or alternately no less than about 150°C.
  • the composition according to the invention can be substantially free from non- hydroxyl-containing amine compounds, or non-hydroxyl-containing amine compounds having boiling points no less than about 100°C, or alternately no less than about 150°C.
  • the composition according to the invention also contains a surfactant.
  • the composition is substantially free of surfactant. Examples of surfactants include, but are in no way limited to, sodium laurel sulfate, sodium stearate, and the like, or a combination thereof.
  • the composition according to the invention also contains an additional oxidizing agent (i.e., other than any hydroxylamine, hydroxylamine derivatives, pH-controlling acids/bases, two carbon atom linkage amine compounds, non-hydroxyl- containing amines, quaternary ammonium salts, and/or other components present in the composition that may serve to oxidize at least a portion of one or more of the layers on the substrate).
  • an additional oxidizing agent i.e., other than any hydroxylamine, hydroxylamine derivatives, pH-controlling acids/bases, two carbon atom linkage amine compounds, non-hydroxyl- containing amines, quaternary ammonium salts, and/or other components present in the composition that may serve to oxidize at least a portion of one or more of the layers on the substrate.
  • the composition is substantially free of additional oxidizing agents.
  • additional oxidizing agents include, but are not limited to: acids and/or salts having halide ions, i.e., including ammonium and alkyl substituted ammonium halides; acids and/or salts having halate (e.g., HalO 4 "x ions, where Hal is a halogen atom and (-x) is the ionic charge) ions, i.e., including ammonium and alkyl substituted ammonium halates; acids and/or salts having metalate (e.g., MO 4 "x ions, where M is ametal atom, such as chromium., manganese, copper, gallium, molybdenum, or the like, and wherein (-x) is the ionic charge) ions, i.e., including ammonium and alkyl substituted ammonium metalates; acids and or salts having borate ions, i.e., including ammonium and alkyl substituted ammonium halides; acids and
  • acids and or salts having nitrate ions i.e., including ammonium and alkyl substituted ammonium nitrates (e.g., ferric nitrate, sodium nitrate, calcium nitrate, copper nitrate, nickel nitrate, aluminum nitrate, potassium nitrate, nitric acid, or the like, or a combination thereof); acids and/or salts having nitrite ions, i.e., including ammonium and alkyl substituted ammonium nitrites (e.g., ferric nitrite, sodium nitrite, calcium nitrite, copper nitrite, nickel nitrite, aluminum nitrite, potassium nitrite, nitrous acid, or the like, or a combination thereof); acids and/or salts having phosphate ions, i.e.,
  • the composition according to the invention also contains an additional non-abrasive additive.
  • additional additives may be spherical, discotic, elliptical, irregular, or any other shape, dense, porous, hollow, e.g., in the form of a particle, agglomerate, foam, flake, fiber/whisker, or the like, or any combination thereof), but must be substantially non-abrasive to the substrate and/or layer(s) (e.g., metal oxide, metal nitride, etc.) disposed thereon.
  • additional non-abrasive particulate additives include, but are not limited to: polymeric additives such as rubber particles, polyurethane foams, or the like; sources of carbon such as carbon black particles, mica, or the like; relatively soft metal oxides such as iron oxide or the like; hydrated metal oxides (e.g., metal hydroxides and/or oxide hydroxides) such as aluminum hydroxides and/or oxide hydroxides (e.g., gibbsite, bayerite, nordstrandite, doyleite, boehmite, diaspore, carboirite, rankamite, simpsonite, bahianite, alumotungstite, meixnerite, hydrocalumite, kuzelite, and the like), iron hydroxides and/or oxide hydroxides (e.g., including bernalite, goethite, lepidocrocite, feroxyhyte, ferritungstite, akaganeite, derbylite
  • the amount of hydroxylamine derivatives (including hydroxylamine, when present) in the composition according to the invention can be from about 0.1% to about 50%, preferably from about 0.2% to about 20%, alternately from about 0.5% to about 10%, for example from about 0.5% to about 5% or from about 5% to about 10%.
  • the amount of hydroxylamine in the composition according to the invention can be expressed in a ratio, relative to the amount of hydroxylamine derivative(s) present in the composition according to the invention.
  • the hydroxylamine:hydroxylamine derivative weight ratio can be from about 1:20 to about 20:1, for example from about 1:20 to about 1:1 or from about 1:1 to about 1:20, alternately from about 1:5 to about 1:1 or from about 1:10 to about 1:2.
  • the hydroxylamine:hydroxylamine derivative molar ratio can be from about 1:54 to about 8:1, alternately from about 1:54 to about 1:2.7 or from about 1:2.7 to about 8:1, for example from about 1:13.5 to about 1:2.7 or from about 1:2.7 to about 1.9:1.
  • the amount of corrosion inhibitor in the composition according to the invention can be from about 0.01% to about 10%, preferably from about
  • 0.01% to about 2% more preferably from about 0.01%> to about 1%, for example, from about
  • 0.01% to about 0.05% or from about 0.01% to about 0.1%, or alternately from about 0.1% to about 1%.
  • the amount of water in the composition according to the invention can advantageously be the majority of the composition, e.g., from about 50% to about 99%, preferably from about 60% to about 98%), for example from about 75% to about
  • the amount of acid and/or base added into the composition according to the invention can advantageously be sufficient to adjust the pH of the composition to the desired level.
  • a particular amount of acid and/or base to adjust the pH is not specified herein, although it is generally less than about 5%, for example, less than about
  • the amount of two-carbon atom linkage alkanolamine compound in the composition according to the invention can advantageously be from about 0.1% to about 15%, alternately from about 0.01% to about 5%, from about 0.2% to about 10%, from about 0.1% to about 1%, or from about 0.5% to about 5%.
  • the amount of chelating agent in the composition according to the invention can advantageously be from about 0.01% to about 15%), for example, from about 0.1% to about 10%, alternately from about 0.01% to about 1%, from about 0.01% to about 0.1%, from about 2% to about 8%, or from about 1% to about 5%.
  • the amount of quaternary ammonium salt in the composition according to the invention can advantageously be from about 0.01% to about 15%, for example, from about 0.1% to about 10%, alternately from about 0.01% to about 1%, from about 0.01 °/o to about 0.1%, from about 2% to about 8%, or from about 1% to about 5%.
  • the amount of organic solvent in the composition according to the invention can advantageously be from about 0.1% to about 25%, for example from about
  • the amount of polar organic solvent in the composition according to the invention can advantageously be from about 0.1% to about 20%, for example, from about 0.1% to about 10%>, alternately from about 0.5% to about 10%, from about 2% to about 8%, or from about 1% to about 5%.
  • the amount of non-hydroxyl-containing amine in the composition according to the invention can advantageously be from about 0.01% to about 15%, for example, from about 0.1%> to about 10%, alternately from about 0.01% to about 1%, from about 0.01% to about 0.1%, from about 2% to about 8%o, or from about 1% to about 5%.
  • the amount of surfactant in the composition according to the invention can advantageously be from about 0.01%) to about 10%, for example, from about 0.1% to about 5%, or alternately from about 0.01%> to about 1% or from about 1% to about 10%.
  • the amount of additional oxidizing agent in the composition according to the invention can advantageously be from about 0.1% to about 10%, for example, from about 0.5%> to about 5%, alternately from about 1% to about 10%, from about 2% to about 8%, or from about 1% to about 5%.
  • the amount of non-abrasive additives in the composition according to the invention can advantageously be from about 0.1% to about 25%, for example from about 0.5% to about 15%, alternately from about 0.1% to about 10% or from about 5% to about 20%.
  • compositions according to the invention have very low metal impurity/ion contents, i.e., not more than about 10 ppm total.
  • the compositions according to the invention have not more than about 5 ppm total metal content, preferably not more than about 1 ppm total metal impurity and metal ion content.
  • the composition according to the invention contains: substantially no abrasive particles, a hydroxylamine derivative, a corrosion inhibitor, water, optionally hydroxylamine, optionally an acid and/or abase (e.g., to adjust pH), optionally a two carbon atom linkage alkanolamine compound, optionally a quaternary ammonium salt, optionally a chelating agent, optionally an organic solvent, optionally a non- hydroxyl-containing amine compound, optionally a surfactant, optionally an additional oxidizing agent, and optionally a non-abrasive additive.
  • the composition according to the invention can be substantially free of one or more of the following: abrasive particles, hydroxylamine, acid and or base to adjust pH, two carbon atom linkage alkanolamine compounds, quaternary ammonium salts, chelating agents, organic solvents (polar and or non-polar), non-hydroxyl-containing amine compounds, surfactants, additional oxidizing agents, and non-abrasive additives.
  • compositions according to the invention are preferably substantially free of abrasive particles
  • the processes according to the invention may advantageously include the use of an abrasive (e.g., an abrasive pad or the like), but preferably not abrasive particles.
  • the present invention relates to a process for chemical mechanical polishing of a substrate including: providing a substantially abrasive- free chemical mechanical polishing composition as described above; contacting the chemical mechanical polishing composition with a substrate having a dielectric material surface (e.g., a metal oxide layer), upon which dielectric material a barrier layer is disposed, upon which barrier layer a metal layer is disposed; and chemically mechanically polishing the substrate by contacting the substrate surface with an abrasive polishing pad, preferably at an applied pressure of not more than about 2 psi and by moving the pad in relation to the substrate.
  • the metal layer includes copper.
  • the metal layer can include tungsten, aluminum, polysilicon, or the like, or a combination thereof.
  • the barrier layer can be based on a refractory metal such as tantalum and/or may include a metal nitride (and preferably includes tantalum nitride).
  • the barrier layer can be titanium-based.
  • the removal rate during the CMP step of the barrier layer can be greater than about 500 A/min, less than about 750 A/min, or both;
  • the removal rate during the CMP step of the dielectric layer can be less than about 10 A/min, preferably less than about 5 A/min, for example not more than about 1 A min;
  • the removal rate of the metal layer during the CMP step can be less than about 250 A/min, greater than about 10 A/min, or both.
  • the removal rate of the metal layer during the CMP step can be less than about 500 A/min, greater than about 50 A/min, or both.
  • EXAMPLE 1 Solutions of ammonium persulfate were prepared and then added to a 5% alumina slurry. The pHs were adjusted with NaOH just before use. [0180] The CMP experiments were with 10,000A tungsten wafers, at 33 rpm and 2 psig. The pad was a Rodell RC 1000 on a Logitech P5M polisher. Base line polishing experiments with only an alumina slurry have determined that there is an 8X to 10X polishing factor between the Logitech and the IPEC/Westech industrial size CMP polisher.
  • EXAMPLE 2 [0182] Test: Mother composition that was tested was composed of ammonium persulfate (APS) with varying concentrations of malonic acid (MA). The pH was adjusted with sodium hydroxide. Ammonium hydroxide will be oxidized to nitrogen and water.
  • APS ammonium persulfate
  • MA malonic acid
  • Bases that can be used to adjust the oxidizing solution's pH include sodium hydroxide, potassium hydroxide, magnesium hydroxide, magnesium carbonate, and imidazole, among others.
  • oxidizer compounds that can be included; e.g. , peroxy-monosulfuric acid (Caro's acid) (H 2 SO 5 ) or its salts are very strong oxidizing agents,
  • the acid form has one proton with a dissociation constant similar to sulfuric acid while the second proton has a pKa of only 9.4.
  • Caroat potassium peroxomonosulfate compound, including the potassium salt of Caro's acid; empirical formula 2KHSO 6 KHSO 4 K 2 SO 4 ) is a good oxidizer in aqueous system at low pH, but combined with APS, it shows promising results for W CMP at higher pH values.
  • CAROAT is a registered product of Degussa Corporation.
  • APS combined with malonamide shows W removal rates comparable with those of APS + malonic acid using the Logitech PM5 polisher (33 rpm, 12"
  • perborates such as sodium perborate tefrahydrate are good compounds which are indirect sources for hydrogen peroxide.
  • the teraborate has a 10.5% active oxygen content.
  • This compound has a different stability than hydrogen peroxide and therefore could be an important compound for CMP metal etching applications.
  • the dry form of the perborate salt is used in many bleaching applications, including detergent formulations, tooth powders and denture cleaners.
  • another compound that will be of interest will be the urea hydrogen peroxide complex which will permit a more controlled introduction of the oxidizing chemistry into the slurry system.
  • another commercially available oxidizing agent that could effective for planarization tungsten or copper metal is peracetic acid.
  • the decomposition products include only oxygen and acetic acid (vinegar).
  • the polishing conditions were with a Logitech P5M polisher with a Politex felt cloth at 33 rpm and 2 psig pressure on the 3" wafer.
  • Another unique idea is to blend two different chemistries to achieve synergistic interactions.
  • Two possible chemicals that could be blended are hydrogen peroxide and hydroxylamine.
  • Another aspect of the invention is to blend two different chemistries to achieve synergistic interactions.
  • Two possible chemical that could be blended are ammomum persulfate and potassium periodate. Potassium periodate has a higher oxidation level compared to the potassium iodate.
  • a similar chemistry to that of the previous aspect uses a synergism between ammonium persulfate (APS) and periodic acid (rather than potassium periodate) for polishing tungsten.
  • APS ammonium persulfate
  • periodic acid rather than potassium periodate
  • Removal rates of W generally increase with pH for the periodic acid (H 5 IO 6 ) in water without APS on 3" wafers coated with sputtered W (10,000 A) using 1% or 2.5% alumina (10 or 25 parts of 10% alumina + 90% water slurry), 0-3 parts NH 4 OH to adjust pH, chemistry and slurry combined together at a chemistry/slurry addition rate of 50-100 mL/min, and the Logitech PM5 polisher (33 rpm, 12" ICIOOO pad, 2 psig):
  • Periodic acid in water added to APS increases the removal rate of W over APS alone at pH 1; increasing the amount of periodic acid used with 10 parts APS also increases the W removal rate using the Logitech PM5 polisher (33 rpm, 12" ICIOOO pad, 2 psig), 3" wafers (10,000 A sputtered W), 0-3 parts NH4OH to adjust pH, 1% alumina (10 parts of 10% alumina + 90% water slurry), and chemistry/slurry addition rate of 100 mL/min: APS (pph) Periodic Acid (PPh) pH Removal Rate (A/min) 0 2.0 2.4 130 10 2.0 1.1 386 10 0.5 3.5 118 10 2.0 5.2 388 10 0 6 112
  • the aqueous potassium periodate (KIO 4 ) system shows a synergistic effect when combined with APS and shows even a greater removal rate for W than the potassium iodate system.
  • NaOH (0-3 parts) was used to adjust pH.
  • Operating conditions included using the Logitech PM5 polisher 33 rpm, 12" IC 1000 pad, 2 psig), 3" wafers (10,000 A sputtered W), 5% alumina (50 parts of 10% alumina + 90% water slurry), chemistry addition rate of 90 mL/min, and slurry addition rate of 20 mL/min:
  • Tungsten removal rates using the 10 parts APS + 0.4 parts Li periodate are stable for a period of several days when combined with alumina slurry.
  • the pH was not adjusted, but stayed near-neutral, between pH 6.4 and 7.6, during the course of the test. Polishing was done used the Logitech PM5 polisher (33 rpm, 12" ICIOOO pad, 2 psig), 3" wafers (10,000 A sputtered W), 5%> alumina (50 parts of 10% alumina + 90% water slurry), and chemistry/slurry addition rate of 100 mL/min:
  • a quantity of 500 ml of two comparative chemical solutions was each placed in a 600 ml beaker equipped with a magnetic stirring rod.
  • the first ammonium persulfate solution consisted of 114 parts of ammonium persulfate in deionized water to give total of 1000 parts of solution having a pH of 3.1.
  • the second ferric (III) nitrate solution consisted of 40 parts of ferric (III) nitrate nanohydrate dissolved in deionized water to give a total of 1000 parts of solution having a pH of 1.5.
  • etch rates were: Ammonium persulfate 3000 A/min Ferric (III) nitrate 1287 A min
  • ammonium hydroxide solution composed of 80 parts by weight of a 25 percent by weight aqueous ammonium hydroxide solution and 120 parts by weight of deionized water.
  • the wafer was rinsed with deionized water and dried with nifrogen. The wafer was then weighed. A separate blank Ti wafer was etched in a 10 percent by weight H 2 O 2 solution to determine the amount of Cu on each 3 inch wafer. The results obtained are shown in the table below.
  • CMP process results are based on hydroxylamine nitrate (HAN) and other hydroxylamine salts.
  • HAN hydroxylamine nitrate
  • other hydroxylamine salts Besides several examples with HAN, one example examines the use of citric acid in combination with HAN. Other combinations could include mono-, di- and tri- organic acids. Examples of such acids include, but are not limited to acetic acid, malonic acid and citric acid, respectively.
  • Amines (and ammonia compounds) are more effective in neutral or basic solutions for polishing (etching) copper. Some ammonium compounds have only moderate success at polishing copper at low pHs. Hydrogen peroxide chemistries are usually used at low pHs. The following example shows that hydroxylamine nitrate (HAN, a mild oxidizing agent) will effectively polish copper. Hydroxylamine and its salts are not amines but do contain the NH2-group found in inorganic and organic amines. Hydroxylamine' s NH 2 group is attached to a hydroxyl (HO-group) which is not found in "amines" and does influence its oxidation-reduction potential.
  • HO-group hydroxyl
  • hydroxylamine nitrate chemistry is used in a slurriless polishing system.
  • a Logitech PM5 polishing system (used for CMIP modeling experiments) was used with a Politex felt pad at 33 rpm with 2 psig pressure on the 3" copper wafer.
  • the 5% chemistry (6.1 parts HAN with 95.9 parts water) was added to the polishing table at 50 mL/min.
  • the removal rate was determined by a Four Dimensions four point probe used for determining metal film thickness on wafers. pH Removal Rate (A/min) 4.2 18 6.0 218
  • the slurry concentration was 2.5% used with a Politex pad on the Logitech PM5 polisher at
  • the hydrogen peroxide solution was composed of 15 parts of a 30% H 2 0 2 solution mixed with 85 parts of water. pH Removal Rate (A/min) 5% HAN 5 950+ 5% HAN 5 950+ 5% HAN 6 575+ 15%H 2 O 2 4 65 H 2 O 4.8 44
  • Another feature is the selectivity of the polishing rate between different materials on the wafer. It is important that all materials (metals and the surrounding DDL layers) are not polished at the same rate, otherwise it would be difficult to stop at a specific layer.
  • BPSG film In this example a 0.5 wt% hydroxylamine nitrate solution (0.6 parts of HAN in 99.4 parts water) is mixed with a 2.5% alumina slurry. The pH of the slurry varied between 4 and 4.4. The slurry mixture was used with a Politex pad on the Logitech PM5 polisher at 33 rpm with 2 psig pressure on the 3" copper wafer. The chemistry was added to the four point probe for determining metal film thickness on wafers, and the BPSG film thickness was determined by ellipsometer.
  • the copper film removal rate was 637 A/min while the BPSG film was only polished at a 37 A/min rate.
  • the selectivity of Cu to BPSG was 17.2. This means that the polishing process will "stop" when the BPSG layer is reached, since it has a much slower polishing rate.
  • Another way to polish copper is to use a combination of chelating agents (polyfunctional organic acids) with the conjugate hydroxylamine salts.
  • a solution of citric acid (8.8 parts citric acid adjusted with hydroxylamine to a pH 4.2 to 4.4, the remainder is water) is mixed with various concenfration of hydroxylamine (HDA) to obtain solutions with pHs close to neutral.
  • HDA hydroxylamine
  • These chemistries were used in a slurry polishing system.
  • a Logitech PM 5 polishing system was used with a Politex felt pad at 33 rpm with 2 psig pressure on the 3" copper wafer. The chemistries were added to the polishing table between 20 to 90 mL/min. The removal rate was determined by a Four Dimensions four point probe for determining metal film thickness on wafers.
  • Parts Citric Acid Sol Parts HDA pH Removal Rate (A/min) 100 0 4.2 58 95 5 6.6 64 90 10 954 80 20 7.0 1100
  • compositions were made containing: hydroxylamine and/or hydroxylamine salts; benzotriazole; optionally added acid, such as sulfuric or nitric, to control pH; and DI water, as shown in the Table below.
  • 82% HAN is 82 wt% hydroxylamine nitrate (NH 2 OH*HNO 3 ) in water; 50%.
  • HDA® is 50 wt% hydroxylamine (NH 2 OH) in water; BTZ solution is 0.2 wt% benzotriazole in water; 25% TMAH is 25wt% tetramethylammonium hydroxide in water; and 15% IPHA is 15 wt% isopropylhydroxylamme in water.
  • Comparative Example #1 as shown in the Table below, is commercially available from EKC Technologies, Inc., of Maynard, CA.
  • Example #39 when using a 1 psi downforce, the composition exhibited an etch rate for TaN of about 200-400 A/min.
  • the composition of Example 49 was used with a fixed pad, commercially available from 3M of St. Paul, MN (and with the following process conditions: -2 psi downforce; -70 RPM TS; -75 RPM CS; —175 mL/min flow rate; -4-15 second wash, e.g., for cleaning; and a temperature of about 70°F), it etched the layers of a Cu/TaN/Metal oxide substrate as follows: Cu: 118 A/min TaN: 580 A min MO: -0 A/min
  • Example pH Avg TaN etch rate (lpsi pH Adjusted by using #38 -5.5 714 A/min HDA50 #40 -5.5 740 A/min TMAH #41 -5.5 770 A/min IPHA
  • Example 41 containing IPHA
  • Example 40 containing TMAH
  • Example 38 containing HDA50

Abstract

The present invention relates generally to a chemical mechanical polishing composition for polishing a metal, a metal oxide, and/or a metal nitride layer of a substrate, which composition is substantially free of abrasive particles and comprises: a hydroxylamine derivative; a corrosion inhibitor; and water, wherein water comprises, the majority of the composition. The composition may optionally include, or alternately be substantially free from, one or more of the following: hydroxylamine, acid and/or bas(,. to adjust pH, two carbon atom linkage alkanolamine compounds, quaternary ammonium salts, chelating agents, organic solvents, non-hydroxyl-containing amine compounds, surfactants, additional oxidizing agents, and non-abrasive additives. A process for chemically mechanically polishing a substrate using such a polishing composition is also provided herein.

Description

ABRASIVE-FREE CHEMICAL MECHANICAL POLISHING COMPOSITION AND POLISHING PROCESS CONTAINING SAME
FIELD OF THE INVENTION
[0002] This invention relates to an improved composition and process for the chemical mechanical polishing or planarization of semiconductor wafers. More particularly, it relates to such a composition and process tailored to meet more stringent requirements of advanced integrated circuit fabrication.
BACKGROUND OF THE INVENTION
[0003] Chemical mechanical polishing (or planarization) (CMP) is a rapidly growing segment of the semiconductor industry. CMP provides global planarization on. the wafer surface (millimeters in area instead of the usual nanometer dimensions). This planarity improves the coverage of the wafer with dielectric (insulators) and metal substrates and increases lithography, etching and deposition process latitudes. Numerous equipment companies and consumables producers (slurries, polishing pads, etc.) are entering the market. [0004] CMP has been evolving for the last ten years and has been adapted for the planarization of inter-layer dielectrics (ILD) and for multilayered metal (MLM) structures. During the 80's, LBM developed the fundamentals for the CMP process. Previously (and still used in many fabs today) plasma etching or reactive ion etching. (PLE), SOG ("spin on glass"), or reflow, e.g., with boron phosphorous spin on glass (BPSG), were the only methods for achieving some type of local planarization. Global planarization deals with, the entire chip while "local" planarization normally only covers a 50 square micron area. [0005] At the 1991 VMIC Conference in Santa Clara, CA., IBM presented the first data about CMP processes. In 1993 at the VMIC Conference, IBM showed that a copper damascene (laying metal lines in an insulator trench) process was feasible for the MLM requirements with CMP processing steps. In 1995 the first tungsten polishing slurry was commercialized.
[0006] The National Technology Roadmap for the Semiconductor Industries (1994) indicates that the current computer chips with 0.35 micron feature sizes will be reduced to 0.18 micron feature size in 2001. The DRAM chip will have a memory of 1 gigabit, and a typical CPU will have 13 million transistors/cm2 (currently they only contain 4 million). The number of metal layers (the "wires") will increase f om the current 2-3 to 5-6 and the operating frequency, which is currently 200 MHZ, will increase to 500 MHZ. This will increase the need for a three dimensional construction on the wafer chip to reduce delays of the electrical signals. Currently there are about 840 meters of "wires'Vchip, but by 2001 (without any significant design changes) a typical chip would have 10,000 meters. This length of wire would severely compromise the chip's speed performance. [0007] The global planarization required for today's wafer CDs (critical dimensions) improves the depth of focus, resulting in better thin metal film deposition and step coverage and subsequently increases wafer yields and lowers the cost/device. It is currently estimated (1996) that it costs ~$114/layer/wafer with current limited planarization processes. As the geometries become smaller than 0.35 micron, the planarity requirements for better lithography become critical. CMP is becoming important, if not essential, for multiple metal levels and damascene processes.
[0008] The CMP process would appear to be the simple rotation of a wafer on a rotary platen in the presence of a polishing medium and a polishing pad that grinds (chips away) the surface material. The CMP process is actually considered to be a two part mechanism: step one consists of chemically modifying the surface of the material and then in the final step the altered material is removed by mechanical grinding. The challenge of the process is to control the chemical attack of the substrate and the rate of the grinding and yet maintain a high selectivity (preference) for removing the offending wafer features without significant damage to the desired features. The CMP process is very much like a controlled corrosion process.
[0009] An added complexity is that the wafer is actually a complex sandwich of materials with widely differing mechanical, electrical and chemical characteristics, all built on an extremely thin substrate that is flexible. [0010] The CMP processes are very sensitive to structural pattern density which will affect metal structure "dishing" and oxide erosion. Large area features are planarized slower than small area features.
[0011 ] At the recent SEMICON/Southwest 95 Technical program on CMP, it was stated that "[m]etal CMP has an opportunity to become the principal process for conductor definition in deep submicron integrated circuits." Whether or not it does so depends on the relative success of CMP technologists in achieving the successful integrated process flow at competitive cost.
[0012] A variety of residue removal compositions and processes suitable for integrated circuit fabrication have been developed and marketed by EKC Technology, Inc.
(hereinafter "EKC"), the assignee of the present application. Some of these compositions and processes are also useful for removing photoresist, polyimide, or other polymeric layers from substrates in integrated circuit fabrication, and EKC has also developed a variety of compositions and processes specifically for removing such polymeric layers from substrates in integrated circuit fabrication. Additionally, EKC has developed a variety of compositions and processes to selectively remove specific substrate compositions from a substrate surface at a controlled rate. Such compositions and processes are disclosed in the following commonly assigned issued patents:
[0013] U.S. Patent No. 6,367,486 to Lee et al, which issued on April 9, 2002, entitled
Ethylenediaminetetraacetic acid or its ammonium salt semiconductor process residue removal process;
[0014] U.S. Patent No. 6,313,039 to Small et al., which issued on November 6, 2001, entitled Chemical mechanical polishing composition and process;
[0015] U.S. Patent No. 6,276,372 to Lee, which issued on August 21, 2001, entitled
Process using hydroxylamine-gallic acid composition;
[0016] U.S. Patent No. 6,251,150 to Small et al., which issued on June 26, 2001, entitled Slurry composition and method of chemical mechanical polishing using same;
[0017] U.S. Patent No. 6,248,704 to Small et al., which issued on June 19, 2001, entitled Compositions for cleaning organic and plasma etched residues for semiconductors devices;
[0018] U.S. Patent No. 6,242,400 to Lee, which issued on June 5, 2001, entitled
Method of stripping resists from substrates using hydroxylamine and alkanolamine; [0019] U.S. Patent No. 6,235,693 to Cheng et al, which issued on May 22, 2001, entitled Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices;
[0020] U.S. Patents Nos. 6,187,730 and 6,221,818, both to Lee, which issued on
February 13, 2001 and on April 24, 2001, respectively, entitled Hydroxylamine-gallic compound composition and process;
[0021] U.S. Patent No. 6,156,661 to Small, which issued on December 5, 2000, entitled Post clean treatment;
[0022] U.S. Patent No. 6,140,287 to Lee, which issued on October 31, 2000, entitled
Cleaning compositions for removing etching residue and method of using;
[0023] U.S. Patent No. 6,121,217 to Lee, which issued on September 19, 2000, entitled Alkanolamine semiconductor process residue removal composition and process;
[0024] U.S. Patent No. 6,117,783 to Small et al, which issued on September 12,
2000, entitled Chemical mechanical polishing composition and process;
[0025] U.S. Patent No. 6,110,881 to Lee et al, which issued on August 29, 2000, entitled Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials;
[0026] U.S. Patent No. 6,000,411 to Lee, which issued on December 14, 1999, entitled Cleaning compositions for removing etching residue and method of using;
[0027] U.S. Patent No. 5,981,454 to Small, which issued on November 9, 1999, entitled Post clean treatment composition comprising an organic acid and hydroxylamine;
[0028] U.S. Patent No. 5,911,835 to Lee et al, which issued on June 15, 1999, entitled Method of removing etching residue;
[0029] U.S. Patent No. 5,902,780 to Lee, which issued on May 11, 1999, entitled
Cleaning compositions for removing etching residue and method of using;
[0030] U.S. Patent No. 5,891,205 to Picardi et al, which issued on April 6, 1999, entitled Chemical mechanical polishing composition;
[0031] U.S. Patent No. 5,672,577 to Lee, which issued on September 30, 1997, entitled Cleaning compositions for removing etching residue with hydroxylamine, alkanolamine, and chelating agent;
[0032] U.S. Patent No. 5,482,566 to Lee, which issued on January 9, 1996, entitled
Method for removing etching residue using a hydroxylamine-containing composition;
[0033] U.S. Patent No. 5,399,464 to Lee, which issued on March 21, 1995, entitled
Triamine positive photoresist stripping composition and post-ion implantation baking; [0034] U.S. Patent No. 5,381,807 to Lee, which issued on January 17, 1995, entitled
Method of stripping resists from substrates using hydroxylamine and alkanolamine;
[0035] U.S. Patent No. 5,334,332 to Lee, which issued on August 2, 1994, entitled
Cleaning compositions for removing etching residue and method of using;
[0036] U.S. Patent No. 5,279,771 to Lee, which issued on January 18, 1994, entitled
Stripping compositions comprising hydroxylamine and alkanolamine;
[0037] U.S. Patent No. 4,824,763 to Lee, which issued on April 25, 1989, entitled
Triamine positive photoresist stripping composition and prebaking process; and
[0038] U.S. Patent No. 4,395,348 to Lee, which issued on July 26, 1983, entitled
Photoresist stripping composition and method;
[0039] the entire disclosures of all of which are incorporated herein for all purposes by express reference thereto. These compositions have achieved substantial success in integrated circuit fabrication applications.
[0040] Hydroxylamme (HA) formulations have been found to be useful in the removal of substrate, for example as an etchant used in chemical-mechanical etching processes, as described in U.S. Patent Nos. 6,313,039; 6,251,150; and 6,117,783.
[0041] Hydroxylamine formulations have also been useful in removing photoresists, such as is found in U.S. Patent Nos. 5,279,771 and 5,381,807, which describe formulations containing hydroxylamine, an alkanolamine, and optionally a! polar organic solvent.
Hydroxylamine formulations have also been useful in removing etching residue, such as is found in U.S. Patent No. 5,334,332, which describes a formulation containing hydroxylamine, an alkanolamine, water, and a chelating agent. Hydroxylamine-containing formulations designed to remove residues are known to be aggressive to metals, particularly to titanium film and under more aggressive process conditions to aluminum film.
[0042] As a result, various formulations have been developed to control the corrosion.
The attack of titanium can be moderated by using different chelator, e.g., such as disclosed in
U.S. Patent No. 6,276,372, and /or by selecting a class of alkanolamine with 2-carbon linkage(s), which is disclosed, e.g., in U.S. Patent No. 6,121,217. For example, other formulations include those disclosed in: U.S. Patent Nos. 6,276,372, 6,221,818, and
6,187,730, which each describe a hydroxylamine formulation with a gallic compound (as opposed to catechol) and an alcohol amine; U.S. Patent Nos. 6,242,400, which describes a hydroxylamine formulation with an alcohol amine and a polar organic solvent; U.S. Patent
Nos. 6,156,661 and 5,981,454, which each describe a buffered hydroxylamine formulation with an organic acid; U.S. Patent Nos. 6,140,287 and 6,000,411, which each describe a hydroxylamine formulation with an alkanolamine and a chelating agent; U.S. Patent No. 6,121,217, which describes a hydroxylamine formulation with an alkanolamine and gallic acid or catechol; U.S. Patent No. 6,110,881, which describes a hydroxylamine formulation with an organic solvent, water, and a chelating agent; U.S. Patent No. 5,911,835, which describes a nucleophilic amine compound formulation with an organic solvent, water, and a chelatmg agent; and U.S. Patent Nos. 5,902,780, 5,672,577, and 5,482,566, which each describe a hydroxylamine formulation with an alkanolamine, water, and a dihydroxybenzene chelating agent.
[0043] U.S. Patent No. 5,997,658 to Peters et al. describes a hydroxlamine-free photoresist stripping and cleaning composition, for use particularly of copper or titanium [0044] substrates, having about 70 to 85% by weight of an alkanolamine, about 0.5 to
2.5% by weight of benzotriazole, about 0.5 to 2.5% by weight of gallic acid and the remainder being water. Alkanolamines include N-methylethanolamine (NMEA), monoethanolamine (MEA), diethanolamine, mono-, di-, and tri- isopropanolamine, 2-(2-aminoethylamino)-ethanol, 2-(2-aminoethoxy)-ethanol, triethanolamine, and the like. The preferred alkanolamine is N-methylethanolamine (MEA).
[0045] Additionally, U.S. Patent No. 5,928,430 to Ward et al. , entitled Aqueous stripping and cleaning compositions containing hydroxylamine and use thereof, describes an aqueous stripping composition comprising a mixture of about 55% to 70% by weight of a polar amine solvent, about 22.5 to 15% by weight of a basic amine, especially hydroxylamine, gallic acid as a corrosion inhibitor, and water. U.S. Patent No. 5,419,779 to Ward describes a stripping composition containing water, 22.5 to 15% by weight of hydroxylamine, 55% to 70% monoethanolamine, and preferably up to about 10% by weight of a corrosion inhibitor, particularly one selected from the group consisting of catechol, pyrogallol, anthranilic, acid, gallic acid, and gallic ester.
[0046] Other cleaning-type compositions exist, for example as found in U.S. Patent
No. 6,261,745 to Tanabe et al, entitled Post-ashing treating liquid compositions and a process for treatment therewith, which describes a post-ashing treating liquid composition comprising a salt of hydrofluoric acid with a base free from metal ions, a water-soluble organic solvent, water, and an acetylene alcohol/alkylene oxide adduct. [0047] Other prior art, e.g., U.S. Patent Nos. 6,372,050, 6,326,130, 6,268,323,
6,261,745, 5,997,658, 5,417,877, and 4,617,251, inter alia, have demonstrated the corrosion of the aluminum metal film caused by various amines and other compounds in photoresist stripper formulations. Slurries
[0048] CMP has been successfully applied to the planarization of interdielectric levels
(DDL) of silicon oxides, BPSG, and silicon nitride and also metal films. The metal films currently being studied include tungsten (W), aluminum (Al), and copper (Cu). [0049] The polishing slurries are a critical part of the CMP process. The polishing slurries consist of an abrasive suspension (silica, alumina, etc.) usually in a water solution. The type and size of the abrasive, the solution pH and presence of (or lack of) oxidizing chemistry are very important to the success of the CMP process.
[0050] Metal CMP slurries must have a high selectivity for removing the unwanted metal compared to the dielectric features on the wafers. The metal removal rate should be between 1700 to 3500 A/min) without excessive "dishing" of the metal plugs or erosion of the oxide substrate. The oxide CMP has similar requirements and polishing rates close to 1700 A/min.
Metal Polishing
[0051] This type of polishing relies on the oxidation of the metal surface and the subsequent abrasion of the oxide surface with an emulsion slurry. In this mechanism, the chemistry's pH is important. The general equations are (M = metal atom): M0 -> Mn + + n e- Mn + + [OJy > MOy or [M(OH)χ]
[0052] Under ideal conditions the rate of metal oxide (MOy) formation (Vf) will equal the rate of oxide polishing (Vp), (Vf = Vp). If the pH is too low (acidic) then the chemistry can rapidly penetrate the oxide and attack the metal (Vf = Vp), thus exposing the metal without any further oxide formation. This means that all metal surfaces, at high points and in valleys, are removed at the same rate. Planarization of the surface is not achieved. This could cause metal plug connectors to be recessed below ("dishing") the planarization surface which will lead eventually to poor step coverage and possible poor contact resistance. [0053] When the pH is too high (caustic), then the oxide layer may become impenetrable to the chemistry and the metal becomes passive, (Vf = Vp) and the metal polishing rate becomes slow. Metal polishing selectivity to oxide generally ranges from 20 to 100:1, depending on the metal type. Tungsten metal should have selectivities >50:1 for the metal to oxide, and copper could have > 140:1 metal to oxide selectivity. Etch rates can be up to 7000 A/min. The chemical diffusion rate and the type of metal oxide surface are important to the successful planarization process. A detailed mechanism has been proposed by Kaufman.
[0054] In practice, the low pH and highly corrosive oxidants (ferric nitrate) being used with an example metal CMP process has created corrosion problems with the polishing equipment. Currently the oxidant used in the metal polishing step has ranged from nitric acid to hydrogen peroxide, cesium and ferric nitrate solutions and even ferric cyanide solutions. Because of chemical stability problems, many slurries are made up at the point of use which means that there is little or no shelf life.
[0055] Metal planarization needs an oxidizing reagent that is stable and is not going to contribute to mobile ion contamination, will not "stain" the equipment, will not affect the slurry composition and slurry particle distribution and is generally environmentally friendly. The current hydrogen peroxide systems are not stable when premixed with the slurry and therefore have to be delivered to the polishing equipment with separate pumping systems and mixed at the point of use. The ferric nitrate system requires a low pH and is known to "stain" the polishing equipment. The potassium iodate system also requires special handling. [0056] An emerging area of CMP will deal with the copper damascene process. The copper metal interconnects (wires) will be required because of its better conductivity compared to Al. One major disadvantage with copper is its easy diffusion through silica under normal operating conditions. The copper damascene process will need barrier layers to prevent this copper diffusion.
[0057] In the damascene process, "lines" or trenches are etched into the interdielectric layers, and then the walls of these trenches are coated with barrier materials. These materials can be composed of Ta, TaN, Ti or TiN among other materials. Copper metal is then deposited, by electroless or electrode plating, or PVD or CVD methods. The excess copper above the trench is then removed by chemical mechanical polishing. The difficult part of the CMP process is not to remove excess copper ("dishing") which will remove the copper metal below the interdielectric layer.
[0058] CMP of the copper metal can be done over a wide pH range (2 to 12).
Pourbaix diagrams for copper indicate that copper can only be passivated (oxide layer) in neutral or basic solutions. In acid solutions an inhibitor, e.g., benzotriazole (BTA), is usually needed to control the isotropic etching effects from the chemistries used in the CMP process. Much of the CMP work has been done with hydrogen peroxide at various pH ranges. [0059] Some CMP work has been done with ammonium hydroxide, because of its ability to form copper complexes though there are problems with poor selectivity between copper and titanium and silicon oxide.
Interlayer Dielectric (Oxide) Polishing
[0060] Recently a group of engineers using ILD (oxide) CMP was asked to prioritize
CMP processing requirements. The major concern was surface damage (scratching, etc.) followed by wafer (polishing) nonuniformity (within wafer and wafer to wafer), then polishing rate and finally planarity. The mechanisms are still being developed, but the polishing process appears to involve two concurrent processes; a mechanical process involving plastic deformation of the surface and, chemical attack by hydroxide (-OH) to form silanol bonds. SiO2 + 2H2O < => Si(OH)4 (aq) pH <9; log Ks = -2.7 Si(OH)4 + OH- < => SiO(OH)3_ + H2O pH >9; log Ks = - 1.7 SiO(OH)3_ > polynuclear species pH >10.5 2Si(OH)4 => (HO)3Si— O— Si(OH)3 + H2O
[0061] In a slurry (colloidal suspension) the pH is important and for the silicon oxide system it needs to be in the 10 to 11.5 range. Currently CMP users are using silicon oxide- based slurries which were "buffered" with sodium hydroxide but now are being formulated with potassium or ammonium hydroxide solutions. Etch rates can be in the range of 1700 A/min.
[0062] If the pH is too high the polynuclear species may start to precipitate in an unpredictable manner. There is also the possibility of a condensation process to form Si bonds.
[0063] There are other important features of the silicon surface that will influence the etch rates and final surface conditions; (metal contamination and possibly micro scratches). As mentioned above the typical silicon surface is terminated (covered) with -OH groups under neutral or basic conditions. The silicon surface is hydrophilic (the surface is "wettable"). These groups activate the surface to a number of possible chemical or physioabsorbtion phenomena. The Si-OH groups impart a weak acid effect which allows for the formation of salts and to exchange the proton (H+) for various metals (similar to the ion exchange resins). These Si-O" and Si-OH can also act as ligands for complexing Al, Fe, Cu, Sn, and Ca. Of course the surface is very dipolar and so electrostatic charges can accumulate or be dissipated depending on the bulk solution's pH, ion concentration and charge. This accumulated surface charge can be measured as the Zeta potential.
[0064] If the silica (Si) surface underneath the oxide layer is exposed because of an over aggressive polishing process, this could cause electrochemical problems because silica has a modest redox potential which will allow Cu, Au, Pt, Pb, Hg and Ag to "plate on" the silica surface. Exposure to light will also affect the redox reaction for Cu. The light will "generate" electrons in the semiconductor Si material which then reduces the copper ion to Cu°.
Post-Clean Processes
[0065] Both the ILD and metal polishing processes must eventually pass through a final cleaning step to remove traces of slurry and the chemistry. Though the process appears to be simple, e.g., a brush scrub and a rinse cycle, considerable effort is being expended to determine if the process should involve either single side, double sided scrubbing, single wafer or batch processing, spray tools or even immersion tanks. Recently an engineering group working with post-clean CMP ranked wafer cleanliness (from slurry and pad particles and metallic contamination) as the most important issue in the post-clean step. Process reliability and defect metrology were the other two important areas of concern. [0066] Residual particle levels must be ~1 particle/20cm , and 90% of these particles with less than >0.2 micron size. Line widths of 0.35 micron will require the removal of particles down to 0.035 or less. Incomplete particle removal will decrease wafer yield. Low defect (scratches) levels and acceptable planarity will also be very important. [0067] Most fabs have developed their own in-house technology for the post-clean
CMP steps. Most of the "chemistries" involve Dl water with either added arnmonium hydroxide or HF while some fabs are using the standard RCA SC-1 (NH4OH:H2O2:H2O) and SC-2 (HCl:H2O2:H2O) cleaning steps traditionally used in the front end process. [0068] There are five mechanisms for removing impurities (particles and/or ions) from wafer surfaces: • Physical desorption by solvents: replacing a small number of strongly absorbed material with a large volume of weakly adsorbed solvent (changing the interaction of the surface charges); • Change the surface charge with either acids or bases: the Si-OH or M-OH group can be protonated (made positive) in acid or made negative with bases by removing the proton; • Ion competition: removing adsorbed metal ions by adding acid (e.g., ion exchange); • Oxidation or decomposition of impurities: oxidation of metals, organic materials or the surface of slurry particles will change the chemical bonds between the impurities and substrate surface. The chemical reaction can either be through redox chemistry or free radicals; and • Etching the surface: the impurity and a certain thickness of the substrate surface is dissolved.
SUMMARY OF THE INVENTION
[0069] One aspect of the present invention relates to a chemical mechanical polishing composition for polishing a metal, a metal oxide, and/or a metal nitride layer of a substrate, which composition is typically substantially free of abrasive particles and can include: a hydroxylamine derivative; a corrosion inhibitor; and water. Advantageously, water can be the majority of the composition. In one embodiment, the water is present in a total amount from about 90% to about 99% by weight of the composition.
[0070] In another embodiment, the composition may further contain a sufficient amount of an acid and/or a base to adjust the pH of the composition to a desired level. In one preferred embodiment, the acid and/or base are present in a total amount from about 0.01% to about 2% by weight of the composition. In another preferred embodiment, the composition is substantially free of acid and or base to adjust the pH.
[0071] The chemical mechanical polishing composition according to the invention may further include, or alternately be substantially free from one or more of the following: hydroxylamine, acid and or base to adjust pH, two carbon atom linkage alkanolamine compounds, quaternary ammonium salts, chelating agents, organic solvents, non-hydroxyl- containing amine compounds, surfactants, additional oxidizing agents, and non-abrasive additives.
[0072] In one embodiment, the hydroxylamine derivative includes hydroxylamine nitrate, hydroxylamine sulfate, and/or hydroxylamine. In another embodiment, the hydroxylamine derivative is present in a total amount from about 1% to about 5% by weight of the composition.
[0073] In one embodiment, the corrosion inhibitor includes benzotriazole. In another embodiment, the corrosion inhibitor consists essentially of benzotriazole. In another embodiment, the corrosion inhibitor is present in a total amount from about 0.01% to about 0.05% by weight of the composition. [0074] In another preferred embodiment, the chemical mechanical polishing composition according to the invention can be substantially free of abrasive particles and can consist essentially of: about 1% to about 5% by weight of a hydroxylamine derivative selected from the group consisting of hydroxylamine, hydroxylamine nitrate, hydroxylamine sulfate, and mixtures thereof; about 0.01% to about 0.05% by weight of benzotriazole; about 90% to 99% by weight of water; and less than about 2% by weight of an acid and/or a base to adjust the pH of the composition to a desired level. In an alternate preferred embodiment, the composition is substantially free of hydroxylamine.
[0075] Another aspect of the invention relates to a process for chemical mechanical polishing of a substrate including the steps of: providing a substantially abrasive-free chemical mechanical polishing composition according to the invention; contacting the chemical mechanical polishing composition with a substrate having a dielectric layer surface, upon which dielectric surface a barrier layer is disposed, upon which barrier layer a metal layer is disposed; and chemically mechanically polishing the substrate by contacting the substrate surface with an abrasive polishing pad, preferably at an applied pressure of not more than about 2 psi, and by moving the pad in relation to the substrate. In a preferred embodiment, the metal layer includes copper. In an alternate embodiment, the metal layer can include tungsten, aluminum, polysilicon, or the like, or a combination thereof. In a preferred embodiment, the barrier layer can be based on a refractory metal such as tantalum and/or may include a metal nitride (and preferably includes tantalum nitride). In an alternate embodiment, the barrier layer can be titanium-based.
[0076] Advantageously, at least one or more of the following may apply to the process according to the invention: the removal rate during the CMP step of the barrier layer can be greater than about 500 A/min, less than about 750 A/min, or both; the removal rate during the CMP step of the dielectric layer can be less than about 10 A/min, preferably less than about 5 A/min, for example not more than about 1 A/min; and the removal rate of the metal layer during the CMP step can be less than about 250 A/min, greater than about 10 A/min, or both. In another embodiment, the removal rate of the metal layer during the CMP step can be less than about 500 A/min, greater than about 50 A/min, or both.
BRIEF DESCRIPTION OF THE DRAWINGS
[0077] Figures 1 and 2 are Pourbaix diagrams for copper and metal, useful for an understanding of the invention. DEFINITIONS
[0078] Unless otherwise specified, all percentages expressed herein should be understood to refer to percentages by weight. Also, the term "about," when used in reference to a range of values, should be understood to refer to either value in the range, or to both values in the range.
[0079] As used herein, the phrases "contains substantially no" and "is substantially free from," in reference to a composition should be understood to mean: [0080] for components such as abrasives, alkanolamines including AEEA, polar organic solvents including non-hydroxyl-containing amines, water, organic solvents, hydroxylamine and hydroxylamine derivatives, the aforementioned phrases should be understood to mean that the composition contains less than 1.5%, preferably less than about 1%, more preferably less than about 0.1 %, of the specific element mentioned thereafter; [0081] for minor components including chelating agents, corrosion inhibitors, surfactants, and the like, the aforementioned phrases should be understood to mean that the composition contains less than 0.2%, preferably less than about 0.1%, most preferably less than about 0.01%, of the specific element mention thereafter; and
[0082] for trace contaminants such as metal ions, substantially free is defined in the specification, e.g., less than 10 ppm metals and metal ions.
[0083] Preferably, when one of the aforementioned phrases is used, the composition is completely free of any added element specifically mentioned thereafter, or at least does not contain the added element in an amount such that the element affects the efficacy, storability, usability regarding necessary safety concerns, or stability of the composition. [0084] Unless otherwise specified, and wherever possible, a compound should generally not be characterized under more than one enumerated element of the composition according to the invention. If a compound is capable of being characterized under, for example, two enumerated embodiments of the composition, such a compound maybe characterized herein only under either one of the two enumerated elements, but not under both. At times, the distinction may be made based on the content of the compound in the composition. For instance, catechol or gallic acid can act primarily as a corrosion inhibitor at "high" concentrations, i.e. about 0.5% to 20%, or primarily as a metal chelator at "low" concentrations, i.e., in the ppm to 0.5 wt% range. [0085] As used herein, hydroxylamine and hydroxyamine derivatives are not considered organic, despite the organic substituents that may be present on substituted hydroxylamine.
DETAILED DESCRIPTION OF THE INVENTION
[0086] Now CMP appears to be entering a new growth phase, which emphasizes a new group of priorities. These priorities include reducing CMP defects in metal and insulator layers, better planarity within wafer and wafer to wafer, a premixed concentrate that avoids point of use mixing; a generic post CMP cleaning an a high polishing selectivity. There are also environmental, health and safety issues. These issues are: (1) better vapor handling (or educed requirement for vapor handling), (2) possible slurry recycling (or spent slurry residues that are more environmentally friendly), (3) more stable chemistries to be used with the abrasives and (4) better end point detection (EPD) during the polishing steps. [0087] This invention does not deal with the composition or type of abrasive (slurry particle size, shape, size distribution % solids) in the slurry. But the slurries have numerous other components (oxidizing agents, stabilizers, etc.) that can be improved through additional experiments. These components include solutions pH, type of chemistry and chemical and slurry purity. This proposed invention focuses on the chemistry and its possible pH, Zeta potential, contact angle ("wetting") and other associated effects.
[0088] The first phase of the invention focuses on understanding the CMP chemistry based on hydroxylamine (HDA) and hydroxylamine derivatives (the chloride, sulfate, nitrate or other salts) under different pH conditions HDA (ΝH2OH) can be viewed a hybrid between hydrazine (H2N-NH2) and hydrogen peroxide (H O ) in its redox chemistry. HDA is a more selective (controllable) oxidation and reducing agent. This dual capability is achieved by shifting the pH from the acid to basic media, as shown below. Cu +2 (ΝH 4OH) — > Cu " pH 9-11 Ev = -0.08 Reduction Cu+ (H2SO4) > Cu+2 pH 0-1 Ev = -0.34 Oxidation
[0089] The redox potential for hydrogen peroxide (acidic) and HDA (in acid and base) (Ev at SHE) are given: H2O2 > O2 + 21^ +26" Ev = +0.68 2NH2OH + 4OHT > N2O + 5H2O + 4e" Ey = -1.05 2NH3OH+ N2O +6H+ + H2O + 4e- Ev = -0.05 [0090] Fortunately few metal ions are reduced to the zero oxidation state, and this is important in CMP processes to avoid contamination of the wafer surface with metal particles. Hydrogen peroxide polishing systems are also not very stable, being easily decomposed by trace amounts of transition metals. Currently, the CMP consumable suppliers need to have a two component delivery system - one for the slurry and the second for the peroxide. [0091] Besides being a redox agent, HDA, like ammonia, can form complex salts with many metals including Al(SO )2 *NH2OH* H2O and Cu (x)2 *NH2OH *H2O. [0092] Another important advantage of using hydroxylamine type compounds is their decomposition products. Depending on the solution pH and metal ions and concentration, HDA will decompose to water, nitrogen, ammonia andN20. The formation of nitrogen even takes place through a slow internal redox reaction at pHs above 8.
Metal Polishing
[0093] The metals currently being studied for the CMP process include Al, Cu, and
W. Pourbaix diagrams can be used to examine the best regions (Ev versus pH) for the various polishing rates (corrosion). No two metal or alloy systems will have the same regions of chemical activity. Using this data may also allow CMP polishing conditions to be chosen so that the selectivity of the polishing rate of one metal is significantly greater than another metal (or oxide or nitride material) on the same wafer. Pourbaix diagrams can be obtained for all metals, oxides, nitrides and other materials appearing on wafer surfaces wherever they are available. By overlaying the diagrams, pH regions can be roughly determined which may be corrosive for one material while passivating for another. This could be one tool that is useful in seeking high selectivities. Figure 1 shows the Pourbaix diagram for Cu. This diagram, based on thermodynamic data, shows that copper, copper (I) oxide and copper (II) oxide can exist together in the redox environment of our world (delineated by the sloping parallel dashed lines). The data also shows that none of these three compounds can exist at pHs less than 6.8, and at oxidation potentials above -0.2 volts, all of these compounds will dissolve. [0094] At higher pH values the three compounds can exist in aqueous solution, including with various anions (Cu(OH) and CuO2").
[0095] This invention proposes that usage of HDA or its salts can be used to remove copper using CMP methods. The advantage of using the HDA based chemistries is that its oxidation potential (Ev = -1.05 volts) will allow the Cu to be removed at higher pHs than conventional chemistries that require a more acidic environment (lower pH). [0096] Recent experiments with 10% hydroxylamine nitrate in Dl water showed that
3000A copper metal on a 300A Ti metal layer could be cleanly removed; @ pH 3 ~10θA/min, pH 4 ~125A/min and pH 5 ~100θA/min. This is exactly the reverse of the expected pH effect from the Pourbaix diagram and is the result of the oxidation potential. [0097] When the free base hydroxylamme (5% in Dl water) was tested with the same type of copper wafer, the etching rate dropped to 75 A/min compared to a 10% ammonium hydroxide with a lOOA min rate. It is known that ammonium hydroxide solutions will dissolve copper very slowly, but if oxidizing agents (air or oxygen) are introduced then the etching rate can be quite measurable. The hydroxylamine solution is a reducing medium and so the copper etch is slower. The data does show that HDA could be used for very controlled (slow) etch rates.
[0098] Figure 2 shows the Pourbaix diagram for aluminum metal. The data shows that the pure metal Al cannot exist in the normal redox regime but only as an oxide coating. Between a pH of 4 and 10 this oxide layer will not dissolve.
[0099] Experiments with blanket Al metal wafers should again show the Al metal and its oxide layer can be removed by using either HAN at a pH of 4 or at 10 since it is necessary to remove the oxide layer before the metal layer can be polished. Concentration ranges will
Figure imgf000018_0001
[0100] Our understanding of HDA and its purification has given us a unique understanding of HDA's capacities to aid in removing mobile ions (sodium, potassium, iron and other transition metal ions) from the wafer's surface. It is critical that all phases of the CMP process minimize the mobile and transition metal ion concentrations on the wafer surfaces.
[0101] It is possible to add chelating agents; e.g., alkyl beta-diketones (2,4 pentanedione, etc.) or EDTA or aromatic phenolic aldehydes (salicylaldehyde, etc.) or other agents. These components can be added in concentrations ranging from 2 ppm to 15 wt%. Higher concentrations could be used but there is a possibility that these chelators could "plate" on the chip's structures, or would alter the effectiveness of the over all chemistry. The ketone-based systems may react with the hydroxylamine based products to form oxime derivatives which are good chelating agents in their own right.
[0102] Other agents could include bis(hydroxypropyl)hydroxylamine, anisaldehyde or even alpha hydroxy isobutyric acid as a chelator. Other compounds could also be aromatic dioxygenated compounds, benzoin and benzil. [0103] A recently reported water soluble iron chelator is O-TRENSOX which can be used in the HDA-based chemistries and should show promising results.
[0104] Though catechol and catechol derivatives are known to be good chelating agents at high pH conditions (because of the mono or dianion) only a little work has been done with this class of compounds under acidic conditions. There are reports that catechol will complex with aluminum at pH 3-5.
[0105] Gallic acid is also another compound that under mildly acidic conditions could have complexing powers with certain Group 3 through 12 metals (IUPAC nomenclature).
The catechol and gallic acid family of compounds can act as either corrosion inhibitors (at
"high" concentrations; i.e. 0.5 to 15-20 wt%) compounds, or as metal chelators in the ppm to
0.5 wt% range.
[0106] For many oxygenated compounds (phenols, alcohols, some organic acids, etc.) it is important that the oxygen atoms fill in vacancies on the metal surfaces. These vacancies are formed because of poorly organized surface oxide films and/or the pH retards the reactions or other anions interfere with the film uniformity. If the chemical environment is too aggressive then the corrosion inhibitor that is absorbed on the surface will be dissociated from the surface, but will carry a metal ion with it. Now the corrosion inhibitor can give the appearance of an attacking species.
[0107] Other benefits to using HDA-based chemistries are the environment, safety and health aspects. HDA under basic conditions decomposes to water, nitrogen, and small concentrations of NH3. HDA is mildly caustic compared to other nitrogen containing compounds, i.e., organic amines. Under acidic conditions, hydroxylamine compounds are very stable in aqueous solutions.
[0108] CMP users do not like working with sodium or potassium hydroxide because of the potential mobile ion contamination. Many users have changed over to ammonium hydroxide which does not have the same magnitude of a mobile ion problem and does have a lower surface tension (better surface contact). The main problem with ammonium hydroxide is its odor which requires very effective ventilation systems.
[0109] Another important area is to understand and, if possible, to adjust the slurries'
Zeta potential. The Zeta potential is a electrostatic potential measurement of the interaction of the electrostatic double layer ions (anions and cations) that exists around each particle in a solution. The Zeta potential depending on the type of particle; i.e. aluminum, silica, manganese dioxide etc., and the solution pH, can be positive or negative. Poorly designed slurries may have a Zeta potential which leads to settling of the slurry particles. This can be very detrimental to its performance during the CMP polishing process. [0110] Another measure of Zeta potential is the isoelectric point (IEP) for a particle.
The IEP is the pH at which the Zeta potential value is zero. The chemical composition and source will have significant effect on the IEP. Some selected values: aluminum oxide particles can vary between 3.8 to 9.4, while silicon oxide has a narrower range 1.5 to 3.7. [0111] Some metal residue IEP values are 9.5 for TiO , while tungsten is somewhere around ~1. Such wide ranges of values pose a major challenge to developing chemistries to control the Zeta potential of the particles that may eventually adhere to the wafer surface. [0112] Another concern is that the Zeta potential between the slurry and metal particles and the wafer will be such that the particles will be attracted and adhere to the wafer surface. This will require that a post CMIP clean step remove the adhering particles. [0113] The hydroxylamine or hydroxylamine salts can react with the particle surface through either a redox reaction or a normal chemical reaction with the terminal groups on the surface. Since the HDA chemistries can be chemically "tuned" by adjusting the pH and still be active for metal CMP (see Cu idea above), this will give us a wider process window to affect the solution slurry Zeta potential. Concentrations for this effect should be between 1 to 10 wt% because of HDA' s single charge.
[0114] Another way to change the Zeta potential is to use surfactants (nonionic, cationic or anion) to reduce the surface charge on the wafer. The hydroxylamine chemistries can be matched with the appropriate surfactant. Experiments with octylphenol polyethylene (9-10 ethylene oxide units) at pH 9.5 did reduce the surface tension and also reduced surface roughness. Anionic surfactants can be used for particles that have positive Zeta potentials.
Oxide Polishing
[0115] Some of the films currently being planarized include TEOS, BPSG, PSG and
SOG. Though this area of CMP has matured, EKC's HDA (50% hydroxylamine) chemistry with its "buffered" pH of 9.5 to 10.5, and low mobile ion concentration (Na and K) could be an important new chemistry for the current silicon oxide slurries.
[0116] The HDA free base material should be tested at various pH's (7-11) with a silica slurry. The amount of HDA used in the slurry should be ~2 to 10%o. SLMS data should show that the mobile ion content remained constant or was decreased. [0117] Though ammonium hydroxide solutions will also polish the silicon surface, the vapors from the polishing process need to be handled (removed) in an effective manner. The
HDA chemistries do not have the same smell intensity.
[0118] Work with ammonium salts added to fumed sihca, in the pH range of 6-9 for oxide CMP slurry, shows surprising results. Though one expects the higher pH ( 9) to polish silicon oxide faster (traditional chemical attack of a base on the Si bond), Hayashi et al. had remarkable success at removing oxide at a pH 6 with a 0.1 molar ammonium salt solution
(chloride, sulfate, etc). Even at pH 7 the rate was faster that at pH 9. The results suggest particle agglomeration (change in the electrical double layer by modifying the Zeta potential of the fumed silica), forming a "slush" on the particles and the oxide surface. It was also noticed that the residual particle count was reduced from 5X105 to 2X103 for a 6" wafer.
There is no reason that hydroxylamine salts at this or smaller concentration ranges should not have a similar effect on the polishing rate. The pK's between the two groups of salts are different which would allow us again to "fine tune" the polishing rates.
[0119] One theory is that colloidal silica is very sensitive to pH and undergoes flocculation at pH values near 8, due to the presence of insufficient alkali ions.
[0120] Ammonium bifluoride is another important ingredient to be evaluated in the above matrix. Silica dioxide has several solubility regions depending on pH. Ammonium bifluoride at low concentrations (>1X10"3 molar) and low pH (4-6) can be effective for expanding the "window" for dissolving silica structures. This chemistry region might open up an entirely new CMP processing window for ILD. The concentration ranges must be rather narrow, i.e., 1X10" to 1X10" molar. At higher concentrations the chemistries start to act as conventional HF etching media (in the pH range 4-7) with very rapid etching.
[0121] One important area is the polishing of an oxide/nitride system and being able to achieve a high oxide to nitride selectivity. Nitride appears to undergoing slow oxidation to a silicon oxide type compound which undergoes the standard oxide polishing process. This reduces the desired polishing selectivity.
[0122] Since the HDA free base is a saturated nitrogen solution, and the free base reacts with oxygen thus creating a solution with very poor oxidizing potentials, it is possible that the nitride structures will not be readily attacked. Thus the oxide to nitride polishing selectivity should be enhanced.
[0123] Research would also be directed at determining if the HDA solutions are stable under the required CMP conditions and whether there is an enhanced selectivity among various other silicon oxide systems (SOG, TEOS, BPSG, etc.). Post-CMP Clean
[0124] The chemical nature of the wafer surface (hydrophilic or hydrophobic) will effect the method and type of solution necessary to remove particles from the wafer surface after the polishing step. The particle charge relative to the wafer surface will determine the type of chemistry that will effectively remove the particles. Zeta potentials of the particles and the effect of the solution pH on this value will need to be understood. Alumina particles can be dislodged under acid conditions but silicon oxide material requires a basic solution. [0125] At the same time it should be advantageous to use solution additives to remove metal contaminates from the wafer surface. Study of residual particle count and metal contamination levels on wafers from a post-clean procedure allows correlation of this information with the HDA solution pH and level of additives. These additives will include water soluble crown ethers and specific metal chelating agents or buffered citric acid solutions.
[0126] Though HDA and HDA related compounds can effect the particle and wafer surfaces through pH and redox chemistries, these chemical species only have a single ionic charge per molecule (though a reasonable charge density for the size of molecule involved). It may be necessary to augment the electrostatic double layer around the particles or on the wafer by adding "polyelecfrolytes" which are highly charged compounds. Normally the polyelecfrolytes are used in high enough concentration to "force" particles to clump together. In this invention we only want to add enough polyelecfrolytes encourage the particles to repel each other and away from the wafer surfaces. This will enhance the post CMP cleaning step. The concentration for this affect could range from 1 part per thousand to 10 wt%. [0127] There are several other types of redox reagents that also can be used in CMP applications which could be used by themselves or in conjunction with other chemistries, including hydroxylamine and its salts.
[0128] In accordance with another aspect of the invention, ammonium persulfate
(ammonium peroxydisulfate) can be used to remove Al, copper or tungsten using CMP methods. Though ammonium persulfate has been used to strip copper metal films from electronic component boards, this material has not been used to remove Cu in a very controlled manner. We are not aware of this chemistry being used to polish Al metal under CMP process conditions.
[0129] The tungsten CMP process appears to operate through the tungstate (WO4 =) ion. Though the current CMP processes are based on ferric nitrate or hydrogen peroxide under acid conditions another feasible route to obtain this species is to oxidize the W metal with an oxidizing agent under basic conditions. The tungstate should have maximum solubility at pH >6.
[0130] Normally ammonium persulfate solutions have a pH in the range of 2 to 3. This invention illustrates that by adjusting the oxidizing solution's pH to higher values, the resulting solution will be a very effective for polishing W metal films.
Additional Compositions and Processes
[0131] In addition to the aforementioned compositions and processes discussed above, the following describes preferred compositions and processes according to the invention. In one preferred embodiment, for example, a polishing composition is optionally but preferably substantially abrasive-free and can contain: optionally hydroxylamine; optionally at least one additive for controlling pH, e.g., an acid; at least one corrosion inhibitor, preferably a copper corrosion inhibitor, such as a copper (I) corrosion inhibitor and/or a copper (II) corrosion inhibitor, more preferably including benzotriazole or a salt or derivative thereof; water; and at least one hydroxylamine derivative having the following formula:
Figure imgf000023_0001
wherein R3 is hydrogen or a linear, branched, or cyclic hydrocarbon containing from 1 to 7 carbon atoms; and wherein X and Y are, independently, hydrogen or a linear, branched, or cyclic hydrocarbon containing from 1 to 7 carbon atoms, or wherein X and Y are linked together form a nitrogen-containing heterocyclic C4-C7 ring. When X, Y, and R3 are all hydrogen, the compound is hydroxylamine.
[0132] Examples of derivatives of hydroxylamine according to the invention include, but are in no way limited to, hydroxylamine, N-methyl-hydroxylamine, N,N-dimethyl- hydroxylamine, N-ethyl-hydroxylamine, N,N-diethyl-hydroxylamine, methoxylamine, ethoxylamine, N-methyl-methoxylamine, and the like. As used herein, hydroxylamine is not an organic, and the boiling point and flash point of hydroxylamine and hydroxylamine derivatives is of no consequence to the formulation. It should be understood that hydroxylamine and its derivatives, as defined above, are available (and may be included in a composition according to the invention) as salts, e.g., sulfate salts, nitrate salts, phosphate salts, or the like, or a combination thereof, and the invention includes these forms of hydroxylamine compounds and their derivatives. These salts greatly increase the theoretical flash point of hydroxylamine derivatives. Therefore, in another embodiment, the composition contains hydroxylamine, a sulfate, nitrate, or phosphate salt of hydroxylamine, or a combination thereof. Hydroxylamines may not be desired in a subset of the formulations described herein. Therefore, in some embodiments, the composition according to the invention is substantially free from hydroxylamine.
[0133] In one embodiment, the composition according to the invention contains water.
Water is preferred in a majority of residue removing compositions. Additionally, hydroxylamine is commercially available in an aqueous, i.e., a 50% aqueous, solution. Hydroxylamine derivatives are typically available in more concentrated aqueous forms, for example, 82% solutions with 18% water (as is the case with HAN, or hydroxylamine nitrate). However, hydroxylamine and/or hydroxylamine derivatives can be obtained or manufactured, in some instances and in some concentrations, in a water-free formulation. [0134] In one embodiment, the composition can contain an acid, e.g., to adjust the pH.
The acid maybe inorganic (e.g., hydrochloric, hydrobromic, sulfuric, sulfurous, nitric, nitrous, phosphoric, phosphorous, or the like, or a combination thereof), organic (e.g., lactic, acetic, formic, propionic, butyric, benzoic, ascorbic, carbonic, gluconic, maleic, malonic, oxalic, succinic, tartaric. citric, gallic, a polycarboxylic acid such as EDTA, or the like, or a combination thereof), or a combination thereof. In addition, acids according to the invention may include salts of acids that still have acid functional moieties, e.g., a hydrogen ascorbate, a hydrogen carbonate, a hydrogen gluconate, a hydrogen maleate, a hydrogen malonate, a hydrogen oxalate, a hydrogen succinate, a hydrogen tartarate, a hydrogen citrate, a dihydrogen citrate, a hydrogen gallate, a dihydrogen gallate, a mono-, di-, or tri- substituted salt of EDTA, or the like, or a combination thereof. In an alternate embodiment, e.g., where higher pH rather than lower is desired, the composition can contain a base to adjust the pH. The base may be inorganic (e.g., a completely substituted salt of an inorganic acid, such as those mentioned above; hydrazine or a derivative thereof; a hydroxide salt, such as ammonium hydroxide, sodium hydroxide, lithium hydroxide, potassium hydroxide, calcium hydroxide, or the like, or a combination thereof; or the like; or a combination thereof), organic (e.g., a completely substituted salt of an organic acid, such as those mentioned above; a non-hydroxyl-containing amine, such as a substituted or unsubstituted aminobenzene, a substituted or unsubstituted pyridine, a substituted or unsubstituted pyrrole, a substituted or unsubstituted pyrrolidine, a substituted or unsubstituted pyrrolid(in)one, a substituted or unsubstituted carbazole, a substituted or unsubstituted indole, or the like, or a salt thereof, or a (co)polymer containing same, or a combination thereof; or the like; or a combination thereof), or a combination thereof. In an alternate embodiment, the composition maybe substantially free from acids and/or bases to adjust pH. [0135] Hydrazines and hydrazine derivatives suitable for use according to the invention can be represented by the following formula:
Figure imgf000025_0001
wherein Ri , R2, R3, and R_t are independently hydrogen; a hydroxyl group; optionally a substituted C_-C6 straight, branched or cyclic hydrocarbon group; optionally a substituted acyl group, straight or branched alkoxy group, amidyl group, carboxyl group, alkoxyalkyl group, alkylamino group, alkylsulfonyl group, or sulfonic acid group; or single or multiple quaternary ammonium salts of such compounds.
[0136] In one embodiment, the compositions according to the invention typically contains a corrosion inhibitor. In another embodiment, the composition according to the invention contains a single corrosion inhibitor, which is preferably benzotriazole.
[0137] Alternately, corrosion inhibitors useful in the composition of the invention can be hydroxybenzenes according to the formula:
Figure imgf000025_0002
wherein n=l-4, m=2-5, and each Rm is independently hydrogen, a substituted C C-7 straight, branched or cyclic hydrocarbon group; a substituted acyl group, straight or branched alkoxy group, amidyl group, carboxyl group, alkoxyalkyl group, aklylamino group, alkylsulfonyl group, or sulfonic acid group, or the salt of such compounds. In one embodiment, the corrosion inhibitors can be dihydroxybenzene isomers and/or alkyl substituted dihydroxybenzenes. In this embodiment, the preferred corrosion inhibitors are 1,2- dihydroxybenzene and or l,2-dihydroxy-4-tert-butylbenzene.
[0138] Additional corrosion inhibitors as known in the art can also be used in the composition of the present invention. For example, corrosion inhibitors which are substantially metal-ion-free can be utilized, such as thiophenol or its derivatives according to the formula:
Figure imgf000026_0001
where R_ is preferably a hydrogen, hydroxyl, or carboxylic acid group; or an ethylenediamine tetracarboxylic acid (EDTC), or a salt thereof, having the formula:
Figure imgf000026_0002
wherein Ri, R2, R and R. can be either H, or NRsR^ / s, where R5, ^, R7, and Rs are each independently hydrogen or a linear or branched Cι-C6 hydrocarbon, or where two or more of
R5, Rδ, R , and R8 together form a heterocyclic C4-C7 ring; wherein R9 and Rio may be independently defined in each repeat unit and each of which are independently hydrogen or a linear or branched -C6 hydrocarbon, and wherein each of q, r, s, and t is a whole number from 0 to 4 (i.e., when q, r, s, or t=0, there is no atom between the nitrogen and the -COOH group in the formula above). As evident from the above formula, the EDTC can be mono-, di- or tri- substituted rather than tetra-substituted. For example, when each of q, r, s, and t are
1, when each R and Rio is a hydrogen, and when each Ri, R2, R3 and t are all hydrogens, the EDTC above is ethylenediamine tetraacetic acid (EDTA). Metal salts are not believed to be suitable for use, based upon the understood mechanism of ionic contamination in a microcircuit as caused by cleaning, as the compositions according to the invention are preferably free of metals/metal ions.
[0139] Examples of other corrosion inhibitors include, but are not limited to, nitrate salts of ammonium; hydrocarbon-substituted ammonium nitrate salts; a choline, bischoline, and/or trischoline salt, e.g., such as a hydroxide, a bisulfite, or the like; 2,4-pentandione dioxime; l,6-dioxaspiro[4,4] nonane 2,7-dione (di-ether); thiourea; ammonium bisulfite; glycerol; sorbitol; gelatine; starch; phosphoric acid; silicic acid; polyethylene oxide; polyethylene imine; and the like; or a combination thereof. Preferably, the corrosion inhibitors are substantially free of metals and/or metal ions. [0140] In one embodiment, the composition can optionally include at least one two carbon atom linkage alkanolamine compound. The generic two carbon atom linkage alkanolamine compounds suitable for inclusion in the invention have the structural formula,
Figure imgf000027_0001
wherein Ri, Ri', R2, R ', and R3 are, independently in each case, hydrogen or a linear, branched, or cyclic hydrocarbon containing from 1 to 7 carbon atoms; wherein Z is a group having the formula -(-Q-CR1Rι'-CR2R2'-)__r, such that m is a whole number from 0 to 3 (i.e., when m=0, there is no atom between the -CR2 R2'- group and the -OR3 group in the formula above), Rl5 R , R2, and R2' may be independently defined in each repeat unit, if m>l, within the parameters set forth for these moieties above, and Q may be independently defined in each repeat unit, if m>l, each Q being independently either -O- or -NR3-; and wherein X and
Y are, independently in each case, hydrogen, a -C linear, branched, or cyclic hydrocarbon, or a group having the formula -CRi Rι'-CR2 R2'-Z-F, with F being either -O-R3 or -NE^ i, where t is defined similarly to i, Ri', R2, R2', and R3 above, and with Z, Ri, Ri', R2, R2', and R3 defined as above, or wherein X and Y are linked together form a nitrogen-containing heterocyclic C4-C7 ring.
[0141] Many two-carbon atom linkage alkanolamine compounds have relatively low boiling points and relatively low flash points. The two carbon atom linkage alkanolamine compounds that may be useful in the present invention preferably have relatively high boiling points (e.g., 185°C or above, preferably 200°C or above, alternately 215°C or above) and preferably have relatively high flash points (e.g., 95°C or above, preferably 100°C or above, alternately 110°C or above). Preferred specific examples of such two carbon atom linkage alkanolamine compounds include AEEA and 2-(2-aminoethoxy) ethanol ("DGA"). AEEA, or N-hydroxyethyl-ethylenediamine, is the most preferred of the two carbon atom linkage alkanolamine compounds, though it maybe admixed with other two carbon atom linkage alkanolamine compounds to achieve a particular result, such as increased etching or lower cost.
[0142] Examples of other two-carbon atom linkage alkanolamine compounds include, but are in no way limited to, 2-aminoethanol ("monoethanolamine" or "MEA"), 2-(N- methylamino) ethanol ("monomethyl ethanolamine" or "MMEA"), 2-amino-l-propanol
("monoisopropanolamine" or "MIPA"), 2-(N-hydroxyethyl-amino)-ethanol ("diethanolamine" or "DEA"), 2-[(2-aminoethyl)-(2-hydroxyethyl)-amino]-ethanol ("N,N- bis-hydroxyethyl-ethylenediamine"), N,N,N-tris-(2-hydroxyethyl)-arnmonia ("triethanolamine" or "TEA"), N-aminoethyl-N'-hydroxyethyl-ethylenediamine, N,N'- dihydroxyethyl- ethylenediamine, 2- [2-(2-aminoethoxy)-ethylamino] -ethanol, 2- [2-(2-aminoethylamino)-ethoxy] -ethanol, 2-[2-(2-aminoethoxy)-ethoxy]-ethanol, tertiarybutyldiethanolamine, isopropanolamine, diisopropanolamine, 3-amino-l-propanol ("n-propanolamine" or "NPA"), isobutanolamine, 2-(2-aminoethoxy)-propanol; l-hydroxy-2- arninobenzene; or the like, or any combination thereof.
[0143] In one embodiment, the composition can contain a two-carbon atom linkage alkanolamine compound, in which m is greater than or equal to 1. In another embodiment, the composition can contain a two-carbon atom linkage alkanolamine compound, in which m is 1 and Ri, Ri', R2, R2', and R3 are all hydrogen or a Cι-C4 linear or branched hydrocarbon, hi an alternate embodiment, the composition can contain a two-carbon atom linkage alkanolamine compound, in which: m is 1; R1} Ri', R2, R2', and R3 are all hydrogen or a Ci- C4 linear or branched hydrocarbon; and Q is -NR3. In another alternate embodiment, the composition can contain a two-carbon atom linkage alkanolamine compound, in which: m is 1; Ri, Ri', R2, R2', and R3 are all hydrogen; X and Y are, independently, hydrogen or a linear or branched Cι-C4 hydrocarbon; and Q is -NH-, -NCH -, or -N[(C2-C4) linear or branched hydrocarbon]-. In an alternate embodiment, the composition may be substantially free from two carbon atom linkage alkanolamine compounds.
[0144] In practice, it appears that, when present, the corrosion inhibitor (particularly in the form of an EDTC, catechol, or gallic acid) enhances the ability of the two carbon atom linkage alkanolamine compound (when present) to clean/polish the substrate. At the same time, when present, the EDTC, catechol, gallic acid, or other corrosion inhibitor can help to prevent attack on the metal or metal alloy substrate, e.g., copper.
[0145] Ih one embodiment, the composition can contain a quaternary ammonium salt, e.g. , as represented by the following formula:
Figure imgf000028_0001
wherein Ri, R2, R3, and R_j are independently hydrogen; optionally a substituted Cι-C6 straight, branched or cyclic hydrocarbon group; optionally a substituted acyl group, straight or branched alkoxy group, amidyl group, carboxyl group, alkoxyalkyl group, alkylamino group, or alkylsulfonyl group, sulfonic acid group; or the salt of such compounds; and wherein the [counterion]" may be a monovalent, divalent, trivalent, or tetravalent anion and is preferably a monovalent anion. In an alternate embodiment, the composition may be substantially free from quaternary ammonium salts.
[0146] Examples of monovalent anions for use as a counterion to a quaternary ammonium salt according to the invention include, but are not limited to, hydroxyl groups, nitrate groups, bisulfite groups, bicarbonate groups, carboxylate groups having structures based on singly de-protonated carboxylic acid groups (e.g., formate, acetate, propionate, butyrate, isobutyrate, benzoate, naphthoate, or the like, or singly de-protonated forms of multiply protic carboxylic acids, such as lactate, ascorbate, glyconate, oxalate, malonate, fumarate, maleate, phthalate, isophthalate, terephthalate, gluconate, succinate, glutarate, tartrate, salicylate, glycerate, citrate, gallate, subgallate, or the like), or other acids listed herein, or the like, or a combination thereof. For a list of pKa's of various carboxylic acids and their relative likelihood of being mono-, di-, or tri- valent anions, see the Table below.
Monobasic pKal pK ,a2 pKa3 formic 3.8 acetic 4.8 propionic 4.9 n-butyric 4.9 isobutyric 4.8 benzoic 4.2 Dibasic ascorbic 4.2 11.6 gluconic 3.5 4.7 malic 3.4 5.1 malonic 2.8 5.7 oxalic 1.3 4.3 succinic 4.1 5.6 tartaric 2.9 4.2 Tribasic citric 3.1 4.8 6.9 gallic 4.2 8.9
[0147] In one embodiment, the composition according to the invention optionally contains a chelating agent. In another embodiment, the composition according to the invention contains a single chelating agent, which is preferably EDTA (or a non-metallic salt thereof), another organic polyacetic acid compound (or a non-metallic salt thereof), gallic acid, or catechol. Catechol has a boiling point of 245°C, and a flash point of 127°C. In another embodiment, the composition according to the invention contains a mixture of two chelating agents, such as catechol and gallic acid. In another embodiment, the composition according to the invention contains a mixture of two chelating agents, preferably catechol and a polyacetic acid such as EDTA, or its corresponding salt. In an alternate embodiment, the composition is substantially free from chelating agents.
[0148] Examples of chelatmg agents include, but in no way limited to, mono-, di-, or multi- hydroxybenzene-type compounds, e.g., such as catechol, resorcinol, butylated hydroxytoluene ("BHT"), and the like, or a combination thereof. In one embodiment the chelators include three or more carboxylic acid-containing moieties, e.g., such as ethylenediamine tetraacetic acid ("EDTA"), non-metallic EDTA salts, and the like, or a combination thereof. Compounds containing a two carboxylic acid moieties, such as citric acid, are less preferred. Compounds containing both hydroxyl and carboxylic acid moieties, e.g., such as gallic acid and the like, are useful in one embodiment. Aromatic compounds containing thiol groups, e.g., such as thiphenol; amino-carboxylic acids; diamines, e.g., such as ethylene diamine; polyalcohols; polyethylene oxide; polyamines; polyimines; or a combination thereof, are useful in one embodiment. In one embodiment, two or more chelating agents can be used in one composition, where the chelating agents are selected from groups described above. Alternately or additionally, some chelating agents are described in U.S. Patent No. 5,417,877, issued May 23, 1995 to Ward, and in commonly assigned U.S. Patent No. 5,672,577, issued September 30, 1997 to Lee, the disclosures of each of which are incorporated herein by reference.
[0149] Catechol can, in one embodiment, act as both a chelating agent and as a corrosion inhibitor.
[0150] In one embodiment, the composition according to the invention optionally contains a polar organic solvent. In an alternate embodiment, the compositions is substantially free of polar organic solvent. Examples of polar organic solvents for the composition according to the invention include, but are in no way limited to, dimethyl sulfoxide, ethylene glycol, ethylene glycol alkyl ether, diethylene glycol alkyl ether, triethylene glycol alkyl ether, propylene glycol, propylene glycol alkyl ether, dimethyl sulfoxide, N-substituted pyrrolidone such as N-methyl-2-pyrrolidone (NMP), sulfolanes, dimethylacetamide, and the like, or any combination thereof. Dimethylsulfone, CAS No. 126-33-0, with a boiling point of 237°C, is preferred in some embodiments of the invention. NMP, with a boiling point of 199-202°C and a flash point of only 96°C, may be useful in some embodiments because of low cost. ΝMP does, however, tend to lower the flash point of mixtures of the present invention. Similarly, DMSO, with a boiling point of 189°C and a flash point of only 95°C, is less preferred in some embodiments of the invention. 2,4- dimethylsulfolane, with a boiling point of 280°C and a flash point of 143°C, is preferred in some embodiments of the invention. Care must be taken because, in the absence of alkanolamines and the like, 2,4-dimethylsulfolane is only slightly miscible with water. [0151] According to the present invention, amines, particularly alkanolamines and also particularly low molecular weight amines, are separate from, and are not classified as, a polar organic solvent. Other additional polar organic solvents as known in the art, other than those specifically excluded, can also be used in the composition of the present invention. In an alternate embodiment, the composition according to the invention is substantially free from polar organic solvents as defined herein.
[0152] Generally, non-polar organic solvents are not preferred, though high boiling alcohols and the like may be used.
[0153] Organic solvents, including polar organic solvents, that have a boiling point less than about 100°C are undesirable in the composition according to the invention, as they tend to evaporate over a period of more than about 24-48 hours at operating conditions. Thus, generally the composition according to the invention can be substantially free of organic solvents, particularly that have a boiling point less than about 100°C. It is more preferred that the composition according to the invention be substantially free of organic solvents that have a boiling point less than about 150°C. It is even more preferred that the composition according to the invention be substantially free of organic solvents that have a boiling point less than about 199°C.
[0154] In one embodiment, the composition according to the invention optionally contains an amine compound that is not a hydroxyl-containing amine and is not an alkanolamine. In an alternate embodiment, the composition is substantially free of amines that are not hydroxyl-containing amines and that are not alkanolamines. Examples of such amine compounds include, but are in no way limited to, o-diaminobenzene, p- diaminobenzene, N-(2-aminoethyl)-ethylenediamine ("AEEDA"), piperazine, N-substituted piperazine derivatives, piperidine, N-substituted piperidine derivatives, diethylene triamine, 2-methyleneaminopropylenediamine, hexamthylene tetramine, and the like, or a combination thereof. In a preferred embodiment, when present, the non-hydroxyl-containing amine compound(s) has(have) a boiling point no less than about 100°C, or alternately no less than about 150°C. Amines may increase corrosion of certain sensitive metals. In an alternate embodiment, the composition according to the invention can be substantially free from non- hydroxyl-containing amine compounds, or non-hydroxyl-containing amine compounds having boiling points no less than about 100°C, or alternately no less than about 150°C. [0155] In one embodiment, the composition according to the invention also contains a surfactant. In an alternate embodiment, the composition is substantially free of surfactant. Examples of surfactants include, but are in no way limited to, sodium laurel sulfate, sodium stearate, and the like, or a combination thereof. [0156] In one embodiment, the composition according to the invention also contains an additional oxidizing agent (i.e., other than any hydroxylamine, hydroxylamine derivatives, pH-controlling acids/bases, two carbon atom linkage amine compounds, non-hydroxyl- containing amines, quaternary ammonium salts, and/or other components present in the composition that may serve to oxidize at least a portion of one or more of the layers on the substrate). In an alternate embodiment, the composition is substantially free of additional oxidizing agents.
[0157] Examples of additional oxidizing agents include, but are not limited to: acids and/or salts having halide ions, i.e., including ammonium and alkyl substituted ammonium halides; acids and/or salts having halate (e.g., HalO4 "x ions, where Hal is a halogen atom and (-x) is the ionic charge) ions, i.e., including ammonium and alkyl substituted ammonium halates; acids and/or salts having metalate (e.g., MO4 "x ions, where M is ametal atom, such as chromium., manganese, copper, gallium, molybdenum, or the like, and wherein (-x) is the ionic charge) ions, i.e., including ammonium and alkyl substituted ammonium metalates; acids and or salts having borate ions, i.e., including ammonium and alkyl substituted ammonium borates (e.g. , sodium borate, potassium borate, iron borate, copper borate, boric acid, or the like, or a combination thereof); acids and or salts having nitrate ions, i.e., including ammonium and alkyl substituted ammonium nitrates (e.g., ferric nitrate, sodium nitrate, calcium nitrate, copper nitrate, nickel nitrate, aluminum nitrate, potassium nitrate, nitric acid, or the like, or a combination thereof); acids and/or salts having nitrite ions, i.e., including ammonium and alkyl substituted ammonium nitrites (e.g., ferric nitrite, sodium nitrite, calcium nitrite, copper nitrite, nickel nitrite, aluminum nitrite, potassium nitrite, nitrous acid, or the like, or a combination thereof); acids and/or salts having phosphate ions, i.e., including ammomum and alkyl substituted ammonium phosphates (e.g., iron phosphate, sodium phosphate, calcium phosphate, copper phosphate, nickel phosphate, magnesium phosphate, aluminum phosphate, potassium phosphate, phosphoric acid, or the like, or a combination thereof); acids and/or salts having phosphite ions, i.e., including ammonium and alkyl substituted ammonium phosphites (e.g., iron phosphite, sodium phosphite, calcium phosphite, copper phosphite, nickel phosphite, magnesium phosphite, aluminum phosphite, potassium, phosphite, phosphorous acid, or the like, or a combination thereof); acids and/or salts having hypophosphite ions, i.e., including ammonium and alkyl substituted ammonium hypophosphites (e.g., iron hypophosphite, sodium hypophosphite, calcium hypophosphite, copper hypophosphite, nickel hypophosphite, magnesium hypophosphite, aluminum hypophosphite, potassium hypophosphite, hypophosphoric acid, or the like, or a combination thereof); acids and/or salts having sulfate ions, i.e., including ammonium and alkyl substituted ammonium sulfates (e.g., iron sulfate, sodium sulfate, calcium sulfate, copper sulfate, nickel sulfate, magnesium sulfate, aluminum sulfate, potassium sulfate, sulfuric acid, or the like, or a combination thereof); acids and/or salts having sulfite ions, i.e., including ammonium and alkyl substituted ammonium sulfites (e.g., iron sulfite, sodium sulfite, calcium sulfite, copper sulfite, nickel sulfite, magnesium sulfite, aluminum sulfite, potassium sulfite, sulfurous acid, or the like, or a combination thereof); acids and/or salts having hyposulfite ions, i.e., including ammonium and alkyl substituted ammonium hyposulfites (e.g., iron hyposulfite, sodium hyposulfite, calcium hyposulfite, copper hyposulfite, nickel hyposulfite, magnesium hyposulfite, aluminum hyposulfite, potassium hyposulfite, hyposulfuric acid, or the like, or a combination thereof); a compound containing at least one oxygen-oxygen bond, but not gaseous O2 or O3 (e.g., a peroxide such as hydrogen peroxide, benzoyl peroxide, or the like; a peracid such as peracetic acid, periodic acid, perboric acid, perchloric acid, perbromic acid, perchromic acid, or the like; a perhalate such as perchlorate, perbromate, periodate, or the like; apermetalate such as perborate, permanganate, perchromate, or the like; a di-permetalate such as di-perchromate, di-permanganate, or the like; a persulfate; a di-persulfate; a percarbonate, or the like; or a combination thereof); a compound containing at least one nitrogen-nitrogen bond, but not gaseous N (e.g., hydrazine and/or a hydrazine derivative such as described above, an azo compound such as AJOBN, a diazo compound, an azide such as sodium azide, or the like, or a combination thereof); or the like; or a combination thereof.
[0158] In one embodiment, the composition according to the invention also contains an additional non-abrasive additive. These additional additives may be spherical, discotic, elliptical, irregular, or any other shape, dense, porous, hollow, e.g., in the form of a particle, agglomerate, foam, flake, fiber/whisker, or the like, or any combination thereof), but must be substantially non-abrasive to the substrate and/or layer(s) (e.g., metal oxide, metal nitride, etc.) disposed thereon. Examples of additional non-abrasive particulate additives include, but are not limited to: polymeric additives such as rubber particles, polyurethane foams, or the like; sources of carbon such as carbon black particles, mica, or the like; relatively soft metal oxides such as iron oxide or the like; hydrated metal oxides (e.g., metal hydroxides and/or oxide hydroxides) such as aluminum hydroxides and/or oxide hydroxides (e.g., gibbsite, bayerite, nordstrandite, doyleite, boehmite, diaspore, carboirite, rankamite, simpsonite, bahianite, alumotungstite, meixnerite, hydrocalumite, kuzelite, and the like), iron hydroxides and/or oxide hydroxides (e.g., including bernalite, goethite, lepidocrocite, feroxyhyte, ferritungstite, akaganeite, derbylite, tomichite, graeserite, hemolite, kleberite, carmichaelite, yttrocrasite, bamfordite, jamborite, iowaite, muskoxite, monfroseite, and the like), manganese hydroxides and/or oxide hydroxides (e.g., including manganite, groutite, feitknechtite, nsutite, janggunite, vernadite, cianciulliite, quenselite, and the like), chromium hydroxides and/or oxide hydroxides (e.g., including woodallite, bracewellite, guyanaite, grimaldiite, and the like), tin hydroxides and/or oxide hydroxide (e.g., hydroromarchite), antimony hydroxides and/or oxide hydroxides (e.g., including partzite, stetefeldtite, romeite, stibiconite, bismutostibicomte, bindheimite, jixianite, schetehgite, brandholzite, bottinoite, cyanophylhte, cualstibite, shakhovite, and the like), niobium hydroxides and/or oxide hydroxides (e.g., including betafite, stibiobetafite, yttrobetafite, plunibobetafite, calciobetafite, pyrochlore, kalipyrochlore, strontiopyrochlore, bariopyrochlore, yttropyrochlore, ceriopyrochlore, plumbopyrochlore, bismutopyrochlore, uranpyrochlore, fersmite, and the like), tantalum hydroxides and/or oxide hydroxides (e.g., including microlite, stannomicrolite, stibiomicrolite, bariomicrolite, parabariomicrolite, plumbomicrolite, bismutomicrolite, uranmicrolite, and the like), calcium hydroxides and/or oxide hydroxides, titanium hydroxides and/or oxide hydroxides (e.g., including portlandite, kassite, kobeite, lucasite, aeschynite, niobo-aeschynite, and the like), or the like, or combinations thereof; relatively soft minerals such as talc, gypsum, magnesium sulfate, or the like; or the like, or combinations thereof. In an alternate embodiment, the composition is substantially free of additional non-abrasive particulate additives.
[0159] Advantageously, the amount of hydroxylamine derivatives (including hydroxylamine, when present) in the composition according to the invention can be from about 0.1% to about 50%, preferably from about 0.2% to about 20%, alternately from about 0.5% to about 10%, for example from about 0.5% to about 5% or from about 5% to about 10%.
[0160] When present, the amount of hydroxylamine in the composition according to the invention can be expressed in a ratio, relative to the amount of hydroxylamine derivative(s) present in the composition according to the invention. In one embodiment, the hydroxylamine:hydroxylamine derivative weight ratio can be from about 1:20 to about 20:1, for example from about 1:20 to about 1:1 or from about 1:1 to about 1:20, alternately from about 1:5 to about 1:1 or from about 1:10 to about 1:2. In another embodiment, the hydroxylamine:hydroxylamine derivative molar ratio can be from about 1:54 to about 8:1, alternately from about 1:54 to about 1:2.7 or from about 1:2.7 to about 8:1, for example from about 1:13.5 to about 1:2.7 or from about 1:2.7 to about 1.9:1. [0161] Advantageously, the amount of corrosion inhibitor in the composition according to the invention can be from about 0.01% to about 10%, preferably from about
0.01% to about 2%, more preferably from about 0.01%> to about 1%, for example, from about
0.01% to about 0.05% or from about 0.01% to about 0.1%, or alternately from about 0.1% to about 1%.
[0162] Advantageously, the amount of water in the composition according to the invention can advantageously be the majority of the composition, e.g., from about 50% to about 99%, preferably from about 60% to about 98%), for example from about 75% to about
97% or from about 65% to about 90%, alternately from about 80% to about 98% or from about 90% to about 99%.
[0163] When present, the amount of acid and/or base added into the composition according to the invention can advantageously be sufficient to adjust the pH of the composition to the desired level. A particular amount of acid and/or base to adjust the pH is not specified herein, although it is generally less than about 5%, for example, less than about
2%, alternately from about 0.01% to about 2% or from about 0.01% to about 1%.
[0164] When present, the amount of two-carbon atom linkage alkanolamine compound in the composition according to the invention can advantageously be from about 0.1% to about 15%, alternately from about 0.01% to about 5%, from about 0.2% to about 10%, from about 0.1% to about 1%, or from about 0.5% to about 5%.
[0165] When present, the amount of chelating agent in the composition according to the invention can advantageously be from about 0.01% to about 15%), for example, from about 0.1% to about 10%, alternately from about 0.01% to about 1%, from about 0.01% to about 0.1%, from about 2% to about 8%, or from about 1% to about 5%.
[0166] When present, the amount of quaternary ammonium salt in the composition according to the invention can advantageously be from about 0.01% to about 15%, for example, from about 0.1% to about 10%, alternately from about 0.01% to about 1%, from about 0.01 °/o to about 0.1%, from about 2% to about 8%, or from about 1% to about 5%.
[0167] When present, the amount of organic solvent in the composition according to the invention can advantageously be from about 0.1% to about 25%, for example from about
0.5%) to about 15%, alternately from about 0.1% to about 10% or from about 5% to about
20%.
[0168] When present, the amount of polar organic solvent in the composition according to the invention can advantageously be from about 0.1% to about 20%, for example, from about 0.1% to about 10%>, alternately from about 0.5% to about 10%, from about 2% to about 8%, or from about 1% to about 5%.
[0169] When present, the amount of non-hydroxyl-containing amine in the composition according to the invention can advantageously be from about 0.01% to about 15%, for example, from about 0.1%> to about 10%, alternately from about 0.01% to about 1%, from about 0.01% to about 0.1%, from about 2% to about 8%o, or from about 1% to about 5%. [0170] When present, the amount of surfactant in the composition according to the invention can advantageously be from about 0.01%) to about 10%, for example, from about 0.1% to about 5%, or alternately from about 0.01%> to about 1% or from about 1% to about 10%.
[0171] When present, the amount of additional oxidizing agent in the composition according to the invention can advantageously be from about 0.1% to about 10%, for example, from about 0.5%> to about 5%, alternately from about 1% to about 10%, from about 2% to about 8%, or from about 1% to about 5%.
[0172] When present, the amount of non-abrasive additives in the composition according to the invention can advantageously be from about 0.1% to about 25%, for example from about 0.5% to about 15%, alternately from about 0.1% to about 10% or from about 5% to about 20%.
[0173] Preferably, all of the compositions according to the invention have very low metal impurity/ion contents, i.e., not more than about 10 ppm total. In a preferred embodiment, the compositions according to the invention have not more than about 5 ppm total metal content, preferably not more than about 1 ppm total metal impurity and metal ion content.
[0174] In a preferred embodiment, the composition according to the invention contains: substantially no abrasive particles, a hydroxylamine derivative, a corrosion inhibitor, water, optionally hydroxylamine, optionally an acid and/or abase (e.g., to adjust pH), optionally a two carbon atom linkage alkanolamine compound, optionally a quaternary ammonium salt, optionally a chelating agent, optionally an organic solvent, optionally a non- hydroxyl-containing amine compound, optionally a surfactant, optionally an additional oxidizing agent, and optionally a non-abrasive additive. In another preferred embodiment, the composition according to the invention can be substantially free of one or more of the following: abrasive particles, hydroxylamine, acid and or base to adjust pH, two carbon atom linkage alkanolamine compounds, quaternary ammonium salts, chelating agents, organic solvents (polar and or non-polar), non-hydroxyl-containing amine compounds, surfactants, additional oxidizing agents, and non-abrasive additives.
[0175] While the compositions according to the invention are preferably substantially free of abrasive particles, the processes according to the invention may advantageously include the use of an abrasive (e.g., an abrasive pad or the like), but preferably not abrasive particles.
[0176] In a preferred embodiment, the present invention relates to a process for chemical mechanical polishing of a substrate including: providing a substantially abrasive- free chemical mechanical polishing composition as described above; contacting the chemical mechanical polishing composition with a substrate having a dielectric material surface (e.g., a metal oxide layer), upon which dielectric material a barrier layer is disposed, upon which barrier layer a metal layer is disposed; and chemically mechanically polishing the substrate by contacting the substrate surface with an abrasive polishing pad, preferably at an applied pressure of not more than about 2 psi and by moving the pad in relation to the substrate. In another preferred embodiment, the metal layer includes copper. In an alternate embodiment, the metal layer can include tungsten, aluminum, polysilicon, or the like, or a combination thereof. In another preferred embodiment, the barrier layer can be based on a refractory metal such as tantalum and/or may include a metal nitride (and preferably includes tantalum nitride). In an alternate embodiment, the barrier layer can be titanium-based. [0177] Advantageously, at least one or more of the following may apply to the process according to the invention: the removal rate during the CMP step of the barrier layer can be greater than about 500 A/min, less than about 750 A/min, or both; the removal rate during the CMP step of the dielectric layer can be less than about 10 A/min, preferably less than about 5 A/min, for example not more than about 1 A min; and the removal rate of the metal layer during the CMP step can be less than about 250 A/min, greater than about 10 A/min, or both. In another embodiment, the removal rate of the metal layer during the CMP step can be less than about 500 A/min, greater than about 50 A/min, or both.
EXAMPLES
[0178] The following non-limiting examples represent best modes contemplated by the inventors and describe the invention further. In these examples, solution chemistry was tested as follows: EXAMPLE 1 [0179] Test: Solutions of ammonium persulfate were prepared and then added to a 5% alumina slurry. The pHs were adjusted with NaOH just before use. [0180] The CMP experiments were with 10,000A tungsten wafers, at 33 rpm and 2 psig. The pad was a Rodell RC 1000 on a Logitech P5M polisher. Base line polishing experiments with only an alumina slurry have determined that there is an 8X to 10X polishing factor between the Logitech and the IPEC/Westech industrial size CMP polisher.
10% solution pH 3 removal rate 112 A/min 10%) solution pH 6 removal rate 105 A/min 10% solution pH 7.7 removal rate 196 A/min 10% solution pH 7.9 removal rate 198 A/min 5% solution pH 9 removal rate 176 A min
[0181] Notice that there appears to be a maximum value at a pH around 7.9.
EXAMPLE 2 [0182] Test: Mother composition that was tested was composed of ammonium persulfate (APS) with varying concentrations of malonic acid (MA). The pH was adjusted with sodium hydroxide. Ammonium hydroxide will be oxidized to nitrogen and water.
APS MA pH Etch Rate (A/min)
10% 1% ' 6 162
10% 1% 8.1 460
10% 0.4% 8 291
5% 1% 8.8 265
10% 0% 8 162
[0183] Notice that the best etch rates are seen at pH values above 8 and that malonic acid does have a positive effect (10%> APS, 0% MA, etch rate 162 A/min), compared to the 5%, 1% MA solution (265 A/min). [0184] There are other additives that can be added to oxidizers that can also be used in the CMP process. These additives can include oxalic acid, lactic acid, gluconic acid, malonamide, and citric acid. These organic acids should have pKa lower than the pH of the planarization solution. It is desirable to have these acids in their corresponding anion form, which should be the most effective chelation species.
[0185] In addition to malonic acid (HO2CCH2CO2H), APS can be used effectively for
W CMP when combined with other organic acids, including but not limited to: succinic acid
(HO2CCH2CH2CO2H), tartaric acid (HO2CCH(OH)CH(OH)CO2H), citric acid
(HO2CCH2C(OH)(CO2H)CH2CO2H), and oxalic acid (HO2CCO2H).
[0186] Bases that can be used to adjust the oxidizing solution's pH, include sodium hydroxide, potassium hydroxide, magnesium hydroxide, magnesium carbonate, and imidazole, among others.
[0187] There are other potential oxidizer compounds that can be included; e.g. , peroxy-monosulfuric acid (Caro's acid) (H2SO5) or its salts are very strong oxidizing agents,
(E° = -1.44V). The acid form has one proton with a dissociation constant similar to sulfuric acid while the second proton has a pKa of only 9.4.
EXAMPLE 3
[0188] A commercial product Caroat (potassium peroxomonosulfate compound, including the potassium salt of Caro's acid; empirical formula 2KHSO6KHSO4K2SO4) is a good oxidizer in aqueous system at low pH, but combined with APS, it shows promising results for W CMP at higher pH values. CAROAT is a registered product of Degussa Corporation. The following removal rates are for the Logitech PM5 polisher (33 rpm, 12" IC1000 pad, 2 psig) on 3" wafers (10,000 A sputtered W), with 5%> alumina slurry (50 parts of 10% alumina + 90% water slurry), chemistry addition rate of 100 mL/min, and slurry addition rate of 20 mL/min:
APS (pph) CAROAT (pph) pH Removal Rate (A/min) 10 1.0 5.5 90 10 1.0 7.5 139 10 1.0 8.7 349
[0189] Conclusion: Synergism between APS and Caroat enhances W removal rates, with removal rates increasing with increasing pH over the range 5.5 to 8.7. [0190] Oxone peroxymonsulfate has a standard electrode potential similar to peroxymonosulfate, with a wider range of pH stability (between 2-6 and at 12). This material has -4.5% "active" oxygen. EXAMPLE 4
[0191] APS combined with malonamide (H2NCOCH2CONH2) shows W removal rates comparable with those of APS + malonic acid using the Logitech PM5 polisher (33 rpm, 12"
ICIOOO pad, 2 psig) on 3" wafers (10,000 A sputtered W), with 5% alumina slurry (50 parts of 10% alumina + 90% water slurry), chemistry addition rate of 90 mL/min, and slurry additional rate of 20 mL/min:
APS (pph) Malonamide (pph) pH Removal Rate (A min) 5 0 9.0 176 10 1.0 9.0 429 10 2.5 8.9 385 10 2.0 7.9 250 10 0 7.9 198
[0192] Conclusion: malonamide enhances the W removal rate when combined with
APS in an aqueous system over the W removal rate of APS alone. Removal rates increase with pH.
[0193] Though the use of hydrogen peroxide is well known in the metal CMP field it does suffer from poor long term stability when mixed with slurry mixtures. The CMP users have made adjustments to this problem by segregating the peroxide solution from the slurry until just prior to usage on the polisher. This means that the CMP user must have dual dispensing systems which increases the cost of ownership which directly affects the CMP cost per wafer.
[0194] In accordance with another aspect of the invention, perborates such as sodium perborate tefrahydrate are good compounds which are indirect sources for hydrogen peroxide. The teraborate has a 10.5% active oxygen content. This compound has a different stability than hydrogen peroxide and therefore could be an important compound for CMP metal etching applications. The dry form of the perborate salt is used in many bleaching applications, including detergent formulations, tooth powders and denture cleaners. [0195] Because of the sodium perborate's low solubility it could also be used as a slurry or co slurry component. This could be very beneficial to the CMP process since the chemistry is not only acting as an abrasive but also as an oxidant. Its low solubility but direct contact with the metal/metal oxide could give better etch control. [0196] Other compounds such as sodium carbonate peroxhydrate (2Na2CO3*3H2O2) contain —14 wt%> active oxygen. This compound also has a better stability than hydrogen peroxide and therefore could be an important material for metal CMP. [0197] Test: experiments with blanket Al metal (5000 A) wafer showed that a 5 wt%> hydroxylamine solution will remove 2 A/min of the metal, but a 5 wt%> sodium percarbonate removed 6.4 A/min. The polishing conditions were with a Logitech P5M polisher with a Politex felt cloth at 33 rpm and 2 psi pressure on the 3" wafer. No slurry was used during the test.
EXAMPLE 5
[0198] Experiments with blanket W metal (10,000 A) wafer showed that a 10 wt% hydroxylamine solution will remove 3.3 A/min of the metal, but a 5 wt% sodium percarbonate removed 168 A min. Experiments also showed that a 2 wt% ferric nitrate solution will remove only 34 A min of metal. The polishing conditions were with Logitech P5M polisher with a Politex felt cloth at 33 rpm and 2 psig pressure on the 3" wafer. No slurry was used during the test.
[0199] In accordance with a further aspect of the invention, another compound that will be of interest will be the urea hydrogen peroxide complex which will permit a more controlled introduction of the oxidizing chemistry into the slurry system.
EXAMPLE 6
[0200] Experiments with blanket W metal (10,000 A) wafer showed that a 15 wt%> hydrogen perioxide solution with 5%> alumina slurry removed 109 A/min of the metal, yet only a 2 wt% urea hydrogen peroxide with only a 2 wt% alumina slurry removed 83 A/min. It is interesting that a solution seven times more dilute and less slurry removes almost as much metal as the hydrogen peroxide solution. The polishing conditions were with a Logitech P5M polisher with a Politex felt cloth at 33 rpm and 2 psig pressure on the 3" wafer. [0201] This combination of chemicals will generate environmentally "friendly" waste products (urea and oxygen).
[0202] In accordance with still another aspect of the invention, another commercially available oxidizing agent that could effective for planarization tungsten or copper metal is peracetic acid. The decomposition products include only oxygen and acetic acid (vinegar). [0203] Test: Experiments with blanket W metal (10,000 A) wafer showed that a 15 wt% hydrogen peroxide solution with a 5% alumina slurry removed 109 A/min of the metal, yet only a 3.5 t% peracetic acid with only a 2 wt% alumina slurry removed 166 A/min. It is interesting that a solution four time mores dilute and less slurry removes 50% more metal as the hydrogen peroxide solution. The polishing conditions were with a Logitech P5M polisher with a Politex felt cloth at 33 rpm and 2 psig pressure on the 3" wafer.
[0204] In accordance with a further aspect of the invention, another unique idea is to blend two different chemistries to achieve synergistic interactions. Two possible chemicals that could be blended are hydrogen peroxide and hydroxylamine.
EXAMPLE 7
[0205] Experiments with blanket W metal (10,000 A) wafer showed that a 1 wt% hydrogen peroxide solution with a 5% alumina slurry removed 109 A/min of the metal, yet a 10 wt%> H2O2 mixed with a 10% hydroxylamine with only a 5 wt% alumina slurry removed 731 A/min. The pH was adjusted to 8.7. The polishing conditions were with a Logitech P5M polisher with a Politex felt cloth at 33 rpm and 2 psig pressure on the 3" wafer.
EXAMPLE 8
[0206] Experiments with blanket W metal (10,000 A) wafer showed that a 10 wt% hydroxylamine solution will remove 3.3 A/min of the metal, but a 5 wt% H2O2 and 5 wt% hydroxylamine (pH 7.5) removed 380 A/min. Experiments also showed that a 2 wt% ferric nitrate solution "will remove only 34 A/min. of metal. The polishing conditions were with a Logitech P5M polisher with a Politex felt cloth at 33 rpm and 2 psig pressure on the 3" wafer. No slurry was used during the test.
[0207] Another aspect of the invention is to blend two different chemistries to achieve synergistic interactions. Two possible chemical that could be blended are ammomum persulfate and potassium periodate. Potassium periodate has a higher oxidation level compared to the potassium iodate.
EXAMPLE 9
[0208] Experiments with blanket W metal ( 10,000 A) wafer showed that a 10 wt% ammonium persulfate solution with a 5%> alumina slurry removed 162 A/min of the metal
(pH 8), yet a 10 wt% ammonium persulfate mixed with a 2%> KIO4 with only a 5 wt%> alumina slurry removed 637 A/min. The pH was adjusted to 6.9.
[0209] When a 2 wt%> potassium iodate (KIO3) was substituted into the ammonium persulfate solution, the polishing rate decreased to 246 A/min. The polishing conditions were with a Logitech P5M polisher with a Politex felt cloth at 33 rpm and 2 psi pressure on the 3" wafer.
[0210] In another aspect of the invention, a similar chemistry to that of the previous aspect uses a synergism between ammonium persulfate (APS) and periodic acid (rather than potassium periodate) for polishing tungsten.
EXAMPLE 10
[0211] Removal rates of W generally increase with pH for the periodic acid (H5IO6) in water without APS on 3" wafers coated with sputtered W (10,000 A) using 1% or 2.5% alumina (10 or 25 parts of 10% alumina + 90% water slurry), 0-3 parts NH4OH to adjust pH, chemistry and slurry combined together at a chemistry/slurry addition rate of 50-100 mL/min, and the Logitech PM5 polisher (33 rpm, 12" ICIOOO pad, 2 psig):
Alumina (pph) Periodic Acid (pph) pH Removal Rate (J A/min) 1.0 2.0 1.4 130 1.0 2.0 1.9 274 1.0 2.0 2.1 326 2.5 2.0 2.5 252 2.5 2.0 6.8 4.26
[0212] Conclusion: tungsten removal rates increase at higher pH values over a pH range of 1 to 7 with a constant concentration of periodic acid.
EXAMPLE 11
[0213] Periodic acid in water added to APS increases the removal rate of W over APS alone at pH 1; increasing the amount of periodic acid used with 10 parts APS also increases the W removal rate using the Logitech PM5 polisher (33 rpm, 12" ICIOOO pad, 2 psig), 3" wafers (10,000 A sputtered W), 0-3 parts NH4OH to adjust pH, 1% alumina (10 parts of 10% alumina + 90% water slurry), and chemistry/slurry addition rate of 100 mL/min: APS (pph) Periodic Acid (PPh) pH Removal Rate (A/min) 0 2.0 2.4 130 10 2.0 1.1 386 10 0.5 3.5 118 10 2.0 5.2 388 10 0 6 112
[0214] Conclusion: there is a synergistic effect that enhances W removal rate when
APS and periodic acid are used together. Increased removal rates are observed over a pH range of 1-7.
EXAMPLE 12
[0215] Constant removal rates were observed for several days in an aqueous periodic acid/NH OH system without APS using 0-3 parts NH OH to adjust pH, 2.5% alumina (25 parts of 10% alumina +90% water slurry) added to the chemistry immediately prior to polishing 3" wafers (10,000 A sputtered W), chemistry/slurry addition rate of 100 mL/min, and the Logitech PM5 polisher (33 rpm, 12" IC 1000 pd, 2 psig): ime (days) Period Acid (parts per 100) Removal Rate (A/min) O 2.0 252 3 2.0 255
[0216] Conclusion: periodic acid has a very good polishing rate when used alone, and, unlike hydrogen peroxide, has a good chemical stability over several days.
EXAMPLE 13
[0217] A comparison of removal rates for the aqueous periodic acid system is shown below between the Logitech polisher (2 psig) with 3" wafers (10,000 A sputtered W) and the Strasbaugh 6EC polisher (5-7 psig) with 200 mm wafers (10,000 A sputtered W). Operating conditions were pH 6-7, 2.5% alumina (25 parts of 10%> alumina + 90% water slurry), no APS, chemistry/slurry addition rate of 200 mL/min for the Strasbaugh 6EC (40-50 rpm, 22" perforated ICIOOO over SUBA IV pads) and 100 mL/min for the Logitech PM5 (33 rpm, 12" ICIOOO pad). The comparison suggests that the removal rates determined used the larger Strasbaugh polisher are 6 to 8.6 times larger than those obtained using the smaller Logitech polisher. Period Acid pH Downforce Table Speed Polisher Removal Rate (Pph) (psig) (rpm) (A/min) 2.0 6.8 2 33 Logitech PM5 426 2.0 6 5 40 Strasbaugh 6EC 2535 2.0 6 5 40 Strasbaugh 6EC 2727 2.0 6 5 50 Strasbaugh 3174 2.0 6 7 50 Strasbaugh 6EC 3666
[0218] Conclusion: these results for W polishing show that when comparing removal rates determined using the Logitech planarizer to larger planarizers such as the Strasbaugh 6EC, the removal rates must be scaled up by a factor of 6 to 8.6.
[0219] Expanding on the last two aspects of the invention, a comparison of polishing rates for the periodate salts potassium periodate (KIO4) and the lithium periodate (LiH_tIO6) was accomplished, as well as for potassium iodate (KIO3) that was used in Wang et al., published PCT Application WO 97/13889, dated 17 April 1997. The KIO4 system proved to have higher removal rates for W than did the KIO3 system; W removal rates are enhanced when synergistically combining KIO4 and APS; and both K and Li periodate may be used to oxidize W in near-neutral pH regimes, thus getting away from corrosion problems associated with very low pH CMP systems. In mixtures of K and Li periodates with APS, systems with higher proportions of Li:K provide higher W removal rates.
EXAMPLE 14
[0220] Addition of APS to KIO3 in water increases the W removal rate, and increasing amounts of KIO3 added to APS also increase W removal rates over a pH range of 5.8 to 7.8 (pH adjusted with 0-3 parts NaOH) using the Logitech PM5 polisher (33 rpm, 12" ICIOOO pad, 2 psig), 3" wafers (10,000 A sputtered W), 5% alumina (50 parts of 10% alumina + 90% water slurry), and separate addition of chemistry and slurry with a chemistry addition rate of 90 mL/min, and slurry addition rate of 20 mL/min:
APS (pph) KIO3 (pph) pH Removal Rate (A/min) 0 2.0 7.0 193 10 2.0 7.2 246 10 2.0 5.8 208 10 2.0 7.2 339 10 2.0 7.8 350 [0221] Conclusion: adding APS to KIO3 increases the W removal rate, increasing pH of the combined APS/KIO3/water system increases the W removal rate, and increasing the concentration of KIO3 in the combined system increases the W removal rate.
EXAMPLE 15
[0222] The aqueous potassium periodate (KIO4) system, with the same polishing parameters as above, also shows a synergistic effect when combined with APS and shows even a greater removal rate for W than the potassium iodate system. NaOH (0-3 parts) was used to adjust pH. Operating conditions included using the Logitech PM5 polisher (33 rpm, 12" IC 1000 pad, 2 psig), 3" wafers (10,000 A sputtered W), 5% alumina (50 parts of 10% alumina + 90% water slurry), chemistry addition rate of 90 mL/min, and slurry addition rate of 20 mL/min:
APS (pph) KIO4 (pph) pH Removal Rate (A min) 0 0.2 7.9 142 10 0.2 7.7 405 10 2.0 6.9 637 (supersaturated solution)
EXAMPLE 16
[0223] Mixtures of Li and K periodate show improved removal rates for higher proportions of Li:K. There is also an effect of pH noted in the table below: increased removal rate with increasing pH. Polishing parameters are for the Logitech PM5 polisher (33 rpm, 12" ICIOOO pad, 2 psig), 3" wafers (10,000 A sputtered W), 1% alumina (10 parts of 10% alumina + 90% water slurry), and chemistry/slurry addition rate of 100 mL/min:
APS (pph) LilhIOo- (pph) ' KIO4 (pph) pH Removal Rate (A/min) 10 0.4 0.0 7.2 382 10 0.3 0.1 7.2 215 10 0.2 0.2 6.5 175 10 0.1 0.3 6.1 170
[0224] Conclusion: addition of Li and/or K periodate to an aqueous APS system enhances W removal at near-neutral pH. In mixed Li/K periodate + APS systems, higher proportions of Li:K provide higher W removal rates at near-neutral pH. EXAMPLE 17
[0225] Tungsten removal rates using the 10 parts APS + 0.4 parts Li periodate are stable for a period of several days when combined with alumina slurry. The pH was not adjusted, but stayed near-neutral, between pH 6.4 and 7.6, during the course of the test. Polishing was done used the Logitech PM5 polisher (33 rpm, 12" ICIOOO pad, 2 psig), 3" wafers (10,000 A sputtered W), 5%> alumina (50 parts of 10% alumina + 90% water slurry), and chemistry/slurry addition rate of 100 mL/min:
Time (days) Removal Rate (A/min) 15 218 7 244 15 218
[0226] Conclusion: even when combined with alumina slurry, the APS/LiHdOδ water system has high and stable removal rates for more than 2 weeks, providing a better shelf life than the acidic ferric nitrate/water alumina system which must be combined at point-of-use.
EXAMPLE 18
[0227] A quantity of 500 ml of two comparative chemical solutions was each placed in a 600 ml beaker equipped with a magnetic stirring rod. The first ammonium persulfate solution consisted of 114 parts of ammonium persulfate in deionized water to give total of 1000 parts of solution having a pH of 3.1. The second ferric (III) nitrate solution consisted of 40 parts of ferric (III) nitrate nanohydrate dissolved in deionized water to give a total of 1000 parts of solution having a pH of 1.5. These solutions were tested with silicon wafers at room temperature as follows:
[0228] Three inch wafers with a 300 A Ti metal adhesion layer and 3000 A sputtered
Cu were used. At selected time intervals, the wafer sample was removed, rinsed with DI water and then dried with nitrogen gas. A conventional four point probe was used to determine the metal film thickness. The etch rates were: Ammonium persulfate 3000 A/min Ferric (III) nitrate 1287 A min
[0229] One would have expected the chemistry with the lowest pH (more acidic), i.e., the ferric (III) nitrate solution, to etch the Cu the fastest. EXAMPLE 19
[0230] In this series of tests, the effectiveness of hydroxylamine nitrate at various pH levels was tested for etch wafers with 3000 A sputtered Cu and a 300 A Ti adhesion layer. The apparatus was as used in Example 1. The solution was composed of 24 parts by weight of 82 weight percent hydroxylamine nitrate in 176 parts by weight of DI water. The pH was adjusted with small quantities of hydroxylamine, as the free base. The hydroxylamine free base was composed of 20 parts by weight of its commercially available approximately 50 percent by weight aqueous solution and 80 parts by weight deionized water. Also used was an ammonium hydroxide solution composed of 80 parts by weight of a 25 percent by weight aqueous ammonium hydroxide solution and 120 parts by weight of deionized water. [0231 ] After a certain interval, the wafer was rinsed with deionized water and dried with nifrogen. The wafer was then weighed. A separate blank Ti wafer was etched in a 10 percent by weight H2O2 solution to determine the amount of Cu on each 3 inch wafer. The results obtained are shown in the table below.
Chemistry pH Etch rate (A/min) Hydroxylamine nitrate 3 120 Hydroxylamine nitrate 4 150 Hydroxylamine nitrate 5 600 Hydroxylamine (free base) 11.7 75 Ammonium hydroxide 12.7 100
[0232] It is well known that Cu metal will be etched with inorganic and organic amines at pHs above 9. It is also known that Cu metal will be etched at very low pHs (below 3). The above results are quite surprising, since a significant etch rate was seen at ph 5.
[0233] In a further aspect of the invention, other chemistries that have given good
CMP process results are based on hydroxylamine nitrate (HAN) and other hydroxylamine salts. Besides several examples with HAN, one example examines the use of citric acid in combination with HAN. Other combinations could include mono-, di- and tri- organic acids. Examples of such acids include, but are not limited to acetic acid, malonic acid and citric acid, respectively. EXAMPLE 20
[0234] Amines (and ammonia compounds) are more effective in neutral or basic solutions for polishing (etching) copper. Some ammonium compounds have only moderate success at polishing copper at low pHs. Hydrogen peroxide chemistries are usually used at low pHs. The following example shows that hydroxylamine nitrate (HAN, a mild oxidizing agent) will effectively polish copper. Hydroxylamine and its salts are not amines but do contain the NH2-group found in inorganic and organic amines. Hydroxylamine' s NH2 group is attached to a hydroxyl (HO-group) which is not found in "amines" and does influence its oxidation-reduction potential.
[0235] These results were obtained by immersing a copper wafer (10,000 A) in stirred
10%) hydroxylamine nitrate solutions (12.2 parts of 82% HAN in 87.8 parts water) for various time periods. At certain time periods the wafers were removed, rinsed with DI water, dried with nitrogen and then weighed to the nearest 0.1 mg. Another wafer from the same group was etched with an ammonium peroxydisulfate solution (10 parts peroxydisulfate and 90 parts water) until there was no further weight loss. It was possible to use weight ratios to determine the metal loss in A min. The hydroxylamine nitrate results were compared to a 10% ammonium hydroxide solution (10 parts 27% ammonium hydroxide in 90 parts water) under similar conditions. pH Removal Rate (A/min) HAN 3.1 120 HAN 4.0 150 HAΝ 5.0 600 NH4OH 12.7 100
[0236] This example shows that hydroxylamine compound will remove copper metal and that there is a definite optimum pH. The ammonium hydroxide had the poorest etch rate even though this is an optimum pH region for etching copper with amines.
EXAMPLE 21
[0237] In this example the hydroxylamine nitrate chemistry is used in a slurriless polishing system. A Logitech PM5 polishing system (used for CMIP modeling experiments) was used with a Politex felt pad at 33 rpm with 2 psig pressure on the 3" copper wafer. The 5% chemistry (6.1 parts HAN with 95.9 parts water) was added to the polishing table at 50 mL/min. The removal rate was determined by a Four Dimensions four point probe used for determining metal film thickness on wafers. pH Removal Rate (A/min) 4.2 18 6.0 218
[0238] This example shows that there is a pH effect with the HAN solutions. The metal film had a very bright finish.
EXAMPLE 22
[0239] In this example a 10% hydroxylamine nitrate solution (12.2 parts of HAN in
87.8 parts water) mixed with a 2.5% silicon oxide slurry was used with a Politex pad on the Logitech PM5 polisher was 33 rpm with 2 psig pressure on the 3" copper wafer. The chemistry was added to the polishing pad at 90 mL/min. The removal rate was determined by a Four Dimensions four point probe for determining metal film thickness on wafers. pH Removal Rate (A/min) 2.6 1270 4.0 1014
[024O] This example shows that the use of a silicon oxide slurry will shift the effective polishing rate to very low pHs with very good copper removal rates. This example also shows that the HAN chemistry works well with slurries with the Logitech modeling equipment. The metal film had a very bright finish.
EXAMPLE 23
[02 1] In this example a commercial alumina slurry is used with various chemistries.
The slurry concentration was 2.5% used with a Politex pad on the Logitech PM5 polisher at
33 rpm with 2 psig pressure on the 3" copper water. The hydrogen peroxide solution was composed of 15 parts of a 30% H202 solution mixed with 85 parts of water. pH Removal Rate (A/min) 5% HAN 5 950+ 5% HAN 5 950+ 5% HAN 6 575+ 15%H2O2 4 65 H2O 4.8 44
[0242] This example shows that the polishing rate for HAN is reproducible and is polishing better than the traditional hydrogen peroxide chemistry for copper CMP. The water experiment shows that the copper polishing rate is not solely a pH effect. The metal films polished with HAN had very bright finishes, but the hydrogen peroxide polished wafer was "cloudy" and the water polished wafer was dull.
EXAMPLE 24
[0243] Another important feature is a good shelf life after the slurry and chemistry are mixed together. Currently the hydrogen peroxide/slurry systems are so unstable that the industry currently mixes the slurry and the chemistry only at the point of use. Premixed hydrogen peroxide/slurry solutions only have several hours of useful life. [0244] In this example a 0.5 wt%> hydroxylamine nitrate solution (0.6 parts of HAN in
99.4 parts water) mixed with a 2.5%> alumina slurry. A master batch was made and stored in a plastic container. Samples of the chemistry/slurry were then removed after certain number of days and used in the polishing experiment. The pH of the slurry varied only between 4 and 4.1 during the 22 day trial. The slurry mixture was used with a Politex pad on the Logitech PM5 polisher at 33 rpm with 2 psig pressure on the 3" copper wafer. The chemistry was added to the polishing pad at 50 mL/min. The removal rate was determined by a Four Dimensions four point probe for determining metal film thickness on wafers.
Day Removal Rate (A min) 0 637 4 1064 22 558 [0245] Except for the fourth day result which increased by -40%, the 22nd day result clearly shows that the chemistry is still giving good polishing rates. The metal films had very bright finishes.
EXAMPLE 25
[0246] Another feature is the selectivity of the polishing rate between different materials on the wafer. It is important that all materials (metals and the surrounding DDL layers) are not polished at the same rate, otherwise it would be difficult to stop at a specific layer.
[0247] The following example shows the selectivity between the copper metal and a
BPSG film. In this example a 0.5 wt% hydroxylamine nitrate solution (0.6 parts of HAN in 99.4 parts water) is mixed with a 2.5% alumina slurry. The pH of the slurry varied between 4 and 4.4. The slurry mixture was used with a Politex pad on the Logitech PM5 polisher at 33 rpm with 2 psig pressure on the 3" copper wafer. The chemistry was added to the four point probe for determining metal film thickness on wafers, and the BPSG film thickness was determined by ellipsometer.
[0248] The copper film removal rate was 637 A/min while the BPSG film was only polished at a 37 A/min rate. The selectivity of Cu to BPSG was 17.2. This means that the polishing process will "stop" when the BPSG layer is reached, since it has a much slower polishing rate.
[0249] In a further aspect of the invention, another way to polish copper is to use a combination of chelating agents (polyfunctional organic acids) with the conjugate hydroxylamine salts.
EXAMPLE 26
[0250] In this example a solution of citric acid (8.8 parts citric acid adjusted with hydroxylamine to a pH 4.2 to 4.4, the remainder is water) is mixed with various concenfration of hydroxylamine (HDA) to obtain solutions with pHs close to neutral. These chemistries were used in a slurry polishing system. A Logitech PM 5 polishing system was used with a Politex felt pad at 33 rpm with 2 psig pressure on the 3" copper wafer. The chemistries were added to the polishing table between 20 to 90 mL/min. The removal rate was determined by a Four Dimensions four point probe for determining metal film thickness on wafers. Parts Citric Acid Sol. Parts HDA pH Removal Rate (A/min) 100 0 4.2 58 95 5 6.6 64 90 10 954 80 20 7.0 1100
[0251] This example shows that even though the pH is only varied over a 0.4 pH range
(for the HDA salt solutions) there was a significant increase in the copper etch rate, related to the increase in the hydroxylamine salt of the citric acid.
EXAMPLES 27-41
[0252] In these Examples, compositions were made containing: hydroxylamine and/or hydroxylamine salts; benzotriazole; optionally added acid, such as sulfuric or nitric, to control pH; and DI water, as shown in the Table below. In that Table, 82% HAN is 82 wt% hydroxylamine nitrate (NH2OH*HNO3) in water; 50%. HDA® is 50 wt% hydroxylamine (NH2OH) in water; BTZ solution is 0.2 wt% benzotriazole in water; 25% TMAH is 25wt% tetramethylammonium hydroxide in water; and 15% IPHA is 15 wt% isopropylhydroxylamme in water. Comparative Example #1, as shown in the Table below, is commercially available from EKC Technologies, Inc., of Maynard, CA.
Example t% hydroxylamine wt% BTZ solution t% acid (added) Water (added) pH Comparative #1 1.23 (82% HAN) 8 0.006 (nitric) 90.8 2.3-2.7 #27 2 (82% HAN) 8 0.006 (nitric) 90 2.8-3.5 #28 2 (82% HAN) 13 0.006 (nitric) 85 2.8-3.5 #29 3 (82% HAN) 8 0.006 (nitric) 89 2.8-3.5 #30 3 (82% HAN) 12 0.006 (nitric) 85 2.8-3.5 #31 3 (82!% HAN) 19.5 0.006 (nitric) 77.5 2.8-3.5 #32 5 (82% HAN) 8 0.006 (nitric) 87 2.8-3.5 #33 5 (82% HAN) 12 0.006 (nitric) 83 2.8-3.5 #34 5 (82% HAN) 20 0.006 (nitric) 75 2.8-3.5 #35 5 (82% HAN) 32.5 0.006 (nitric) 62.4 2.8-3.5 #36 2 (82% HAN) 8 <0.006 (sulfuric) -88 ~4 2 (50% HDA) #37 2 (82% HAN) 8 1.8 (sulfuric) 86.3 -2.5 2 (50% HDA) #38 1.23 (82% HAN) 10 none 88.6 5.2-5.7 0.2 (50% HDA) #39 1.23 (82% HAN) 10 none 88.4 5.3-5.4 0.4 (50% HDA) #40 1.23 (82% HAN) 10 none 88.6 -5.5 0.2 (25% TMAH) #41 1.23 (82% HAN) 10 none 88.6 -5.5 0.2 (15% IPHA)
[0253] For Example #39, when using a 1 psi downforce, the composition exhibited an etch rate for TaN of about 200-400 A/min. However, when the composition of Example 49 was used with a fixed pad, commercially available from 3M of St. Paul, MN (and with the following process conditions: -2 psi downforce; -70 RPM TS; -75 RPM CS; —175 mL/min flow rate; -4-15 second wash, e.g., for cleaning; and a temperature of about 70°F), it etched the layers of a Cu/TaN/Metal oxide substrate as follows: Cu: 118 A/min TaN: 580 A min MO: -0 A/min
[0254] The average TaN etch rates for the compositions of Examples 38 and 40-41 on substrates similar to the one used above are delineated as follows: Example pH Avg TaN etch rate (lpsi pH Adjusted by using #38 -5.5 714 A/min HDA50 #40 -5.5 740 A/min TMAH #41 -5.5 770 A/min IPHA
[0255] It was noted that use of Example 41 (containing IPHA) resulted in heavy scratches at first but a comparable end product to the other compositions. It was also noted that the composition of Example 40 (containing TMAH) exhibited better performance than the composition of Example 38 (containing HDA50).
[0256] The invention now being fully described, it will be apparent to one of ordinary skill in the art that many changes and modifications can be made thereto without departing from the spirit or scope of the invention.

Claims

WHAT IS CLAIMED IS: 1. A chemical mechanical polishing composition for polishing a metal, a metal oxide, and/or a metal nitride layer of a substrate, which composition is substantially free of abrasive particles and comprises: a hydroxylamine derivative; a corrosion inhibitor; and water, wherein water comprises the majority of the composition.
2. The chemical mechanical polishing composition of claim 1 , wherein the hydroxylamine derivative comprises hydroxylamine nitrate, hydroxylamine sulfate, and/or hydroxylamine.
3. The chemical mechanical polishing composition of claim 2, wherein the hydroxylamine derivative is present in a total amount from about 1% to about 5% by weight of the composition.
4. The chemical mechanical polishing composition of claim 1, wherein the corrosion inhibitor comprises benzotriazole.
5. The chemical mechanical polishing composition of claim 4, wherein the corrosion inhibitor consists essentially of benzotriazole.
6. The chemical mechanical polishing composition of claim 5, wherein the conosion inhibitor is present in a total amount from about 0.01% to about 0.05%o by weight of the composition.
7. The chemical mechanical polishing composition of claim 1, wherein the water is present in a total amount from about 90% to about 99% by weight of the composition.
8. The chemical mechanical polishing composition of claim 1, further comprising a sufficient amount of an acid and/or a base to adjust the pH of the composition to a desired level.
9. The chemical mechanical polishing composition of claim 8, wherein the acid and/or base are present in a total amount from about 0.01 %> to about 2% by weight of the composition.
10. The chemical mechanical polishing composition of claim 1, further comprising one or more of the following: a two carbon atom linkage alkanolamine compound, a quaternary ammonium salt, a chelating agent, an organic solvent, a non- hydroxyl-containing amine compound, a surfactant, an additional oxidizing agent, and a non- abrasive additive.
11. The chemical mechanical polishing composition of claim 1 , which is substantially free of one or more of the following: hydroxylamine, acid and or base to adjust pH, two carbon atom linkage alkanolamine compounds, quaternary ammonium salts, chelating agents, organic solvents, non-hydroxyl-containing amine compounds, surfactants, additional oxidizing agents, and non-abrasive additives.
12. A chemical mechanical polishing composition for polishing a metal, a metal oxide, and/or a metal nitride layer of a substrate, which composition is substantially free of abrasive particles and consists essentially of: about 1 %> to about 5% by weight of a hydroxylamine derivative selected from the group consisting of hydroxylamine, hydroxylamine nitrate, hydroxylamine sulfate, and mixtures thereof; about 0.01%o to about 0.05%> by weight of benzotriazole; about 90% to 99% by weight of water; and less than about 2% by weight of an acid and/or a base to adjust the pH of the composition to a desired level.
13. The chemical mechanical polishing composition of claim 12, which is substantially free of hydroxylamine.
14. A process for chemical mechanical polishing of a substrate comprising: providing a substantially abrasive-free chemical mechanical polishing composition that comprises a hydroxylamine derivative, a corrosion inhibitor, water, and optionally a sufficient amount of an acid and/or a base to adjust the pH of the composition to a desired level, wherein the majority of the composition comprises water; contacting the chemical mechanical polishing composition with a substrate having a metal oxide layer surface, upon which metal oxide surface a barrier layer is disposed, upon which barrier layer a metal layer is disposed; and chemically mechanically polishing the substrate by contacting the substrate surface with an abrasive polishing pad at an applied pressure of not more than about 2 psi and by moving the pad in relation to the substrate, wherein the removal rate of the barrier layer greater than about 500 A/min, and wherein the removal rate of the metal oxide layer is less than about 10 A/min.
15. The process of claim 14, wherein the removal rate of the metal layer during the chemical mechanical polishing step is less than about 250 A/min.
16. The process of claim 14, wherein the removal rate of the metal layer during the chemical mechanical polishing step is greater than about 10 A/min.
17. The process of claim 14, wherein the removal rate of the barrier layer during the chemical mechanical polishing step is less than about 750 A/min.
18. The process of claim 14, wherein the abrasive-free chemical mechanical polishing composition is substantially free of one or more of the following: hydroxylamine, acid and/or base to adjust pH, two carbon atom linkage alkanolamine compounds, quaternary ammonium salts, chelating agents, organic solvents, non-hydroxyl- containing amine compounds, surfactants, additional oxidizing agents, and non-abrasive additives.
19. The process of claim 14, wherein the abrasive-free chemical mechanical polishing composition consists essentially of: about 1 % to about 5% by weight of a hydroxylamine derivative selected from the group consisting of hydroxylamine, hydroxylamine nitrate, hydroxylamine sulfate, and mixtures thereof; about 0.01% to about 0.05% by weight of benzotriazole; about 90% to 99% by weight of water; and less than about 2%> by weight of an acid and/or a base to adjust the pH of the composition to a desired level.
20. The process of claim 19, wherein the abrasive-free chemical mechanical polishing composition is substantially free of hydroxylamine.
21. The process of claim 14, wherein the metal layer of the substrate comprises copper.
22. The process of claim 21 , wherein the barrier layer of the substrate comprises tantalum nitride.
23. The process of claim 14, wherein the barrier layer of the substrate comprises tantalum nitride.
PCT/US2004/034563 2003-10-21 2004-10-21 Abrasive-free che.mical mechanical polishing composition and polishing process containing same WO2005042658A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/689,043 2003-10-21
US10/689,043 US20040134873A1 (en) 1996-07-25 2003-10-21 Abrasive-free chemical mechanical polishing composition and polishing process containing same

Publications (1)

Publication Number Publication Date
WO2005042658A1 true WO2005042658A1 (en) 2005-05-12

Family

ID=34549837

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/034563 WO2005042658A1 (en) 2003-10-21 2004-10-21 Abrasive-free che.mical mechanical polishing composition and polishing process containing same

Country Status (3)

Country Link
US (1) US20040134873A1 (en)
KR (1) KR20060126970A (en)
WO (1) WO2005042658A1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG131867A1 (en) * 2005-10-13 2007-05-28 Air Prod & Chem Aqueous cleaning composition and method for using same
WO2008069781A1 (en) * 2006-12-04 2008-06-12 Basf Se Planarization composition for metal surfaces comprising an alumina hydrate abrasive
EP2054487A1 (en) * 2006-08-17 2009-05-06 International Business Machines Corporation Solution for forming polishing slurry, polishing slurry and related methods
US8921295B2 (en) 2010-07-23 2014-12-30 American Sterilizer Company Biodegradable concentrated neutral detergent composition
WO2019083847A1 (en) 2017-10-25 2019-05-02 Saint-Gobain Ceramics & Plastics, Inc. Composition for conducting material removal operations and method for forming same
US10988718B2 (en) 2016-03-09 2021-04-27 Entegris, Inc. Tungsten post-CMP cleaning composition

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6825156B2 (en) * 2002-06-06 2004-11-30 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US6419554B2 (en) * 1999-06-24 2002-07-16 Micron Technology, Inc. Fixed abrasive chemical-mechanical planarization of titanium nitride
US20040038840A1 (en) * 2002-04-24 2004-02-26 Shihying Lee Oxalic acid as a semiaqueous cleaning product for copper and dielectrics
KR100720985B1 (en) * 2002-04-30 2007-05-22 히다치 가세고교 가부시끼가이샤 Polishing fluid and polishing method
US8003587B2 (en) * 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
US7005382B2 (en) * 2002-10-31 2006-02-28 Jsr Corporation Aqueous dispersion for chemical mechanical polishing, chemical mechanical polishing process, production process of semiconductor device and material for preparing an aqueous dispersion for chemical mechanical polishing
US20060249482A1 (en) * 2003-05-12 2006-11-09 Peter Wrschka Chemical mechanical polishing compositions for step-ll copper line and other associated materials and method of using same
PL1664935T3 (en) * 2003-08-19 2008-01-31 Avantor Performance Mat Inc Stripping and cleaning compositions for microelectronics
KR100583118B1 (en) * 2003-12-19 2006-05-23 주식회사 하이닉스반도체 Method for Forming Capacitor of Semiconductor Device
US7435712B2 (en) * 2004-02-12 2008-10-14 Air Liquide America, L.P. Alkaline chemistry for post-CMP cleaning
CN1690120A (en) * 2004-03-01 2005-11-02 三菱瓦斯化学株式会社 Resin compositions with high vibration damping ability
JP2005294798A (en) * 2004-03-08 2005-10-20 Asahi Glass Co Ltd Abrasive and polishing method
US20060183654A1 (en) * 2005-02-14 2006-08-17 Small Robert J Semiconductor cleaning using ionic liquids
US7923424B2 (en) * 2005-02-14 2011-04-12 Advanced Process Technologies, Llc Semiconductor cleaning using superacids
US20060213868A1 (en) * 2005-03-23 2006-09-28 Siddiqui Junaid A Low-dishing composition and method for chemical-mechanical planarization with branched-alkylphenol-substituted benzotriazole
EP1871855B1 (en) 2005-03-25 2010-03-24 DuPont Air Products NanoMaterials L.L.C. Dihydroxy enol compounds used in chemical mechanical polishing compositions having metal ion oxidizers
US20060223320A1 (en) * 2005-03-30 2006-10-05 Cooper Kevin E Polishing technique to minimize abrasive removal of material and composition therefor
US20070039926A1 (en) * 2005-08-17 2007-02-22 Cabot Microelectronics Corporation Abrasive-free polishing system
US7316977B2 (en) * 2005-08-24 2008-01-08 Air Products And Chemicals, Inc. Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
TWI385226B (en) 2005-09-08 2013-02-11 羅門哈斯電子材料Cmp控股公司 Polymeric barrier removal polishing slurry
EP1932174A4 (en) * 2005-10-05 2009-09-23 Advanced Tech Materials Oxidizing aqueous cleaner for the removal of post-etch residues
US7632796B2 (en) 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US8263539B2 (en) * 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
JP2007235036A (en) * 2006-03-03 2007-09-13 Toshiba Ceramics Co Ltd Method for treating hydrophilization of silicon wafer and hydrophilizing agent used therefor
US7538969B2 (en) * 2006-08-23 2009-05-26 Imation Corp. Servo pattern with encoded data
KR20090076938A (en) * 2006-09-25 2009-07-13 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 Compositions and methods for the removal of photoresist for a wafer rework application
US7820068B2 (en) * 2007-02-21 2010-10-26 Houghton Technical Corp. Chemical assisted lapping and polishing of metals
TWI437093B (en) * 2007-08-03 2014-05-11 Epoch Material Co Ltd Aqueous cleaning composition for semiconductor copper processing
US7655608B2 (en) * 2007-08-03 2010-02-02 Dynaloy, Llc Reduced metal etch rates using stripper solutions containing a copper salt
TW200927918A (en) * 2007-08-20 2009-07-01 Advanced Tech Materials Composition and method for removing ion-implanted photoresist
KR20100082833A (en) * 2007-09-06 2010-07-20 이케이씨 테크놀로지, 인코포레이티드 Compositions and method for treating a copper surface
EP2207872B1 (en) * 2007-10-29 2013-07-03 Ekc Technology, Inc. Novel nitrile and amidoxime compounds and methods of preparation
CN103131330B (en) * 2008-02-01 2015-09-23 福吉米株式会社 Composition for polishing and use its Ginding process
TWI450052B (en) * 2008-06-24 2014-08-21 Dynaloy Llc Stripper solutions effective for back-end-of-line operations
US8617418B2 (en) * 2009-03-30 2013-12-31 Toray Industries, Inc. Conductive film removal agent and conductive film removal method
US8518865B2 (en) * 2009-08-31 2013-08-27 Air Products And Chemicals, Inc. Water-rich stripping and cleaning formulation and method for using same
KR101829399B1 (en) * 2010-03-04 2018-03-30 삼성전자주식회사 photosensitive-resin remover composition and method of fabricating semiconductor device using the same
TWI539493B (en) 2010-03-08 2016-06-21 黛納羅伊有限責任公司 Methods and compositions for doping silicon substrates with molecular monolayers
MY159125A (en) * 2010-03-31 2016-12-15 Hoya Corp Manufacturing method of a glass substrate for a magnetic disk
JP5688639B2 (en) * 2010-12-24 2015-03-25 日本パーカライジング株式会社 Etching agent for aluminum alloy
US20140030897A1 (en) * 2011-02-03 2014-01-30 Sumco Corporation Polishing composition and polishing method using the same
JP2015519723A (en) * 2012-03-18 2015-07-09 インテグリス,インコーポレイテッド Post-CMP formulations with improved compatibility with barrier layers and cleaning performance
JP5788923B2 (en) * 2012-03-23 2015-10-07 富士フイルム株式会社 Conductive composition, conductive member, method for manufacturing conductive member, touch panel and solar cell
KR102118964B1 (en) * 2012-12-05 2020-06-08 엔테그리스, 아이엔씨. Compositions for cleaning iii-v semiconductor materials and methods of using same
SG11201603122XA (en) 2013-10-21 2016-05-30 Fujifilm Electronic Materials Cleaning formulations for removing residues on surfaces
CN105873691B (en) 2013-12-06 2018-04-20 富士胶片电子材料美国有限公司 For removing the cleaning composite of the residue on surface
KR102447178B1 (en) 2015-09-01 2022-09-26 삼성전자주식회사 Methods of manufacturing semiconductor devices
KR102545800B1 (en) * 2015-12-04 2023-06-20 솔브레인 주식회사 Composition for etching and manufacturing method of semiconductor device using the same
JP6788988B2 (en) * 2016-03-31 2020-11-25 株式会社フジミインコーポレーテッド Polishing composition
KR102434147B1 (en) 2016-10-06 2022-08-19 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. Cleaning formulations for removing residues on semiconductor substrates
CA3045480C (en) 2016-12-23 2022-08-30 Saint-Gobain Abrasives, Inc. Coated abrasives having a performance enhancing composition
US9786489B1 (en) * 2017-03-17 2017-10-10 United Microelectronics Corp. Method of cleaning post-etch residues on a copper line
CN110431210B (en) * 2017-03-23 2022-09-20 福吉米株式会社 Polishing composition
US10702893B2 (en) 2017-03-24 2020-07-07 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions for removing residues on semiconductor substrates
IL277275B2 (en) 2018-03-28 2023-11-01 Fujifilm Electronic Mat Usa Inc Cleaning compositions
JP7108042B2 (en) * 2018-09-12 2022-07-27 富士フイルム株式会社 Chemical solution, substrate processing method
EP4022002A4 (en) * 2019-08-30 2023-08-23 Saint-Gobain Ceramics and Plastics, Inc. Fluid composition and method for conducting a material removing operation
CN113789519B (en) * 2021-08-12 2024-02-02 上海新阳半导体材料股份有限公司 Application of cleaning liquid after chemical mechanical polishing

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6313039B1 (en) * 1996-07-25 2001-11-06 Ekc Technology, Inc. Chemical mechanical polishing composition and process
WO2002063669A2 (en) * 2000-10-27 2002-08-15 Applied Materials, Inc. Method and apparatus for two-step barrier layer polishing
US20030022801A1 (en) * 2000-05-11 2003-01-30 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP title

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL121229C (en) * 1960-09-12
US3385682A (en) * 1965-04-29 1968-05-28 Sprague Electric Co Method and reagent for surface polishing
US3410802A (en) * 1966-02-21 1968-11-12 Fmc Corp Process and composition for etching of copper metal
DE1621510A1 (en) * 1967-03-23 1971-04-29 Siemens Ag Solvent mixture with nitric acid and hydrofluoric acid for wet chemical etching of silicon
US3668131A (en) * 1968-08-09 1972-06-06 Allied Chem Dissolution of metal with acidified hydrogen peroxide solutions
UST105402I4 (en) * 1983-03-10 1985-05-07 Method for polishing amorphous aluminum oxide
US4556449A (en) * 1984-10-15 1985-12-03 Psi Star Nickel etching process and solution
FR2582675B1 (en) * 1985-06-03 1992-10-02 Solvay BATHS AND METHODS FOR CHEMICAL POLISHING OF STAINLESS STEEL SURFACES
US4724042A (en) * 1986-11-24 1988-02-09 Sherman Peter G Dry granular composition for, and method of, polishing ferrous components
US5279771A (en) * 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
US6492311B2 (en) * 1990-11-05 2002-12-10 Ekc Technology, Inc. Ethyenediaminetetraacetic acid or its ammonium salt semiconductor process residue removal composition and process
US6187730B1 (en) * 1990-11-05 2001-02-13 Ekc Technology, Inc. Hydroxylamine-gallic compound composition and process
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US6121217A (en) * 1990-11-05 2000-09-19 Ekc Technology, Inc. Alkanolamine semiconductor process residue removal composition and process
US6110881A (en) * 1990-11-05 2000-08-29 Ekc Technology, Inc. Cleaning solutions including nucleophilic amine compound having reduction and oxidation potentials
US6242400B1 (en) * 1990-11-05 2001-06-05 Ekc Technology, Inc. Method of stripping resists from substrates using hydroxylamine and alkanolamine
JP3160344B2 (en) * 1991-01-25 2001-04-25 アシュランド インコーポレーテッド Organic stripping composition
US5928430A (en) * 1991-01-25 1999-07-27 Advanced Scientific Concepts, Inc. Aqueous stripping and cleaning compositions containing hydroxylamine and use thereof
US5225034A (en) * 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5328553A (en) * 1993-02-02 1994-07-12 Motorola Inc. Method for fabricating a semiconductor device having a planar surface
US6326130B1 (en) * 1993-10-07 2001-12-04 Mallinckrodt Baker, Inc. Photoresist strippers containing reducing agents to reduce metal corrosion
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5726099A (en) * 1995-11-07 1998-03-10 International Business Machines Corporation Method of chemically mechanically polishing an electronic component using a non-selective ammonium persulfate slurry
US5858813A (en) * 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
JP2982692B2 (en) * 1996-06-06 1999-11-29 日本電気株式会社 Nonvolatile semiconductor memory device and driving method thereof
US5866031A (en) * 1996-06-19 1999-02-02 Sematech, Inc. Slurry formulation for chemical mechanical polishing of metals
US6030932A (en) * 1996-09-06 2000-02-29 Olin Microelectronic Chemicals Cleaning composition and method for removing residues
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5773364A (en) * 1996-10-21 1998-06-30 Motorola, Inc. Method for using ammonium salt slurries for chemical mechanical polishing (CMP)
US5735963A (en) * 1996-12-17 1998-04-07 Lucent Technologies Inc. Method of polishing
US6268323B1 (en) * 1997-05-05 2001-07-31 Arch Specialty Chemicals, Inc. Non-corrosive stripping and cleaning composition
US5891205A (en) * 1997-08-14 1999-04-06 Ekc Technology, Inc. Chemical mechanical polishing composition
US6190237B1 (en) * 1997-11-06 2001-02-20 International Business Machines Corporation pH-buffered slurry and use thereof for polishing
US5997658A (en) * 1998-01-09 1999-12-07 Ashland Inc. Aqueous stripping and cleaning compositions
JP3606738B2 (en) * 1998-06-05 2005-01-05 東京応化工業株式会社 Treatment liquid after ashing and treatment method using the same
US6533832B2 (en) * 1998-06-26 2003-03-18 Cabot Microelectronics Corporation Chemical mechanical polishing slurry and method for using same
US6000111A (en) * 1998-10-13 1999-12-14 Deskins; R. Timothy Device for containing, concealing, and protecting footwear fasteners
US6469163B1 (en) * 1998-12-24 2002-10-22 Praxair Technology Inc. Method for production of hydroxylamine sulfate in the conventional process for the synthesis of caprolactam
US6248704B1 (en) * 1999-05-03 2001-06-19 Ekc Technology, Inc. Compositions for cleaning organic and plasma etched residues for semiconductors devices
US6251150B1 (en) * 1999-05-27 2001-06-26 Ekc Technology, Inc. Slurry composition and method of chemical mechanical polishing using same
US6235693B1 (en) * 1999-07-16 2001-05-22 Ekc Technology, Inc. Lactam compositions for cleaning organic and plasma etched residues for semiconductor devices
US6347978B1 (en) * 1999-10-22 2002-02-19 Cabot Microelectronics Corporation Composition and method for polishing rigid disks
JP3450247B2 (en) * 1999-12-28 2003-09-22 Necエレクトロニクス株式会社 Metal wiring formation method
US6258983B1 (en) * 2000-10-12 2001-07-10 The United States Of America As Represented By The Secretary Of The Navy Method of preparing solid hydroxylamine nitrate
JP3816743B2 (en) * 2000-11-24 2006-08-30 Necエレクトロニクス株式会社 Chemical mechanical polishing slurry
US6612911B2 (en) * 2001-01-16 2003-09-02 Cabot Microelectronics Corporation Alkali metal-containing polishing system and method
US6488767B1 (en) * 2001-06-08 2002-12-03 Advanced Technology Materials, Inc. High surface quality GaN wafer and method of fabricating same
US7008554B2 (en) * 2001-07-13 2006-03-07 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US20030162398A1 (en) * 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US20050056810A1 (en) * 2003-09-17 2005-03-17 Jinru Bian Polishing composition for semiconductor wafers

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6313039B1 (en) * 1996-07-25 2001-11-06 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US20030022801A1 (en) * 2000-05-11 2003-01-30 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP title
WO2002063669A2 (en) * 2000-10-27 2002-08-15 Applied Materials, Inc. Method and apparatus for two-step barrier layer polishing

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG131867A1 (en) * 2005-10-13 2007-05-28 Air Prod & Chem Aqueous cleaning composition and method for using same
US7879782B2 (en) 2005-10-13 2011-02-01 Air Products And Chemicals, Inc. Aqueous cleaning composition and method for using same
EP2054487A1 (en) * 2006-08-17 2009-05-06 International Business Machines Corporation Solution for forming polishing slurry, polishing slurry and related methods
EP2054487A4 (en) * 2006-08-17 2010-09-08 Ibm Solution for forming polishing slurry, polishing slurry and related methods
US8328892B2 (en) 2006-08-17 2012-12-11 International Business Machines Corporation Solution for forming polishing slurry, polishing slurry and related methods
US8636917B2 (en) 2006-08-17 2014-01-28 International Business Machines Corporation Solution for forming polishing slurry, polishing slurry and related methods
WO2008069781A1 (en) * 2006-12-04 2008-06-12 Basf Se Planarization composition for metal surfaces comprising an alumina hydrate abrasive
US8921295B2 (en) 2010-07-23 2014-12-30 American Sterilizer Company Biodegradable concentrated neutral detergent composition
US10988718B2 (en) 2016-03-09 2021-04-27 Entegris, Inc. Tungsten post-CMP cleaning composition
WO2019083847A1 (en) 2017-10-25 2019-05-02 Saint-Gobain Ceramics & Plastics, Inc. Composition for conducting material removal operations and method for forming same
EP3700990A4 (en) * 2017-10-25 2021-07-14 Saint-Gobain Ceramics and Plastics, Inc. Composition for conducting material removal operations and method for forming same

Also Published As

Publication number Publication date
US20040134873A1 (en) 2004-07-15
KR20060126970A (en) 2006-12-11

Similar Documents

Publication Publication Date Title
US20040134873A1 (en) Abrasive-free chemical mechanical polishing composition and polishing process containing same
EP0852615B1 (en) Chemical mechanical polishing composition and process
US5981454A (en) Post clean treatment composition comprising an organic acid and hydroxylamine
EP2602309B1 (en) Method of cleaning an electronic device with an alkaline liquid composition comprising a phosphonic acid derivative chelating agent
TWI434957B (en) Integrated chemical mechanical polishing composition and process for single platen processing
US7273060B2 (en) Methods for chemically treating a substrate using foam technology
US20090130849A1 (en) Chemical mechanical polishing and wafer cleaning composition comprising amidoxime compounds and associated method for use
US20060249482A1 (en) Chemical mechanical polishing compositions for step-ll copper line and other associated materials and method of using same
TW200538544A (en) Alkaline post-chemical mechanical planarization cleaning compositions
US6858124B2 (en) Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
WO2008036823A2 (en) Uric acid additive for cleaning formulations
WO2019073931A1 (en) Cleaning fluids, cleaning method, and production method for semiconductor wafer
JP5817310B2 (en) Cleaning device and cleaning method for semiconductor device substrate
KR20190016093A (en) Post chemical-mechanical-polishing cleaning composition
WO2003064581A1 (en) Methods and compositions for chemically treating a substrate using foam technology
JP2008277848A (en) Chemical mechanical polishing composition and process
US20040140288A1 (en) Wet etch of titanium-tungsten film
Wang et al. A modified multi-chemicals spray cleaning process for post-CMP cleaning application
JP2015203047A (en) Substrate cleaning liquid for semiconductor device and method for cleaning substrate for semiconductor device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020067009811

Country of ref document: KR

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 1020067009811

Country of ref document: KR