WO2005049884A2 - Method for depositing silicon carbide and ceramic films - Google Patents

Method for depositing silicon carbide and ceramic films Download PDF

Info

Publication number
WO2005049884A2
WO2005049884A2 PCT/US2004/037064 US2004037064W WO2005049884A2 WO 2005049884 A2 WO2005049884 A2 WO 2005049884A2 US 2004037064 W US2004037064 W US 2004037064W WO 2005049884 A2 WO2005049884 A2 WO 2005049884A2
Authority
WO
WIPO (PCT)
Prior art keywords
reaction chamber
flow rate
substrate
precursor
silicon carbide
Prior art date
Application number
PCT/US2004/037064
Other languages
French (fr)
Other versions
WO2005049884A3 (en
Inventor
Mehran Mehregany
Christian A. Zorman
Xiao-An Fu
Jeremy L. Dunning
Original Assignee
Case Western Reserve University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Case Western Reserve University filed Critical Case Western Reserve University
Priority to EP04810481A priority Critical patent/EP1690287A2/en
Priority to AU2004291847A priority patent/AU2004291847A1/en
Priority to CA002546081A priority patent/CA2546081A1/en
Priority to JP2006539688A priority patent/JP4758354B2/en
Publication of WO2005049884A2 publication Critical patent/WO2005049884A2/en
Publication of WO2005049884A3 publication Critical patent/WO2005049884A3/en
Priority to IL175640A priority patent/IL175640A0/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Definitions

  • the present invention relates to silicon carbide and other films, and, more particularly, to controlled deposition of these films on a substrate.
  • MEMS/NEMS Semiconductor, micro- and nanoelectromechanical systems
  • MEMS/NEMS Semiconductor, micro- and nanoelectromechanical systems
  • One of the important steps in creating MEMS and NEMS devices is the deposition of thin films of material onto substrates. Once the fil s are deposited, various etching techniques may be employed to shape the deposited film.
  • silicon is a primary material.
  • Silicon carbide is a material that has very good physical and chemical characteristics, and is noted for these properties at temperatures above about 300°C. Silicon carbide is an advantageous material for use in films for MEMS and NEMS, particularly because of its exceptional electrical, mechanical, and chemical properties compared to silicon in normal and harsh operating environments.
  • the present invention provides methods of depositing films on a substrate that enables control of the residual stress, residual stress gradient, and electrical resistivity of the deposited film.
  • the invention includes films of various compositions, such as ceramic films with the ceramic compound having a metallic and non-metallic component.
  • the film is a silicon carbide film.
  • the silicon carbide film is deposited by chemical vapor deposition onto a substrate, such as a silicon substrate, by placing the substrate in a reaction chamber and evacuating the chamber to a pressure below about 10 mtorr. The temperature of the chamber is maintained at about 900°C.
  • a carbon precursor, such as acetylene (5% in hydrogen) is supplied to the chamber at a flow rate of about 180 standard cubic centimeters per minute (seem).
  • a silicon precursor, such as dichlorosilane (DCS) is supplied to the chamber at a flow rate of about 54 seem. As the precursors are supplied, the pressure of the reaction chamber increases and may be maintained at a fixed pressure.
  • the chamber is maintained at a pressure of about 2.0 torr.
  • a carbon precursor such as acetylene (5% in hydrogen)
  • a silicon precursor such as DCS
  • a silicon precursor such as DCS
  • tensile films with appreciable stress gradients are deposited at DCS flow rates below 35 seem and compressive films with appreciable stress gradients are deposited at DCS flow rates above 35 seem.
  • the film has a very low residual tensile stress ( ⁇ 20 MPa), a negligible stress gradient and a resistivity that is less than 10 ⁇ -cm without intentional doping. So control of the flow rate of the metal element precursor, in this case the silicon precursor DCS, with other parameters fixed resulted in control of the residual tensile stress, stress gradient, and electrical resistivity.
  • the present invention also relates to substrates having a silicon carbide film deposited thereon in which the residual stress is 0 ⁇ 100 MPa and the achieved electrical resistivity is less than about 10 ⁇ -cm, and to semiconductor, 3MEMS, and NEMS devices having such substrates.
  • Figure 1 is a schematic view of an apparatus used in the present invention.
  • Figure 2 is a schematic view of another embodiment of an apparatus used in the present invention.
  • Figure 3 is a graph of residual stress versus pressure for one embodiment of the present invention.
  • Figure 4(a) is a SEM micrograph of a silicon carbide cantilever from a film made in accordance with one embodiment of the present invention
  • Figure 4(b) is another SEM micrograph of a silicon carbide cantilever from a film made in accordance with one embodiment of the present invention.
  • Figure 5 is a graph of electrical resistivity versus deposition pressure for one embodiment of the present invention
  • Figure 6 is a graph of residual stress versus dischlorosilane flow rate for one embodiment of the present invention
  • Figure 7 is a SEM micrograph of a silicon carbide cantilever from a film made in accordance with one embodiment of the present invention.
  • Figure 8 is a graph of electrical resistivity versus dischlorosilane flow rate for one embodiment of the present invention.
  • the present invention relates to the deposition of film, preferably a silicon carbide (SiC) film, onto a substrate with control of various properties, such as residual stress, residual stress gradient, and electrical resistivity,
  • SiC silicon carbide
  • the invention will be described as it relates to deposition of SiC onto a silicon substrate, particularly for use with MEMS and NEMS devices.
  • the invention is only exemplified by such description and is limited only by the claims included herein.
  • Silicon carbide film is desirable for use in MEMS and NEMS devices, as described above. Control of key properties, such as residual tensile stress, residual tensile stress gradient, and electrical resistivity, provides SiC films that may be effectively used in MEMS and NEMS devices. Silicon carbide films having low residual stress, less than about 100 MPa, and preferably less than about 50 MPa, are highly desirable for MEMS and NEMS applications. Conventional deposition techniques have heretofore been unable to achieve such low stress values in polycrystalline silicon carbide films.
  • control of stress properties such as residual stress and residual stress gradient, and electrical resistivity properties also may be desired to achieve other preselected values that may not be IO ⁇ V stress values.
  • SiC silicon carbide
  • Examples of such applications include pressure sensors for internal combustion and jet engines, wind tunnel sensors and instrumentation, and instrumentation and control systems of nuclear power systems.
  • silicon carbide can be used in device structures commonly made from silicon, such as acceleration sensors, biomedical sensors and actuators and other applications not typically characterized by harsh environments. Silicon carbide can be used as an alternative material to sihcon, capitalizing on the superior mechanical and chemical properties, as well as comparable electrical properties between SiC and silicon.
  • Applicants have successfully produced thin polycrystalline SiC films with controlled properties on silicon and silicon dioxide substrates in which the residual tensile stress is at or near zero, the electrical resistivity is very low, and the residual tensile stress gradient is near zero.
  • These films were produced by a low pressure chemical vapor deposition process, using dicholorosilane (SiH 2 Cl 2 ) as the precursor for silicon (Si) and a mixture of 5% acetylene (C 2 H 2 ) in hydrogen (H 2 ) as the precursor for carbon.
  • Applicants have determined that control of the silicon precursor flow rate and/or the pressure at which the deposition occurs allows for production of SiC film having the properties described above.
  • Successful production of cantilevers, bridges, membranes, and lateral resonant structures has been completed, demonstrating the viability of the material fabricated in accordance with the present invention in micromachining applications.
  • Examples of possible alternate silicon precursors include silane, trichlorosilane, and tetrachlorosilane, among others.
  • Possible alternate carbon precursors include carbon-containing gases, methane, propane, ethylene, xylene, butane, carbon tetrabromide, and other hydrocarbons.
  • Possible alternate silicon and/or carbon precursors may include single-source precursors for both sihcon and carbon.
  • Examples of possible single-source precursors for both silane and carbon include halosilane, trimethylsilane, tetramethylsilane, dimethyldimethoxysilane, tetramethylcyclotetrasiloxane, bis-trimethylsilylmethane, methyltrichlorosilane, tetraethylsilane, silacyclobutane, disilabutane, and any other material suitable for use as a single source precursor, as can be determined by one of ordinary skill in the art.
  • a single-source precursor is used, then either a separate carbon precursor or sihcon precursor may be provided to the chamber in order to correctly control the ratio of carbon to silicon in the reactor.
  • the flow rate of the single source of the sihcon or the single source of the carbon may be varied to achieve the proper ratio of carbon gas to silicon gas within the chamber so that the appropriate reaction occurs at the appropriate rate to deposit the silicon carbide film with the properties described above.
  • silicon-based films such as silicon nitride (Si 3 N ), silicon dioxide (SiO 2 ), silicon oxynitride (SiO x N y ) and silicon carbon nitride (SiC x N y ) may also be deposited with the method of the present invention using the appropriate precursors.
  • appropriate precursors may include silane (SfflU) or DCS for a silicon precursor, and ammonia (NH 3 ) for a nitrogen precursor.
  • the silicon precursor flow rate or the deposition pressure may be varied to achieve a deposited film having the properties described above. The optimal range of deposition pressure and silicon precursor flow rate may be determined without undue experimentation in accordance with this invention.
  • Ceramic films based on a non-silicon ceramic may also be deposited with the method of the present invention to achieve the properties described above.
  • Ceramic herein is defined as inorganic, nonmetaUic materials, typically crystalline in nature (but could be amorphous), and generally are compounds formed between metallic and nonmetaUic elements, such as aluminum and oxygen (alumina — Al 2 Os), calcium and oxygen (calcia — CaO), silicon and oxygen (silica — SiO 2 ), and other analogous oxides, nitrides, borides, sulfides, and carbides.
  • the flow rate of the nonmetaUic precursor is held fixed and the deposition pressure or the flow rate of the metaUic precursor would be varied to achieve the properties described above.
  • the optimal range of deposition pressure and metallic precursor flow rate may be determined without undue experimentation using the procedures provided herein.
  • Other compound semiconducting films based on materials other than silicon may also be deposited with the method of the present invention to achieve the properties described above. These materials include, but are not hmited to, GaN, GaAs, InP, and other analogous semiconductor materials deposited by chemical vapor deposition.
  • the examples described herein use silicon as the substrate material.
  • the method described herein is not limited to use of silicon and silicon derivative substrates, such as silicon carbide and silicon dioxide, but rather can be applied to the deposition on any substrate material where the resultant film is subjected to a residual stress.
  • Figs. 1 and 2 illustrate the apparatuses used to conduct the following procedures.
  • a reaction chamber 10, 110 of a low pressure vapor deposition apparatus 12, 112 Prior to loading sihcon substrate, wafers, of chips into a reaction chamber 10, 110 of a low pressure vapor deposition apparatus 12, 112, the wafers 14, 114 were cleaned using a standard RCA cleaning procedure. Silicon wafers 14, 114 of 100 mm diameter were placed into a conventional hot-wall horizontal cylindrical quartz furnace 16, 116.
  • the reaction or deposition chamber 10, 110 was 2007 mm in length and 225 mm in diameter.
  • the wafers were held in a SiC boat 18, 118 that rested on a paddle 20, 120 attached to a moveable front flange 22, 122 and placed near the center of the reaction chamber 10, 110.
  • the furnace tube was of conventional design, consisting of a long, quartz cylinder 17 that was capped on each end with metal flanges 22, 26.
  • the injection tubes 24 were attached to small ports on each of these flanges 22, 26.
  • the front flange 22 consisted of a large circular plate that served as the chamber door. This door was attached to a cantilever assembly for automatic loading and unloading.
  • the paddle 20 holding the SiC 18 boat was attached only to the inside surface of the front flange door assembly 22.
  • the rear flange 26 was not movable and was equipped with an outlet port that was attached to the vacuum system 28.
  • Precursor gases were simultaneously introduced via the gas injection tubes 24 through gas inlets 25 and ports in both the front flange 22 and the rear flange 26.
  • the injector tubes 24 were omitted.
  • the furnace tube consisted of a long quartz cylinder 117 that was circular in cross section at the front end 130 and conical in shape at the rear 132.
  • the front flange assembly 122 was as described above and was affixed to the front end 130 of the cylinder.
  • the rear 132 of the cylinder needed no flange, but instead contained a quartz nipple 134 that attached directly to the vacuum system 128. Gases were introduced into the chamber through gas inlets 125 and ports in the front flange 122. No tooling was included to inject the gases directly beneath the wafer boat 118.
  • the vacuum system 28, 128 consisted of a roots blower and mechanical pump combination (not shown) that can reach a base pressure of less than 1 mtorr in a fully loaded system, regardless of configuration. Pressure was controlled through pressure control system 36, 136. A butterfly valve 37, 137 was provided to assist with the pressure control. The gas flow rates and pressure control systems 36, 136 were controUed by a conventional furnace control computer system (not shown). The temperature in the reaction chamber 10, 110 was controlled via resistive heating coils 38, 138.
  • Each load consisted of 25 Si wafers evenly distributed in a single, 50-slot SiC boat. The first and last five wafers were designated as baffling wafers to stabilize gas flow. Wafers in slots 6, 10, 13, 16, and 20 from the loading end were designated for study.
  • Fig. 1 illustrates the low pressure chemical vapor deposition apparatus 12 used for these tests. Depositions were performed for two hours at pressure settings from about 0.42 torr to about 5 torr. In several cases, longer times were used to deposit thicker films. The flow rates of DCS and acetylene (5% in hydrogen) were held constant at about 54 standard cubic centimeters per minute (seem) and 180 seem, respectively. The temperature was held fixed at about 900°C. The furnace was configured with injector tubes to introduce the acetylene and DCS gases into the reaction chamber.
  • the thickness of the films was measured optically using a Nanospec 4000 AFT spectrophotometer.
  • the film residual stresses were determined by measuring the curvature of the silicon wafers before and after film deposition, using a laser- based curvature measuring system (Frontier Semiconductor measurement, FSM 120). Silicon carbide films were deposited on both sides of the wafer, and reactive etching in a CHF 3 /O 2 mixture was used to remove the film deposited on the backside of the wafers.
  • Figure 3 illustrates the relationship between the deposition pressure and the residual tensile stress of the SiC at 900°C resulting from this series of tests.
  • the residual stress changed roughly from about 700 MPa (tensile) at 456 mtorr to about -100 MPa (compressive) at 5 torr, with films deposited at about 2.65 torr having near zero residual stress. Films deposited at pressures from about 2.5 torr to about 5 torr had stress values between about 100 MPa and -100 MPa.
  • the value of stress varied little from wafer to wafer in the same run, as indicated by Figure 3.
  • Single layer cantilever beams were fabricated from about 500 nm-thick polycrystaUine SiC films made in accordance with this example to characterize the stress gradient at various deposition pressures.
  • the stress gradient is the change in the magnitude of residual stress as a function of film thickness. Stress gradients can cause cantilever beams to bend, whereas beams made from films with little or no stress gradient remain flat.
  • a stress gradient near zero is desirable when the planarity of device structures is required.
  • a residual stress gradient in the structural layers of MEMS/NEMS devices is desirable in applications where curved or strained structures are needed. In such structures, precise control of residual stress gradient is required. Control of stress gradients requires precision control of residual stresses.
  • Figure 4(a) illustrates a cantilever beam 210 made in accordance with the present invention at about 2.65 torr.
  • the beam 210 is generally flat and exhibits little, if any, bending.
  • Figure 4(b) illustrates a stressed cantilever beam 212 made in accordance with the present invention at about 3.75 torr. This beam 212 bends slightly upward.
  • Figure 5 illustrates the electrical resistivity of films made in accordance with the present invention at various deposition pressures. These data indicate a relationship between deposition pressure and electrical resistivity. The minimum electrical resistivity occurs near the deposition pressure at which the residual stress and the residual stress gradient are nearly zero, namely, about 2.65 torr.
  • Electrical resistivity is less than 10 ⁇ -cm at deposition pressures from slightly greater than about 2.0 torr to about 4.5 torr. While these values may seem high relative to other semiconductors (including SiC), these measurements were made from polycrystaUine films that were not doped either before or after deposition. It is common practice to use doping procedures to reduce the electrical resistivity of semiconducting materials, especially SiC. These findings strongly suggest that doping during the deposition process will be most effective using conditions that favor low stress and low stress gradients.
  • Figure 6 illustrates the measured residual stress versus the flow rate of the DCS.
  • the observed residual stress decreased as a function of DCS flow rate until a flow rate of 36 seem.
  • the residual stress was substantially the same at a flow rate of 54 seem as it was at a flow rate of 36 seem.
  • the residual stress as a function of flow rate, as illustrated in Figure 6, appears to be similar to the residual stress as a function of deposition pressure, as illustrated in Figure 3.
  • Figure 7 is a SEM micrograph of a micromachined second cantilever beam 214 made in accordance with this example of the present invention at a dicholorosilane flow rate of about 35 seem As seen from Figure 6, a dicholorosilane flow rate of about 35 seem corresponds to residual stress of less than 50 MPa. Films with low residual stress values, such as the second cantilever beam 214 in Figure 7, exhibit very low residual stress gradient. The second cantilever beam 214 illustrated in Figure 7 exhibits substantially no bending.
  • Figure 8 is a graph of electrical resistivity versus DCS flow rate, illustrating that the electrical resistivity exhibits a strong relationship to DCS flow rate.
  • the minimum value of electrical resistivity slightly greater than 3 ⁇ -cm, occurs at 35 seem and 36 seem DCS.
  • the films were not intentionally doped either during or after the deposition process.
  • the electrical resistivity value and the residual stress nearest to zero occurred at a DCS flow rate of about 35 seem.

Abstract

A method of depositing a ceramic film, particularly a silicon carbide film, on a substrate is disclosed in which the residual stress, residual stress gradient, and resistivity are controlled. Also disclosed are substrates having a deposited film with these controlled properties and devices, particularly MEMS and NEMS devices, having substrates with films having these properties.

Description

SILICON CARBIDE AND OTHER FD MS AND METHOD OF DEPOSITION STATEMENT REGARDING FEDERALLY SPONSORED RESEARCH OR DEVELOPMENT [0001] A part of this invention was made with government support under Contracts No. NCA3-201 awarded by NASA and DABT 63-1-0010 awarded by DARPA. The government has certain rights in this invention.
BACKGROUND [0002] The present invention relates to silicon carbide and other films, and, more particularly, to controlled deposition of these films on a substrate.
[0003] Semiconductor, micro- and nanoelectromechanical systems (MEMS/NEMS) apply integrated circuit fabrication technology to fabricate optical, mechanical, electrochemical, and biosensor devices. One of the important steps in creating MEMS and NEMS devices is the deposition of thin films of material onto substrates. Once the fil s are deposited, various etching techniques may be employed to shape the deposited film.
[0004] In typical MEMS/NEMS devices, silicon is a primary material. Silicon carbide is a material that has very good physical and chemical characteristics, and is noted for these properties at temperatures above about 300°C. Silicon carbide is an advantageous material for use in films for MEMS and NEMS, particularly because of its exceptional electrical, mechanical, and chemical properties compared to silicon in normal and harsh operating environments.
[0005] One of the barriers limiting development of silicon carbide in MEMS production has been the inability to deposit uniform films of silicon carbide on large area substrates having properties that are advantageous to and required for MEMS and NEMS. Deposition of silicon carbide is conventionally subject to variations in residual stress, residual stress gradient, and electrical resistivity. These properties are important to the proper operation of MEMS and NEMS devices.
[0006] With silicon, residual stress, residual stress gradient and electrical resistivity can be controlled after the film is deposited by annealing the film at elevated temperatures. Annealing in silicon induces crystallographic changes that result in the modification of these properties. With single crystalline and polycrystalline silicon carbide, such an approach is not feasible because silicon carbide is chemically and crystallographically stable at conventional annealing temperatures. For silicon carbide films deposited on silicon substrates, annealing is completely ineffective because the non-silicon carbide substrate limits the annealing temperatures to temperatures too low for effective annealing. The present invention bypasses the need for annealing altogether by implementing control of the residual stress, residual stress gradient, and electrical resistivity in the silicon carbide films during the film formation (deposition) process.
SUMMARY OF THE INVENTION
[0007] The present invention provides methods of depositing films on a substrate that enables control of the residual stress, residual stress gradient, and electrical resistivity of the deposited film. The invention includes films of various compositions, such as ceramic films with the ceramic compound having a metallic and non-metallic component. Preferably, the film is a silicon carbide film. The silicon carbide film is deposited by chemical vapor deposition onto a substrate, such as a silicon substrate, by placing the substrate in a reaction chamber and evacuating the chamber to a pressure below about 10 mtorr. The temperature of the chamber is maintained at about 900°C. A carbon precursor, such as acetylene (5% in hydrogen) is supplied to the chamber at a flow rate of about 180 standard cubic centimeters per minute (seem). A silicon precursor, such as dichlorosilane (DCS), is supplied to the chamber at a flow rate of about 54 seem. As the precursors are supplied, the pressure of the reaction chamber increases and may be maintained at a fixed pressure.
[0008] Under these conditions, tensile firms with appreciable stress gradients are deposited at pressures less than 2.65 torr and compressive films with appreciable stress gradients are deposited at pressures greater than 2.65 torr. At 2.65 torr, the film has a very low residual tensile stress (< 20 MPa), a negligible stress gradient, and a resistivity that is less than 10 Ω-cm without intentional doping. So control of the pressure with other parameters fixed resulted in control of the residual tensile stress, stress gradient, and electrical resistivity. [0009] In another embodiment, the chamber is maintained at a pressure of about 2.0 torr. A carbon precursor, such as acetylene (5% in hydrogen), is supplied to the chamber at a flow rate of about 180 standard cubic centimeters per minute (seem). A silicon precursor, such as DCS, is supplied to the chamber at a flow rates between 18 and 72 seem. Under these conditions, tensile films with appreciable stress gradients are deposited at DCS flow rates below 35 seem and compressive films with appreciable stress gradients are deposited at DCS flow rates above 35 seem. At a DCS flow rate of 35 seem, the film has a very low residual tensile stress (< 20 MPa), a negligible stress gradient and a resistivity that is less than 10 Ω-cm without intentional doping. So control of the flow rate of the metal element precursor, in this case the silicon precursor DCS, with other parameters fixed resulted in control of the residual tensile stress, stress gradient, and electrical resistivity.
[0010] The present invention also relates to substrates having a silicon carbide film deposited thereon in which the residual stress is 0 ± 100 MPa and the achieved electrical resistivity is less than about 10 Ω-cm, and to semiconductor, 3MEMS, and NEMS devices having such substrates.
DESCRIPTION OF THE DRAWINGS
[0011] Figure 1 is a schematic view of an apparatus used in the present invention;
[0012] Figure 2 is a schematic view of another embodiment of an apparatus used in the present invention;
[0013] Figure 3 is a graph of residual stress versus pressure for one embodiment of the present invention;
[0014] Figure 4(a) is a SEM micrograph of a silicon carbide cantilever from a film made in accordance with one embodiment of the present invention;
[0015] Figure 4(b) is another SEM micrograph of a silicon carbide cantilever from a film made in accordance with one embodiment of the present invention;
[0016] Figure 5 is a graph of electrical resistivity versus deposition pressure for one embodiment of the present invention; [0017] Figure 6 is a graph of residual stress versus dischlorosilane flow rate for one embodiment of the present invention;
[0018] Figure 7 is a SEM micrograph of a silicon carbide cantilever from a film made in accordance with one embodiment of the present invention; and
[0019] Figure 8 is a graph of electrical resistivity versus dischlorosilane flow rate for one embodiment of the present invention.
DETAILED DESCRIPTION
[0020] The present invention relates to the deposition of film, preferably a silicon carbide (SiC) film, onto a substrate with control of various properties, such as residual stress, residual stress gradient, and electrical resistivity, The invention will be described as it relates to deposition of SiC onto a silicon substrate, particularly for use with MEMS and NEMS devices. The invention, however, is only exemplified by such description and is limited only by the claims included herein.
[0021] Silicon carbide film, particularly polycrystalline SiC film, is desirable for use in MEMS and NEMS devices, as described above. Control of key properties, such as residual tensile stress, residual tensile stress gradient, and electrical resistivity, provides SiC films that may be effectively used in MEMS and NEMS devices. Silicon carbide films having low residual stress, less than about 100 MPa, and preferably less than about 50 MPa, are highly desirable for MEMS and NEMS applications. Conventional deposition techniques have heretofore been unable to achieve such low stress values in polycrystalline silicon carbide films.
[0022] In these and other applications, control of stress properties, such as residual stress and residual stress gradient, and electrical resistivity properties also may be desired to achieve other preselected values that may not be IOΛV stress values.
[0023] These films are particularly suitable for use in devices operating in harsh environments because of the outstanding mechanical, electrical, and chemical properties of SiC. Examples of such applications include pressure sensors for internal combustion and jet engines, wind tunnel sensors and instrumentation, and instrumentation and control systems of nuclear power systems. In addition, silicon carbide can be used in device structures commonly made from silicon, such as acceleration sensors, biomedical sensors and actuators and other applications not typically characterized by harsh environments. Silicon carbide can be used as an alternative material to sihcon, capitalizing on the superior mechanical and chemical properties, as well as comparable electrical properties between SiC and silicon.
[0024] Applicants have successfully produced thin polycrystalline SiC films with controlled properties on silicon and silicon dioxide substrates in which the residual tensile stress is at or near zero, the electrical resistivity is very low, and the residual tensile stress gradient is near zero. These films were produced by a low pressure chemical vapor deposition process, using dicholorosilane (SiH2Cl2) as the precursor for silicon (Si) and a mixture of 5% acetylene (C2H2) in hydrogen (H2) as the precursor for carbon. Applicants have determined that control of the silicon precursor flow rate and/or the pressure at which the deposition occurs allows for production of SiC film having the properties described above. Successful production of cantilevers, bridges, membranes, and lateral resonant structures has been completed, demonstrating the viability of the material fabricated in accordance with the present invention in micromachining applications.
[0025] It is believed that control of the flow rate of silicon precursor and/or the deposition pressure of the reaction chamber while other parameters are fixed will enable control of the residual stress, the residual stress gradient, and the electrical resistivity of silicon carbide film deposited by vapor deposition for any sihcon precursor and carbon precursor. One of ordinary skill in art may determine the appropriate pressure and silicon precursor flow rate to achieve minimum residual stress, residual stress gradient, and electrical resistivity without undue experimentation, and use of alternate silicon and carbon precursors does not depart from the spirit and scope of the invention.
[0026] Examples of possible alternate silicon precursors include silane, trichlorosilane, and tetrachlorosilane, among others. Possible alternate carbon precursors include carbon-containing gases, methane, propane, ethylene, xylene, butane, carbon tetrabromide, and other hydrocarbons. [0027] Possible alternate silicon and/or carbon precursors may include single-source precursors for both sihcon and carbon. Examples of possible single-source precursors for both silane and carbon include halosilane, trimethylsilane, tetramethylsilane, dimethyldimethoxysilane, tetramethylcyclotetrasiloxane, bis-trimethylsilylmethane, methyltrichlorosilane, tetraethylsilane, silacyclobutane, disilabutane, and any other material suitable for use as a single source precursor, as can be determined by one of ordinary skill in the art.
[0028] If a single-source precursor is used, then either a separate carbon precursor or sihcon precursor may be provided to the chamber in order to correctly control the ratio of carbon to silicon in the reactor. In this, event, the flow rate of the single source of the sihcon or the single source of the carbon may be varied to achieve the proper ratio of carbon gas to silicon gas within the chamber so that the appropriate reaction occurs at the appropriate rate to deposit the silicon carbide film with the properties described above.
[0029] Other silicon-based films, such as silicon nitride (Si3N ), silicon dioxide (SiO2), silicon oxynitride (SiOxNy) and silicon carbon nitride (SiCxNy) may also be deposited with the method of the present invention using the appropriate precursors. In the case of silicon nitride, appropriate precursors may include silane (SfflU) or DCS for a silicon precursor, and ammonia (NH3) for a nitrogen precursor. The silicon precursor flow rate or the deposition pressure may be varied to achieve a deposited film having the properties described above. The optimal range of deposition pressure and silicon precursor flow rate may be determined without undue experimentation in accordance with this invention.
[0030] Other ceramic films based on a non-silicon ceramic may also be deposited with the method of the present invention to achieve the properties described above. Use of the term "ceramic" herein is defined as inorganic, nonmetaUic materials, typically crystalline in nature (but could be amorphous), and generally are compounds formed between metallic and nonmetaUic elements, such as aluminum and oxygen (alumina — Al2Os), calcium and oxygen (calcia — CaO), silicon and oxygen (silica — SiO2), and other analogous oxides, nitrides, borides, sulfides, and carbides. The flow rate of the nonmetaUic precursor is held fixed and the deposition pressure or the flow rate of the metaUic precursor would be varied to achieve the properties described above. The optimal range of deposition pressure and metallic precursor flow rate may be determined without undue experimentation using the procedures provided herein.
[0031] Other compound semiconducting films based on materials other than silicon may also be deposited with the method of the present invention to achieve the properties described above. These materials include, but are not hmited to, GaN, GaAs, InP, and other analogous semiconductor materials deposited by chemical vapor deposition.
[0032] The examples described herein use silicon as the substrate material. The method described herein is not limited to use of silicon and silicon derivative substrates, such as silicon carbide and silicon dioxide, but rather can be applied to the deposition on any substrate material where the resultant film is subjected to a residual stress.
Test Procedure
[0033] Figs. 1 and 2 illustrate the apparatuses used to conduct the following procedures. Prior to loading sihcon substrate, wafers, of chips into a reaction chamber 10, 110 of a low pressure vapor deposition apparatus 12, 112, the wafers 14, 114 were cleaned using a standard RCA cleaning procedure. Silicon wafers 14, 114 of 100 mm diameter were placed into a conventional hot-wall horizontal cylindrical quartz furnace 16, 116. The reaction or deposition chamber 10, 110 was 2007 mm in length and 225 mm in diameter. The wafers were held in a SiC boat 18, 118 that rested on a paddle 20, 120 attached to a moveable front flange 22, 122 and placed near the center of the reaction chamber 10, 110.
[0034] In the configuration illustrated in Fig. 1, two small injection tubes 24, one for the dicholorosilane and one for the acetylene, were used to introduce these precursor gasses into the chamber 10 directly underneath the boat 18. To accommodate these injection tubes 24, the furnace tube was of conventional design, consisting of a long, quartz cylinder 17 that was capped on each end with metal flanges 22, 26. The injection tubes 24 were attached to small ports on each of these flanges 22, 26. The front flange 22 consisted of a large circular plate that served as the chamber door. This door was attached to a cantilever assembly for automatic loading and unloading. The paddle 20 holding the SiC 18 boat was attached only to the inside surface of the front flange door assembly 22. The rear flange 26 was not movable and was equipped with an outlet port that was attached to the vacuum system 28. Precursor gases were simultaneously introduced via the gas injection tubes 24 through gas inlets 25 and ports in both the front flange 22 and the rear flange 26.
[0035] In a second configuration illustrated in Fig. 2, the injector tubes 24 were omitted. In this configuration, the furnace tube consisted of a long quartz cylinder 117 that was circular in cross section at the front end 130 and conical in shape at the rear 132. The front flange assembly 122 was as described above and was affixed to the front end 130 of the cylinder. The rear 132 of the cylinder needed no flange, but instead contained a quartz nipple 134 that attached directly to the vacuum system 128. Gases were introduced into the chamber through gas inlets 125 and ports in the front flange 122. No tooling was included to inject the gases directly beneath the wafer boat 118.
[0036] The vacuum system 28, 128 consisted of a roots blower and mechanical pump combination (not shown) that can reach a base pressure of less than 1 mtorr in a fully loaded system, regardless of configuration. Pressure was controlled through pressure control system 36, 136. A butterfly valve 37, 137 was provided to assist with the pressure control. The gas flow rates and pressure control systems 36, 136 were controUed by a conventional furnace control computer system (not shown). The temperature in the reaction chamber 10, 110 was controlled via resistive heating coils 38, 138.
[0037] Each load consisted of 25 Si wafers evenly distributed in a single, 50-slot SiC boat. The first and last five wafers were designated as baffling wafers to stabilize gas flow. Wafers in slots 6, 10, 13, 16, and 20 from the loading end were designated for study.
Example 1
[0038] Fig. 1 illustrates the low pressure chemical vapor deposition apparatus 12 used for these tests. Depositions were performed for two hours at pressure settings from about 0.42 torr to about 5 torr. In several cases, longer times were used to deposit thicker films. The flow rates of DCS and acetylene (5% in hydrogen) were held constant at about 54 standard cubic centimeters per minute (seem) and 180 seem, respectively. The temperature was held fixed at about 900°C. The furnace was configured with injector tubes to introduce the acetylene and DCS gases into the reaction chamber.
[0039] Following each deposition, the thickness of the films was measured optically using a Nanospec 4000 AFT spectrophotometer. The film residual stresses were determined by measuring the curvature of the silicon wafers before and after film deposition, using a laser- based curvature measuring system (Frontier Semiconductor measurement, FSM 120). Silicon carbide films were deposited on both sides of the wafer, and reactive etching in a CHF3/O2 mixture was used to remove the film deposited on the backside of the wafers.
[0040] Figure 3 illustrates the relationship between the deposition pressure and the residual tensile stress of the SiC at 900°C resulting from this series of tests. The residual stress changed roughly from about 700 MPa (tensile) at 456 mtorr to about -100 MPa (compressive) at 5 torr, with films deposited at about 2.65 torr having near zero residual stress. Films deposited at pressures from about 2.5 torr to about 5 torr had stress values between about 100 MPa and -100 MPa. The value of stress varied little from wafer to wafer in the same run, as indicated by Figure 3.
[0041] Single layer cantilever beams were fabricated from about 500 nm-thick polycrystaUine SiC films made in accordance with this example to characterize the stress gradient at various deposition pressures. The stress gradient is the change in the magnitude of residual stress as a function of film thickness. Stress gradients can cause cantilever beams to bend, whereas beams made from films with little or no stress gradient remain flat. For MEMS and NEMS devices, a stress gradient near zero is desirable when the planarity of device structures is required. A residual stress gradient in the structural layers of MEMS/NEMS devices is desirable in applications where curved or strained structures are needed. In such structures, precise control of residual stress gradient is required. Control of stress gradients requires precision control of residual stresses.
[0042] Figure 4(a) illustrates a cantilever beam 210 made in accordance with the present invention at about 2.65 torr. The beam 210 is generally flat and exhibits little, if any, bending. Figure 4(b) illustrates a stressed cantilever beam 212 made in accordance with the present invention at about 3.75 torr. This beam 212 bends slightly upward. [0043] Figure 5 illustrates the electrical resistivity of films made in accordance with the present invention at various deposition pressures. These data indicate a relationship between deposition pressure and electrical resistivity. The minimum electrical resistivity occurs near the deposition pressure at which the residual stress and the residual stress gradient are nearly zero, namely, about 2.65 torr. Electrical resistivity is less than 10 Ω-cm at deposition pressures from slightly greater than about 2.0 torr to about 4.5 torr. While these values may seem high relative to other semiconductors (including SiC), these measurements were made from polycrystaUine films that were not doped either before or after deposition. It is common practice to use doping procedures to reduce the electrical resistivity of semiconducting materials, especially SiC. These findings strongly suggest that doping during the deposition process will be most effective using conditions that favor low stress and low stress gradients.
Example 2
[0044] The same procedure described above was used, except that the low pressure chemical vapor deposition apparatus 112 illustrated in Fig. 2 was used (no injectors, single front flange). For this series of tests, the deposition pressure was maintained essentially constant at about 2.0 torr, and the flow rate of the silicon precursor, in this case DCS, was varied between about 18 seem and about 54 seem. The flow rate of acetylene (5% in hydrogen) was fixed at about 180 seem, and the temperature of the reaction chamber was maintained at about 900°C.
[0045] As above, the films were characterized for residual stress, residual stress gradient, and electrical resistivity. Figure 6 illustrates the measured residual stress versus the flow rate of the DCS. The observed residual stress decreased as a function of DCS flow rate until a flow rate of 36 seem. The residual stress was substantially the same at a flow rate of 54 seem as it was at a flow rate of 36 seem. The residual stress as a function of flow rate, as illustrated in Figure 6, appears to be similar to the residual stress as a function of deposition pressure, as illustrated in Figure 3.
[0046] Figure 7 is a SEM micrograph of a micromachined second cantilever beam 214 made in accordance with this example of the present invention at a dicholorosilane flow rate of about 35 seem As seen from Figure 6, a dicholorosilane flow rate of about 35 seem corresponds to residual stress of less than 50 MPa. Films with low residual stress values, such as the second cantilever beam 214 in Figure 7, exhibit very low residual stress gradient. The second cantilever beam 214 illustrated in Figure 7 exhibits substantially no bending.
[0047] Figure 8 is a graph of electrical resistivity versus DCS flow rate, illustrating that the electrical resistivity exhibits a strong relationship to DCS flow rate. The minimum value of electrical resistivity, slightly greater than 3 Ω-cm, occurs at 35 seem and 36 seem DCS. As with Example 1, the films were not intentionally doped either during or after the deposition process. In this example, the electrical resistivity value and the residual stress nearest to zero occurred at a DCS flow rate of about 35 seem.
[0048] While the present invention has been illustrated by the above description of embodiments, and while the embodiments have been described in some detail, it is not the intent of the applicants to restrict or in any way limit the scope of the invention to such detail. Additional advantages and modifications will readily appear to those skilled in the art, such as the use of alternate precursors or the deposition of alternate films. Therefore, the invention in its broader aspects is not limited to the specific details, representative apparatus and methods, and illustrative examples shown and described. Accordingly, departures ay be made from such details without departing from the spirit or scope of the applicants' general or inventive concept.

Claims

We claim:
1. A method of depositing a silicon carbide film on a substrate by chemical vapor deposition, comprising
(a) placing at least one substrate in a reaction chamber;
(b) supplying silicon precursor to the reaction chamber at a predetermined fixed flow rate;
(c) supplying carbon precursor to the reaction chamber at a predetermined fixed flow rate;
(d) controlling the stress in the deposited silicon carbide film by controUing pressure in the reaction chamber.
2. The method of claim 1, wherein the substrate is silicon.
3. The method of claim 1, wherein the substrate is silicon dioxide or silicon carbide.
4. The method of claim 1 , wherein the silicon carbide comprises polycrystalline sihcon carbide.
5. The method of claim 1, wherein the predetermined flow rate of the silicon precursor is about 54 standard cubic centimeters per minute.
6. The method of claim 1, wherein the sihcon precursor is selected from the group consisting of silane, halosilane, trimethylsilane, tetramethylsilane, dimethyldimethoxysilane, tetramethylcyclotetrasiloxane, bis-trimethylsilylmethane, methyltrichlorosilane, silane, tetraethylsilane, and silacyclobutane.
7. The method of claim 6, wherein the halosilane is selected from the group consisting of dichlhorosilane, trichlorosilane, and tetrachlorosilane.
8. The method of claim 7, wherein the silicon precursor is dichlorosilane.
9. The method of claim 1, wherein the pressure in the reaction chamber is controlled between about 0.42 torr and about 5 torr.
10. The method of claim 9, wherein the pressure in the reaction chamber is controlled at about 2.65 torr.
11. The method of claim 1, wherein the pressure in the reaction chamber is controUed to minimize residual stress in the deposited silicon carbide fil
12. The method of claim 11, wherein the residual stress in the deposited silicon carbide film is between about 700 MPa and about -100 MPa.
13. The method of claim 11, wherein the pressure in the reaction chamber is controUed at about 2.65 torr.
14. The method of claim 1, further comprising maintaining the reaction chamber at a fixed temperature.
15. The method of claim 14, wherein the fixed temperature is about 900 °C.
16. The method of claim 1, wherein the predetermined flow rate of the carbon precursor is about 180 standard cubic centimeters per minute.
17. The method of claim 1, wherein supplying carbon precursor comprises supplying acetylene in hydrogen to the reaction chamber at a flow rate of about 180 standard cubic centimeters per minute.
18. The method of claim 1, further comprising controlling the electrical resistivity of the silicon carbide.
19. The method of claim 18, wherein the electrical resistivity of the deposited silicon carbide is less than about 10 Ω-cm.
20. The method of claim 18, wherein controlling the electrical resistivity comprises controlling the pressure in the reaction chamber.
21. A method of depositing a silicon carbide film on a substrate by chemical vapor deposition, comprising
(a) placing at least one substrate in a reaction chamber;
(b) maintaining the reaction chamber at a predetermined pressure;
(c) supplying carbon precursor to the reaction chamber at a predetermined fixed flow rate;
(d) supplying silicon precursor to the reaction chamber at a flow rate; and
(e) controlling the silicon precursor flow rate to control the stress in the deposited silicon carbide fil
22. The method of claim 21, wherein the substrate is silicon.
23. The method of claim 21, wherein the substrate is silicon dioxide.
24. The method of claim 21, wherein the silicon carbide comprises polycrystaUine sihcon carbide.
25. The method of claim 21, wherein the predetermined pressure is about 2.0 torr.
26. The method of claim 21, wherein the silicon precursor is selected from the group consisting of silane, halosilane, trimethylsilane, tetramethylsilane, dimethyldimethoxysilane, tetramethylcyclotetrasiloxane, bis-trimethylsilylmethane, methyltrichlorosUane, silane, tetraethylsilane, and silacyclobutane.
27. The method of claim 26, wherem the halosUane is selected from the group consisting of dichlhorosilane, trichlorosUane, and tetrachlorosilane.
28. The method of claim 27, wherein the silicon precursor is dichlorosilane.
29. The method of claim 21, wherein the flow rate of the sihcon precursor is controlled between about 18 standard cubic centimeters per minute and about 54 standard cubic centimeters per minute.
30. The method of claim 29, wherein the flow rate of the silicon precursor is controUed at about 36 standard cubic centimeters per minute.
31. The method of claim 21, wherein the flow rate of the sUicon precursor is controUed to minimize residual stress in the deposited silicon carbide film.
32. The method of claim 31, wherein the residual stress in the deposited silicon carbide film is between about 700 MPa and about -100 MPa.
33. The method of claim 31, wherein the flow rate of the silicon precursor is controlled at about 36 standard cubic centimeters per minute.
34. The method of claim 21, further comprising maintaining the reaction chair±ber at a fixed temperature.
35. The method of claim 34, wherein the fixed temperature is about 900 °C.
36. The method of claim 21, wherein the predetermined flow rate of the carbon precursor is about 180 standard cubic centimeters per minute.
37. The method of claim 21, wherein supplying carbon precursor comprises supplying acetylene in hydrogen to the reaction chamber at a flow rate of about 180 standard cubic centimeters per minute.
38. The method of claim 21, further comprising controUing the electrical resistivity of the silicon carbide.
39. The method of claim 38, wherein the electrical resistivity of the deposited silicon carbide is less than about 10 Ω-cm.
40. The method of claim 38, wherein controlling the electrical resistivity comprises controUing the flow rate of the silicon precursor.
41. A method of controUing the stress of a silicon carbide film during deposition, of the silicon carbide film on a substrate to achieve desired stress values comprising (a) placing at least one substrate in a reaction chamber;
(b) supplying silicon precursor to the chamber at a predetermined fixed flow rate;
(c) supplying carbon precursor to the chamber at a predetermined fixed flow rate;
(d) controUing the pressure in the reaction chamber to achieve the desired stress values.
42. A method of controUing the stress of a sihcon carbide film during deposition of the snicon carbide film on a substrate to achieve desired stress values comprising
(a) placing at least one substrate in a reaction chamber;
(b) maintaining the reaction chamber at a predetermined pressure;
(c) supplying carbon precursor to the chamber at a predetermined fixed flow rate;
(d) controUing the flow rate of silicon precursor provided to the chamber to achieve the desired stress values.
43. A substrate having a silicon carbide film deposited thereon, wherein the sihcon carbide film has a residual stress of between about 100 MPa and about -100 MPa
44. The substrate of claim 43, wherein the electrical resistivity of the silicon carbide film is less than about 5 Ω-cm.
45. The substrate of claim 43, wherein the residual stress is about 0 MPa.
46. The substrate of claim 43, wherein the substrate is a silicon wafer or silicon chip.
47. A micromechanical, microelectromechanical, nanomechanical, or nanoelectromechanical device comprising a substrate having a silicon carbide film deposited thereon, the sihcon carbide film having a residual stress of between about 100 MPa and about -100 MPa, and electrical resistivity less than about 5 Ω-cm.
48. A micromechanical, microelectromechanical, nanomechanical, or nanoelectromechanical device comprising a substrate having a silicon carbide film deposited thereon by the method of claim 1.
49. A micromechanical, microelectromechanical, nanomechanical, or nanoelectromechanical device comprising a substrate having a silicon carbide film deposited thereon by the method of claim 21.
50. A method of depositing a ceramic film on a substrate to achieve a desired residual stress in the film comprising: (a) placing at least one substrate in a reaction chamber;
(b) maintaining the reaction chamber at a predetermined fixed temperature;
(c) supplying metaUic element precursor to the reaction chamber at a predetermined fixed flow rate;
(d) supplying a nonmetaUic element precursor to the reaction chamber at a predetermined fixed flow rate; and
(e) controUing the stress in the deposited silicon carbide film by controlling pressure in the reaction chamber.
51. The method of claim 50, wherein the film is a compound semiconductor film.
52. A method of depositing a ceramic film on a substrate to achieve a desired residual stress in the film comprising:
(a) placing at least one substrate in a reaction chamber;
(b) maintaining the reaction chamber at a predetermined fixed temperature;
(c) maintaining the reaction chamber at a predetermined fixed pressure;
(d) supplying a nonmetaUic element precursor to the reaction chamber at a predetermined fixed flow rate;
(e) supplying a metallic element precursor to the reaction chamber at a flow rate; and (f) controlhng the metallic element precursor flow rate to achieve the desired residual stress in the deposited silicon carbide film.
53. The method of claim 52, wherein the film is a compound semiconductor film.
PCT/US2004/037064 2003-11-18 2004-11-05 Method for depositing silicon carbide and ceramic films WO2005049884A2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
EP04810481A EP1690287A2 (en) 2003-11-18 2004-11-05 Method for depositing silicon carbide and ceramic films
AU2004291847A AU2004291847A1 (en) 2003-11-18 2004-11-05 Method for depositing silicon carbide and ceramic films
CA002546081A CA2546081A1 (en) 2003-11-18 2004-11-05 Method for depositing silicon carbide and ceramic films
JP2006539688A JP4758354B2 (en) 2003-11-18 2004-11-05 Method for forming a silicon carbide layer on a substrate
IL175640A IL175640A0 (en) 2003-11-18 2006-05-16 Method for depositing silicon carbide and ceramic films

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/716,006 US7261919B2 (en) 2003-11-18 2003-11-18 Silicon carbide and other films and method of deposition
US10/716,006 2003-11-18

Publications (2)

Publication Number Publication Date
WO2005049884A2 true WO2005049884A2 (en) 2005-06-02
WO2005049884A3 WO2005049884A3 (en) 2005-11-17

Family

ID=34574334

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/037064 WO2005049884A2 (en) 2003-11-18 2004-11-05 Method for depositing silicon carbide and ceramic films

Country Status (9)

Country Link
US (3) US7261919B2 (en)
EP (1) EP1690287A2 (en)
JP (1) JP4758354B2 (en)
KR (1) KR20060123343A (en)
CN (1) CN1906735A (en)
AU (1) AU2004291847A1 (en)
CA (1) CA2546081A1 (en)
IL (1) IL175640A0 (en)
WO (1) WO2005049884A2 (en)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7563633B2 (en) * 2006-08-25 2009-07-21 Robert Bosch Gmbh Microelectromechanical systems encapsulation process
TWI475594B (en) * 2008-05-19 2015-03-01 Entegris Inc Electrostatic chuck
US20100083762A1 (en) * 2008-10-02 2010-04-08 Evoy Stephane Fabrication and use of submicron wide suspended structures
KR101680787B1 (en) 2009-05-15 2016-11-29 엔테그리스, 아이엔씨. Electrostatic chuck with polymer protrusions
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
DE102009040785A1 (en) * 2009-09-09 2011-03-10 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Substrate made of an aluminum-silicon alloy or crystalline silicon, metal mirror, process for its preparation and its use
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
CN105196094B (en) 2010-05-28 2018-01-26 恩特格林斯公司 high surface resistivity electrostatic chuck
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
JP5817127B2 (en) * 2011-01-21 2015-11-18 株式会社Sumco Semiconductor substrate and manufacturing method thereof
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
KR102026206B1 (en) * 2011-12-26 2019-09-27 엘지이노텍 주식회사 Deposition apparatus
KR101916289B1 (en) * 2011-12-29 2019-01-24 엘지이노텍 주식회사 Method for deposition of silicon carbide
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
EP2896718A4 (en) * 2012-08-17 2016-04-06 Ihi Corp Method for manufacturing heat resistant composite material and manufacturing device
US9546420B1 (en) * 2012-10-08 2017-01-17 Sandia Corporation Methods of depositing an alpha-silicon-carbide-containing film at low temperature
TWI595112B (en) 2012-10-23 2017-08-11 蘭姆研究公司 Sub-saturated atomic layer deposition and conformal film deposition
JP6538300B2 (en) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Method for depositing a film on a sensitive substrate
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
KR101469713B1 (en) * 2012-12-06 2014-12-05 연세대학교 산학협력단 METHOD AND APPARATUS FOR FORMING C/SiC FUNCTIONALLY GRADED COATING
JP6249815B2 (en) * 2014-02-17 2017-12-20 株式会社Ihi Manufacturing method and manufacturing apparatus for heat-resistant composite material
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN104681413A (en) * 2015-02-25 2015-06-03 苏州工业园区纳米产业技术研究院有限公司 Preparing method of low-stress polycrystalline silicon film
JP2018511708A (en) * 2015-03-12 2018-04-26 イビデン株式会社 Method for producing CVD-SiC material
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
JP6571276B2 (en) 2015-08-31 2019-09-04 イー インク コーポレイション Erasing drawing devices electronically
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
EP3503163A1 (en) * 2017-12-21 2019-06-26 EpiGan NV A method for forming a silicon carbide film onto a silicon substrate
JP7049883B2 (en) * 2018-03-28 2022-04-07 東京エレクトロン株式会社 Boron-based film film forming method and film forming equipment
CN110345031B (en) * 2018-04-03 2020-12-11 中国科学院理化技术研究所 Naval vessel power generation system

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0445319A1 (en) * 1990-03-05 1991-09-11 International Business Machines Corporation Process for fabricating silicon carbide films with a predetermined stress
US5616426A (en) * 1994-08-10 1997-04-01 The Carborundum Company Ceramic substrate with silicon carbide smoothing layer
US6189766B1 (en) * 1998-07-10 2001-02-20 Northrop Grumman Corporation Zero stress bonding of silicon carbide to diamond

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4560589A (en) * 1982-09-22 1985-12-24 Shin-Etsu Chemical Co., Ltd. Method for providing a coating layer of silicon carbide on substrate surface
JPS59128281A (en) * 1982-12-29 1984-07-24 信越化学工業株式会社 Manufacture of silicon carbide coated matter
US4971851A (en) * 1984-02-13 1990-11-20 Hewlett-Packard Company Silicon carbide film for X-ray masks and vacuum windows
JP2534525B2 (en) * 1987-12-19 1996-09-18 富士通株式会社 Method for manufacturing β-silicon carbide layer
JP2823276B2 (en) * 1989-03-18 1998-11-11 株式会社東芝 Method for manufacturing X-ray mask and apparatus for controlling internal stress of thin film
JP2556621B2 (en) * 1990-12-11 1996-11-20 ホーヤ株式会社 Method for forming silicon carbide film
JPH051380A (en) * 1991-06-24 1993-01-08 Hoya Corp Silicon carbide film forming method
JPH05335216A (en) * 1992-05-29 1993-12-17 Fujitsu Ltd X-ray mask and its manufacture
US5296258A (en) * 1992-09-30 1994-03-22 Northern Telecom Limited Method of forming silicon carbide
US5415126A (en) * 1993-08-16 1995-05-16 Dow Corning Corporation Method of forming crystalline silicon carbide coatings at low temperatures
US5698261A (en) * 1993-08-17 1997-12-16 Aktsionernoe Obschestvo Russkoe Obschestvo Prikladnoi Elektroniki Process for producing silicon carbide layers and an article
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
JP3607454B2 (en) * 1997-03-31 2005-01-05 Hoya株式会社 X-ray transmission film for X-ray mask, X-ray mask blank, X-ray mask, manufacturing method thereof, and polishing method of silicon carbide film
US6103590A (en) * 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
US7018947B2 (en) * 2000-02-24 2006-03-28 Shipley Company, L.L.C. Low resistivity silicon carbide
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0445319A1 (en) * 1990-03-05 1991-09-11 International Business Machines Corporation Process for fabricating silicon carbide films with a predetermined stress
US5616426A (en) * 1994-08-10 1997-04-01 The Carborundum Company Ceramic substrate with silicon carbide smoothing layer
US6189766B1 (en) * 1998-07-10 2001-02-20 Northrop Grumman Corporation Zero stress bonding of silicon carbide to diamond

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
HWANG J-D ET AL: "EPITAXIAL GROWTH AND ELECTRICAL CHARACTERISTICS OF BETA-SIC ON SI BY LOW-PRESSURE RAPID THERMAL CHEMICAL VAPOR DEPOSITION" JAPANESE JOURNAL OF APPLIED PHYSICS, PUBLICATION OFFICE JAPANESE JOURNAL OF APPLIED PHYSICS. TOKYO, JP, vol. 34, no. 3, PART 1, March 1995 (1995-03), pages 1447-1450, XP000703002 ISSN: 0021-4922 *
SARRO P M ET AL: "Low-stress PECVD SiC thin films for IC-compatible microstructures" SENSORS AND ACTUATORS A, ELSEVIER SEQUOIA S.A., LAUSANNE, CH, vol. 67, no. 1-3, 15 May 1998 (1998-05-15), pages 175-180, XP004130132 ISSN: 0924-4247 *

Also Published As

Publication number Publication date
WO2005049884A3 (en) 2005-11-17
US20110001143A1 (en) 2011-01-06
EP1690287A2 (en) 2006-08-16
JP4758354B2 (en) 2011-08-24
CN1906735A (en) 2007-01-31
JP2007516355A (en) 2007-06-21
KR20060123343A (en) 2006-12-01
US20050106320A1 (en) 2005-05-19
USRE42887E1 (en) 2011-11-01
AU2004291847A1 (en) 2005-06-02
IL175640A0 (en) 2006-09-05
US7261919B2 (en) 2007-08-28
CA2546081A1 (en) 2005-06-02
US8153280B2 (en) 2012-04-10

Similar Documents

Publication Publication Date Title
US7261919B2 (en) Silicon carbide and other films and method of deposition
Temple-Boyer et al. Residual stress in low pressure chemical vapor deposition SiN x films deposited from silane and ammonia
Fu et al. Polycrystalline 3C-SiC thin films deposited by dual precursor LPCVD for MEMS applications
Liu et al. Growth and characterization of nitrogen-doped polycrystalline 3C-SiC thin films for harsh environment MEMS applications
JPH07147251A (en) Growth of crystalline silicon carbide film
Fu et al. Use of deposition pressure to control residual stress in polycrystalline SiC films
KR20180042228A (en) Growing epitaxial 3C-SiC on single-crystal silicon
US5782975A (en) Method for providing a silicon and diamond substrate having a carbon to silicon transition layer and apparatus thereof
US6709608B2 (en) Semiconductor processing component
US20200157677A1 (en) Film-forming device and method for cleaning same
KR102178936B1 (en) Chemical vapor deposition silicon carbide bulk with improved etching characteristics
US20100032857A1 (en) Ceramic components, coated structures and methods for making same
Zorman et al. Silicon carbide for MEMS and NEMS-an overview
Zorman et al. Additive processes for semiconductors and dielectric materials
KR101922469B1 (en) Chemical vapor deposition low resistance silicon carbide bulk manufacturign apparatus
Mehregany et al. Composition Comprising Silicon Carbide
MXPA06005282A (en) Method for depositing silicon carbide and ceramic films
Moll et al. Robust Polycrystalline 3C-Sic-on-Si Heterostructures with Low CTE Mismatch up to 900° C for MEMS
KR101936170B1 (en) Method for fabrication silicon carbide epi wafer
US11827999B2 (en) Methods of forming silicon carbide coated base substrates at multiple temperatures
KR20010002267A (en) Method For Strengthening And Oxidation Prevention Of AIN By Formation Of Silica Layer On The Surface
Mehregany et al. Silicon carbide micro-and nanoelectromechanical systems
Zorman et al. Deposition techniques for SiC MEMS
Fu et al. Mechanical properties and morphology of polycrystalline 3C-SiC films deposited on Si and SiO2 by LPCVD
Fu et al. Very thin poly-SiC films for micro/nano devices

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: PA/a/2006/005282

Country of ref document: MX

WWE Wipo information: entry into national phase

Ref document number: 2006539688

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 175640

Country of ref document: IL

Ref document number: 2546081

Country of ref document: CA

WWE Wipo information: entry into national phase

Ref document number: 1748/CHENP/2006

Country of ref document: IN

NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Ref document number: DE

WWE Wipo information: entry into national phase

Ref document number: 2004291847

Country of ref document: AU

WWE Wipo information: entry into national phase

Ref document number: 2004810481

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020067011987

Country of ref document: KR

ENP Entry into the national phase

Ref document number: 2004291847

Country of ref document: AU

Date of ref document: 20041105

Kind code of ref document: A

WWP Wipo information: published in national office

Ref document number: 2004291847

Country of ref document: AU

WWE Wipo information: entry into national phase

Ref document number: 200480040597.7

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2004810481

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020067011987

Country of ref document: KR