WO2005083778A1 - Methods of fabricating interconnects for semiconductor components - Google Patents

Methods of fabricating interconnects for semiconductor components Download PDF

Info

Publication number
WO2005083778A1
WO2005083778A1 PCT/US2005/006116 US2005006116W WO2005083778A1 WO 2005083778 A1 WO2005083778 A1 WO 2005083778A1 US 2005006116 W US2005006116 W US 2005006116W WO 2005083778 A1 WO2005083778 A1 WO 2005083778A1
Authority
WO
WIPO (PCT)
Prior art keywords
nitride
opening
solder
layer
metal nitride
Prior art date
Application number
PCT/US2005/006116
Other languages
French (fr)
Inventor
Kyle K. Kirby
Shuang Meng
Garo J. Derderian
Original Assignee
Micron Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology, Inc. filed Critical Micron Technology, Inc.
Priority to AT05723820T priority Critical patent/ATE491227T1/en
Priority to EP05723820A priority patent/EP1719168B1/en
Priority to DE602005025194T priority patent/DE602005025194D1/en
Priority to JP2006554336A priority patent/JP4702562B2/en
Publication of WO2005083778A1 publication Critical patent/WO2005083778A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/1601Process or apparatus
    • C23C18/1603Process or apparatus coating on selected surface areas
    • C23C18/1607Process or apparatus coating on selected surface areas by direct patterning
    • C23C18/1608Process or apparatus coating on selected surface areas by direct patterning from pretreatment step, i.e. selective pre-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/18Pretreatment of the material to be coated
    • C23C18/1851Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material
    • C23C18/1872Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment
    • C23C18/1875Pretreatment of the material to be coated of surfaces of non-metallic or semiconducting in organic material by chemical pretreatment only one step pretreatment
    • C23C18/1879Use of metal, e.g. activation, sensitisation with noble metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C18/00Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating
    • C23C18/16Chemical coating by decomposition of either liquid compounds or solutions of the coating forming compounds, without leaving reaction products of surface material in the coating; Contact plating by reduction or substitution, e.g. electroless plating
    • C23C18/31Coating with metals
    • C23C18/32Coating with nickel, cobalt or mixtures thereof with phosphorus or boron
    • C23C18/34Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents
    • C23C18/36Coating with nickel, cobalt or mixtures thereof with phosphorus or boron using reducing agents using hypophosphites
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02372Disposition of the redistribution layers connecting to a via connection in the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/03002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13009Bump connector integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13024Disposition the bump connector being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01022Titanium [Ti]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01023Vanadium [V]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01046Palladium [Pd]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01047Silver [Ag]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01072Hafnium [Hf]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01078Platinum [Pt]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/014Solder alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12042LASER
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Definitions

  • the invention pertains to methods of fabricating interconnects for semiconductor components.
  • Semiconductor components such as dice, wafers and chip scale packages, are fabricated to include external contacts to allow electrical connections to be made from the outside of the components to integrated circuits contained on the components.
  • a semiconductor die typically includes patterns of bond pads formed on a face of the die. At the wafer level, the bond pads are used for probe testing the integrated circuits on the die. At the die level, the bond pads are used for testing, and also for making electrical connections, such as wire bonds, for packaging. Typically, the bond pads comprise planar aluminum pads, or alternatively solder bumps on solder wettable pads.
  • the interconnects described above are but one type of interconnect that can be utilized with semiconductor components.
  • Figs. 1 -5 illustrate an exemplary semiconductor component 10 having interconnects associated therewith.
  • Fig. 1 is a top view of a fragment of the component 10, and shows a plurality of conductive traces 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34 and
  • the conductive traces extend from inner lead bond pads 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60 and 62 to outer lead bonds 64, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86 and 88.
  • the shown relative sizes of the outer lead bonds and inner lead bonds are for diagrammatic purposes only. Accordingly, although the inner lead bonds are shown uniform in size and larger than the outer lead bonds which are also uniform in size, it is to be understood that the constructions can also have inner lead bonds and/or outer lead bonds which are not uniform in size, and can have inner lead bonds which are smaller than the outer lead bonds.
  • Figs. 2 and 3 show a top view and a cross-sectional side view of an expanded region of the Fig. 1 fragment. Such views show that the trace 18 is a layer 100 of conductive material.
  • Outer lead bond 70 comprises a solder ball 102 over a nickel- containing solder-wettable material 104.
  • Inner lead bond 44 comprises a solder interconnect 106 having a conductive material cap 108 thereover.
  • Conductive material cap 108 can comprise, for example, nickel and/or a solder ball.
  • Trace 100 can be referred to as a redistribution layer, in that it distributes an electrical signal from the central location of the inner lead bond 44 to the laterally outward location of outer lead bond 70 and vice versa.
  • the semiconductor component of fragment 10 comprises a semiconductor die 110 which can correspond to, for example, a monocrystalline silicon wafer.
  • the die 110 has various levels of integrated circuitry (not shown) associated therewith.
  • An electrically insulative passivation layer 1 12 extends around die 110 and insulates the die from the solder material 106.
  • Passivation layer 112 can comprise, consist essentially of, or consist of silicon dioxide, and will typically have a thickness of from about 2000A to about 8000A.
  • An insulative material 114 is provided over passivation layer 112, and is utilized to support circuit trace 100, and can also be utilized during patterning of circuit trace 100.
  • Layer 1 14 can comprise, for example, polyimide.
  • Semiconductor die 110 can be considered a semiconductor substrate, or alternatively the die 110 in combination with various other materials of component 10 can be considered a semiconductor substrate.
  • the terms "semiconductive substrate” and “semiconductor substrate” are defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductive wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials).
  • substrate refers to any supporting structure, including, but not limited to, the semiconductive substrates described above.
  • Component 10 has opposing outer surfaces 1 15 and 1 17 that are proximate the front side 111 and the back side 1 13, respectively, of die 1 10. Surfaces 115 and 1 17 can be referred to as a front-side surface and back-side surface, respectively, of component 10.
  • Solder material 106 extends entirely through component 10, and accordingly extends from the front-side surface 115 of the component to the back-side surface 117 of the component. Solder material 106 can ultimately be utilized for an electrical connection to an external device proximate back-side surface 117, and can be utilized for electrically interconnecting such device to another device associated with pad 102 of outer lead 70.
  • FIG. 2 A method of forming the structure of Figs. 2 and 3 is described with reference to Figs. 4 and 5. Specifically, the construction 10 is shown prior to formation of leads 44 and 70 (Figs. 2 and 3).
  • a hole 130 is etched through component 10 to extend from front-side surface 115 to back-side surface 11 .
  • the hole can be formed by, for example, laser- etching.
  • the hole comprises a depth 132 extending from the front-side surface 115 to the back-side surface 1 17, and comprises a width dimension 134 orthogonal to the depth.
  • the hole can be substantially circular when viewed from above (as shown), and accordingly width dimension 134 can correspond to a diameter of the circle.
  • the hole can have a polygonal shape (such as, for example, a square shape) when viewed from above.
  • the maximum width dimension will typically be less than or equal to about 100 microns, and frequently will be less than or equal to about 35 microns.
  • solder- wetting agents will frequently comprise relatively high-temperature processing (specifically, processing in excess of 300°C), which can adversely impact circuitry associated with die 110. Accordingly, it is desired to develop new methods for forming interconnects within semiconductor components.
  • the invention encompasses a method of fabricating an interconnect for a semiconductor component.
  • a semiconductor substrate is provided, and an opening is formed which extends entirely through the substrate.
  • a first material is deposited along sidewalls of the opening at a temperature of less than or equal to about 200°C.
  • a second material is then plated within the opening and over the first material.
  • the invention encompasses a method of fabricating an interconnect for a semiconductor component.
  • a semiconductor substrate is provided and an opening is formed to extend entirely through the substrate.
  • a metal nitride is deposited along sidewalls of the opening.
  • the deposition is conducted in a reaction chamber utilizing a first precursor containing the metal of the metal nitride, and a second precursor containing the nitrogen of the metal nitride.
  • the deposition comprises at least one cycle in which the first and second precursors are in the reaction chamber at different and substantially non-overlapping time intervals relative to one another.
  • a second material is subsequently plated within the opening and over the metal nitride.
  • Fig. 1 is a diagrammatic top view of a prior art semiconductor component construction.
  • Fig. 2 is an enlarged view of the region 2 of the Fig. 1 prior art construction.
  • Fig. 3 is a view along the line 3-3 of the Fig. 2 prior art construction.
  • Fig. 4 is a view of a prior art construction at a processing stage prior to that of Fig. 2.
  • Fig. 5 is a cross-sectional view along the line 5-5 of the Fig. 4 prior art construction.
  • Fig. 6 is a diagrammatic, cross-sectional view of a semiconductor component at a preliminary processing stage of an exemplary method of the present invention.
  • Fig. 7 is a view of the Fig. 6 fragment shown at a processing stage subsequent to that of Fig. 6.
  • Fig. 8 is a view of the Fig. 6 fragment shown at a processing stage subsequent to that of Fig. 7.
  • Fig. 9 is a view of the Fig. 6 fragment shown at a processing stage subsequent to that of Fig. 8.
  • Fig. 10 is a view of the Fig. 6 fragment shown at a processing stage subsequent to that of Fig. 9.
  • Fig. 11 is a view of the Fig. 6 fragment shown at a processing stage subsequent to that of Fig. 10.
  • Fig. 12 is a view of the Fig. 6 fragment shown at a processing stage subsequent to that of Fig. 11.
  • Fig. 13 is a view of the Fig. 6 fragment shown at a processing stage subsequent to that of Fig. 12.
  • Fig. 14 is a view of the Fig. 6 fragment shown at a processing stage subsequent to that of Fig. 7 in accordance with a second aspect of the present invention.
  • Fig. 15 is a view of the Fig. 14 fragment subsequent to that of Fig. 14.
  • Fig. 16 is a view of the Fig. 14 fragment shown at a processing stage subsequent to that of Fig. 15.
  • Fig. 17 is a diagrammatic, cross-sectional view of an exemplary deposition apparatus which can be utilized in various aspects of the present invention.
  • the invention encompasses methods for forming solder within openings extending through semiconductor components.
  • Low temperature deposition is utilized to form a film within an opening.
  • the film can comprise a metal nitride, such as, for example, titanium nitride.
  • a surface of the film is plated with a solder-wetting material (such as, for example, nickel).
  • the plating can comprise activation of the surface, (such as, for example, by dipping the film in a solution comprising hafnium and/or palladium), followed by electroless plating of the activated surface with the solder-wetting material.
  • the plating can comprise utilization of activationless plating chemistry to form a plating on the surface.
  • the activationless plating chemistry can utilize reduced stabilizer content and can utilize the TiN of the film to carry an electrical potential for the plating chemical reaction.
  • a low temperature TiN film can be used as a low temperature base or adhesion layer for another low temperature chemical vapor deposited layer (such as, for example, tungsten).
  • the solder-wetting material can then be plated onto the low temperature chemical vapor deposited layer. [0034] After formation of the solder-wetting material over the film, solder can be drawn into the opening along the solder-wetting material to fill the opening.
  • the low-temperature deposition of the film is preferably conducted at a temperature of less than or equal to about 200°C so that a temperature of the semiconductor component does not exceed 200°C during the deposition.
  • Suitable deposition technologies can comprise atomic layer deposition (ALD) and/or chemical vapor deposition (CVD).
  • ALD technology and CVD technology will be initially described in some detail. Referring first to ALD technology, such typically involves formation of successive atomic layers on a substrate. Such layers may comprise, for example, an epitaxial, polycrystalline, and/or amorphous material.
  • ALD may also be referred to as atomic layer epitaxy, atomic layer processing, etc.
  • ALD includes exposing an initial component to a first chemical species to accomplish chemisorption of the species onto the component. Theoretically, the chemisorption forms a monolayer that is uniformly one atom or molecule thick on the entire exposed initial component. In other words, a saturated monolayer. Practically, as further described below, chemisorption might not occur on all portions of the component. Nevertheless, such an imperfect monolayer is still a monolayer in the context of this document. In many applications, merely a substantially saturated monolayer may be suitable. A substantially saturated monolayer is one that will still yield a deposited layer exhibiting the quality and/or properties desired for such layer.
  • the first species is purged from over the component and a second chemical species is provided to chemisorb onto the first monolayer of the first species.
  • the second species is then purged and the steps are repeated with exposure of the second species monolayer to the first species.
  • the two monolayers may be of the same species.
  • a third species or more may be successively chemisorbed and purged just
  • Purging may involve a variety of techniques including, but not limited to, contacting the component and/or monolayer with a carrier gas and/or lowering pressure to below the deposition pressure to reduce the concentration of a species contacting the component and/or chemisorbed species.
  • carrier gases include N 2 , Ar, He, Ne, Kr, Xe, etc.
  • Purging may instead include contacting the component and/or monolayer with any substance that allows chemisorption byproducts to desorb and reduces the concentration of a species preparatory to introducing another species.
  • a suitable amount of purging can be determined experimentally as known to those skilled in the art.
  • Purging time may be successively reduced to a purge time that yields an increase in film growth rate.
  • the increase in film growth rate might be an indication of a change to a non-ALD process regime and may be used to establish a purge time limit.
  • ALD is often described as a self-limiting process, in that a finite number of sites exist on a component to which the first species may form chemical bonds. The second species might only bond to the first species and thus may also be self-limiting.
  • ALD may also encompass a species forming other than one monolayer at a time by stacking of a species, forming a layer more than one atom or molecule thick.
  • CVD chemical vapor deposition
  • the general technology of chemical vapor deposition (CVD) includes a variety of more specific processes, including, but not limited to, plasma-enhanced CVD and others.
  • CVD is commonly used to form non-selectively a complete, deposited material on a component.
  • One characteristic of CVD is the simultaneous presence of multiple species in the deposition chamber that react to form the deposited material.
  • An ALD process regime may provide a simultaneously contacted plurality of species of a type or under conditions such that ALD chemisorption, rather than CVD
  • Construction 200 comprises several of the structures described previously with reference to Figs. 1 -5, and such structures are labeled identically in construction 200 as they were labeled in Figs. 1 -5. Accordingly, construction 200 is shown to comprise a semiconductor component 1 10 having a front side 111 and a back side 1 13, and further is shown to comprise a passivation layer 112 extending around component 110. Construction 200 further comprises a conductive metal trace 100 analogous to the trace described previously, and comprises a thick passivation layer 1 14 beneath trace 100.
  • the thick passivation layer can be, for example, a polyimide-containing layer.
  • Construction 200 differs from the construction 10 of Figs. 1 -5 in that construction 200 comprises a pair of insulative-material layers 202 and 204 over conductive-material layer 100.
  • Insulative material layers 202 and 204 can be referred to as a first and second insulative-material layer, respectively.
  • Insulative-material layer 202 can comprise, for example, polyimide, and insulative-material layer 204 can comprise, for example, silicon dioxide formed by low-temperature deposition.
  • Insulative-material layer 202 is patterned to have a gap 206 extending therethrough, which is ultimately to be a location for an outer lead bond.
  • Construction 200 can be considered a semiconductor component, and such component comprises a front-side surface 208 outwardly of the front side of semiconductor die 1 10, and a back-side surface 210 outwardly of the back side 113 of die 110.
  • An opening 212 extends entirely through semiconductor component 200, and specifically extends from front-side surface 208 to back-side surface 210. Opening 212 comprises a depth 214 between the front-side surface 208 and the back-side surface 210, and comprises a width 216 orthogonal to the depth 214. Opening 212 can have any suitable shape when viewed from above, including, for example, a substantially circular shape. If opening 212 is substantially circular when viewed from above, then width 216 will correspond to a diameter of the circle.
  • Opening 212 has a maximum cross-sectional width. In particular aspects, such maximum cross-sectional width will be less than about 100 microns, and in further aspects such maximum cross-sectional width will be less than about 35 microns.
  • Opening 212 has sidewall peripheries 218 which comprise a surface of passivation material 112 and a surface of insulative material 204. In particular aspects,
  • S: ⁇ mi22 ⁇ 281Ap01 -PCT format.doc materials 204 and 112 can comprise the same composition as one another, and specifically can comprise, consist essentially of, or consist of silicon dioxide. Accordingly, sidewalls 218 can comprise, consist essentially of, or consist of silicon dioxide along the entirety of the sidewalls.
  • structure 110 can comprise a semiconductor die, and in particular aspects will comprise a monocrystalline semiconductor material, such as, for example, a monocrystalline silicon wafer. Accordingly, opening 212 can be considered to extend through a monocrystalline silicon wafer in some aspects of the invention.
  • an interface between materials 204 and 112 should be shown in the opening 212 of Fig.
  • Structures 114, 100, 202 and 204 can be considered to be proximate the front side 1 11 of structure 1 10. Specifically, the structures 114, 100, 202 and 204 are closer to the front side 1 11 than to the back side 113. [0051] The processing described previously with reference to Figs.
  • FIG. 4 and 5 showed a hole 130 punched through conductive material 100, and accordingly the hole 130 comprised a periphery containing the conductive material 100.
  • a difference between the Fig. 6 structure 200 and the structure described with reference to Figs. 4 and 5 is that hole 212 does not comprise a periphery extending entirely to conductive material 100.
  • doc Construction 200 can be formed by initially forming conductive-material layer 100 to be patterned such that the material 100 comprises a gap extending therethrough where hole 212 is ultimately to be formed. The gap can then be filled with the electrically-insulative material 204, and the opening 212 can be punched through electrically-insulative material 204.
  • construction 200 is shown with opening 212 not contacting conductive material 100, it is to be understood that the processing of Figs. 4 and 5 could be utilized instead of the shown processing. Accordingly the opening could be formed through a conductive pad of material as shown in Figs. 4 and 5, and could have a periphery comprising the conductive material of the pad.
  • a layer (which can also be referred to herein as a film) 220 is deposited within opening 212 along sidewalls 218, and also along back-side surface 210 of component 200.
  • Layer 220 can comprise an electrically-conductive material, and in particular aspects will comprise, consist essentially of, or consist of metal nitride.
  • layer 220 can comprise, consist essentially of, or consist of one or more of titanium nitride, tungsten nitride, tantalum nitride and hafnium nitride.
  • Layer 220 can be referred to as a first material in particular aspects of the invention to distinguish layer 220 from subsequent materials formed within opening 212.
  • layer 220 is described as being formed over back-side surface 210, it is to be understood that layer 220 can be alternatively considered to define a new back-side surface so that the back-side surface 210 becomes associated with layer 220 rather than with material 112. However, as will become apparent from the discussion that follows, the portion of layer 220 associated with the back side of construction 200 is ultimately removed,
  • Layer 220 can be formed by, for example, ALD and/or CVD, and is preferably formed at a temperature of less than or equal to about 200°C.
  • the utilization of temperatures at or below 200°C can avoid problems discussed above in the "Background" section of this disclosure, and specifically can avoid heating circuitry associated with die 1 10 (not shown) to temperatures which can adversely impact the circuitry.
  • Fig. 17 shows an exemplary deposition apparatus 1000 that can be utilized for forming layer 220.
  • Apparatus 1000 comprises a reaction chamber 1002.
  • An inlet 1004 extends into the reaction chamber, and a valve 1006 is provided across inlet 1004 for controlling flow of materials through the inlet.
  • An outlet 1008 also extends into the reaction chamber, and a valve 1010 is provided to control flow of materials through the outlet.
  • a substrate holder 1012 is provided within the reaction chamber, and such is shown holding an exemplary substrate 1014.
  • Substrate 1014 can correspond to, for example, the semiconductor component 200 of Figs. 6 and 7.
  • materials are flowed into chamber 1002 through inlet 1004, and expelled from chamber 1002 through outlet 1008.
  • the materials flowed into the chamber are represented by arrow 1016, and the materials expelled from the chamber represented by arrow 1018.
  • the materials flowed into chamber 1002 can be suitable reactants (i.e., one or more precursors), carrier gases, purge gases, etc.
  • suitable reactants i.e., one or more precursors
  • carrier gases i.e., one or more gases
  • purge gases etc.
  • two precursors can be introduced into the chamber at different and substantially non-overlapping time intervals relative to one another. Specifically, a first precursor can be introduced into the chamber to
  • S: ⁇ mi22 ⁇ 281 ⁇ p01-PCT format.doc form a first substantially-saturated monolayer over an exposed surface of substrate 1014, and subsequently the first precursor can be expelled from the reaction chamber.
  • a second precursor can then be introduced into the reaction chamber to react with the monolayer formed from the first precursor to form a desired material from the monolayer.
  • the utilization of the first and second precursors can be considered one cycle of the process, and multiple cycles can be utilized to form a desired material to a desired thickness.
  • the first and second precursors are described as being within the chamber at "non-overlapping time intervals" relative to one another in that each of the precursors is substantially entirely flushed from within the reaction chamber prior to introduction of the other.
  • layer 220 comprises metal nitride.
  • a first precursor can comprise the metal of the metal nitride and a second precursor can comprise the nitrogen of the metal nitride.
  • the layer 220 can be formed utilizing at least one cycle in which the first and second precursors are in the reaction chamber at different and substantially non-overlapping time intervals relative to one another.
  • each cycle will comprise introduction of the first precursor, followed by a purge, and then followed by introduction of the second precursor.
  • the metal nitride is titanium nitride
  • exemplary precursors containing the metal of the titanium nitride are TiCI 4
  • a titanium nitride layer 220 can be formed at a temperature of from about 68°C to about 400°C if formed from titanium tetrachloride and ammonia, but preferably will be formed at a temperature of less than about 200°C.
  • the formation of layer 220 can be accomplished utilizing multiple cycles at a temperature of from about 100°C to about 180°C, typically from about 130°C to about 170°C, with an exemplary temperature being 155°C; a pressure from about 200 milliTorr to about 2 Torr, with an exemplary pressure being about 800 milliTorr; a cold wall reaction chamber; a pulse of the TDMAT into the chamber for a time of about 1 second; a purge for a time of about 10 seconds; and a second pulse of the ammonia into the reaction chamber for at time of about 4 seconds.
  • the pulse of the ammonia can be followed by a purge for about 10 seconds, to complete an individual cycle.
  • the purge can be accomplished utilizing vacuum and/or a suitable purge gas.
  • the purge gas can comprise, for example, argon, and is preferably a gas which is inert relative to reaction with the precursors and any exposed materials on the substrate.
  • the temperatures described above for formation of a metal nitride layer are exemplary temperatures. It can be preferred, however, that temperatures utilized for formation of the layer 220 be at or below about 200°C, and more preferred that the temperatures be at or below about 160°C.
  • Layer 220 can be formed to any desired thickness through multiple cycles of an ALD-type process. A typical thickness of layer 220 will be at least about 10 ⁇ A, with
  • each of the above-described cycles will typically form a layer less than or equal to about 1 ⁇ A thick (with typical layers being about 9A thick), and accordingly it can be desired to repeat the cycles at least about 10 times to form layer 220 to a desired thickness.
  • the methods described above for the TDMAT/NH 3 processing and the TiCIJNH. processing are ALD-like, in that the methods utilize two precursors which are provided in a reaction chamber at substantially non-overlapping times relative to one another.
  • the processing utilized to form layer 220 can be any suitable processing, including CVD-type processes.
  • a TiN film formed from TDMAT will frequently oxidize upon exposure to air unless treated with a post-deposition anneal of about 400°C.
  • the metal nitride film 220 of the present invention is utilized as a substrate for a plating procedure. In such applications, the conductive properties of the film are not generally of concern, and accordingly, the film can oxidize to some extent.
  • the post-deposition anneal typically utilized with TDMAT formation of TiN can be omitted, and the film 220 can be formed with a process which remains at or below 200°C (typically at or below 160°C, and frequently at or below 155°C) for the entirety of the process.
  • layer 220 is shown removed from over back-side surface 210 of component 200. Such removal can be accomplished utilizing any suitable etch, and/or utilizing planarization methods (such as, for example, chemical-mechanical polishing).
  • Fig. 8 also shows a layer 222 formed over an exposed surface of layer 220. Layer 222 represents activation of layer 220. The activation of layer 220 is accomplished
  • S: ⁇ mi22281 ⁇ p01 -PCT format doc by exposing layer 220 to one or both of hafnium and palladium.
  • An exemplary method of activating a surface of layer 220 is to expose the layer to a solution comprising about 0.15 grams per liter of palladium chloride, and about 4 milliliters per liter hydrofluoric acid, with the remainder being deionized water. The exposure can be accomplished with a dip into the solution for a time of from about 30 seconds to about 60 seconds, with about 30 seconds typically being adequate at room temperature.
  • the activation can form a separate layer 222 as shown, or can instead alter a surface composition of layer 220. Regardless, the activation prepares layer 220 for subsequent electroless deposition over the layer.
  • the activation forms the shown separate layer 222 comprising, consisting essentially of, or consisting of one or both of hafnium and palladium.
  • Layer 222 can have a thickness of from about 1 micron to about 7 microns, with an exemplary suitable thickness being about 5 microns.
  • the activation of the surface of layer 220 can be performed before or after removal of layer 220 from over back-side surface 210. If layer 220 comprises hafnium or palladium, the activation of the layer can be omitted.
  • a layer 224 is electroless plated onto activation layer 222.
  • the material of layer 224 can be referred to as a second material to distinguish the material from the first material of layer 220.
  • Layer 224 preferably comprises a solder- wettable material, and is formed within opening 212 to effectively line sidewalls of the opening with the solder-wettable material.
  • Layer 224 can comprise, consist essentially of, or consist of, for example, nickel. In such aspects, layer 224 can be formed by dipping construction 200 into a nickel hypophosphate solution utilizing an operating temperature of from about 59°C to about
  • the nickel hypophosphate solution can be a solution manufactured by Shipley Corporation for utilization with p-dimethylaminobenzaldeyhyde (DMAB) applications.
  • the nickel hypophosphate solution will typically have a pH of from about 5 to 7, with a preferred pH being about 7.
  • the electroless-plated layer 224 can have a thickness of from about 1 micron to about 7 microns, with about 5 microns being a preferred thickness.
  • a solder material formed over the nickel layer can consume the electroless-plated layer before the opening 212 is completely filled with solder, so that the electroless-plated material does not accomplish the desired task of providing adequate wetting for solder utilized to fill opening 212.
  • activation and subsequent electroless plating is but one of many methods that can be utilized for plating a solder-wetting material over a metal nitride. Other methods include, for example, activationless plating onto the metal nitride, and formation of a low temperature chemical vapor deposited layer onto the metal nitride followed by plating onto the low temperature chemical vapor deposited layer.
  • the activation layer 222 can be omitted, or can be substituted by a low temperature chemical vapor deposited layer. Alternatively, the activation layer can be formed over a low temperature chemical vapor deposited layer which in turn is formed over the metal nitride.
  • layer 224 is shown formed after removal of layer 220 from over backside surface 210, it is to be understood that the invention encompasses other aspects in which electroless-plated material 224 is provided prior to removal of layer 220 from over the
  • insulative-material layer 204 (Fig. 9) is removed to leave an opening 232 in the polyimide-containing layer 202 where an outer lead bond is to be formed.
  • an upper region of opening 212 is extended to form a region 230 of the opening that extends entirely across the gap within conductive-material layer 100. Accordingly, the extended region 230 of opening 212 has a periphery comprising an exposed surface of conductive material 100.
  • the opening 212 can be considered a first opening which does not extend to the conductive material 100, and the extended region 230 can be considered a portion of the first opening which is extended to reach the conductive material 100.
  • the formation of extended region 230 can be accomplished utilizing any suitable etch. Although an entirety of insulative material 204 is shown removed during formation of extended region 230, it is to be understood that the invention encompasses other aspects in which only a portion of material 204 is removed to form the extended region 230. Regardless, at least some of the material 204 is removed. [0075] In the shown aspect of the invention, the formation of extended region 230 occurs after activation of first material 220, and also after formation of electroless-plated material 224. It is to be understood, however, that the invention encompasses other aspects in which the extended region 230 is formed before one or both of the activation of material 220 and formation of plated material 224.
  • solder 240 is provided within opening 212 and within extended region 230.
  • Solder 240 can be provided by, for example, utilizing wave-solder methodologies which apply the solder from a back side of the component 200. Accordingly, the solder fills opening 212, but does not extend into other typographical features associated with the front side of component 200, including, for example, the opening 232.
  • Solder 240 can comprise any suitable solder composition, including, for example, compositions containing 63% tin and 37% lead (by weight), 96.5% tin and 3.4% silver (by weight), or 96.5% tin, 3% silver and 0.5% copper (by weight).
  • the solder-wetting material 224 advantageously assists in allowing the solder to flow into narrow opening 212 at relatively low temperatures, while providing a uniform, quality fill within the opening. Exemplary temperatures for provision of the solder within the opening are at or below about 200°C.
  • a conductive-material layer 250 is formed over exposed conductive materials 100 and 240 on front surface 208.
  • the conductive material 250 can comprise, consist essentially of, or consist of, for example, nickel, and can be formed utilizing electroless-plating technology.
  • the conductive material 250 within gap 232 forms a portion of an outer lead 252.
  • polyamide-containing material 202 (Fig. 12) is removed, and a solder ball 260 is formed over the conductive material 250 of outer lead 252.
  • FIG. 6-13 illustrates one exemplary aspect of the invention. Another exemplary aspect is described with reference to Figs. 14-16. In referring to Figs. 14-16, identical numbering will be used as was utilized above in describing Figs. 6-13, where appropriate. [0083] Fig.
  • FIG. 14 illustrates a construction 300 at a processing step subsequent to that of Fig. 7. Expanded region 230 has been formed after formation of conductive material 220, and prior to removal of conductive material 220 from back-side surface 210 of the component.
  • Fig. 15 shows activation layer 222 and electroless-plated layer 224 formed along material 220.
  • Fig. 15 also shows material 220 removed from over back-side surface 210. Such removal can occur before or after formation of one or both of activating layer 222 and electroless-plated layer 224.
  • Fig. 15 shows removal of insulative material 204 (Fig. 14) from over polyamide-containing material 202, which leaves a gap 232 exposed to a location where an outer lead is to be formed.
  • the removal of material 204 preferably occurs after formation of activating layer 222 and electroless-plated layer 224, in order to avoid formation of an electroless-plated material on the conductive material 100 within opening 232.
  • the invention encompasses other aspects (not shown) wherein it is desired to form an electroless-plated material within opening 232 simultaneously to forming
  • solder 240 is provided within opening 212 and extended region 230, conductive-material caps 250 are provided within regions 230 and 232 (the region 232 is labeled in Fig. 15), and a solder ball 260 is provided over the conductive material 250 in opening 232.
  • the construction of Fig. 16 is comparable to the construction of Fig. 13, but differs in that polyamide-containing material 202 has been left over the construction of Fig.
  • the low-temperature processing of the present invention can provide numerous advantages. For instance, the process can be utilized in so-called "back-end” processes where heating above the low temperatures utilized in the invention can be detrimental or is simply not possible. Additionally, methodology of the invention can be utilized with metallization of plastics and other materials that may be sensitive to temperature.

Abstract

In one aspect, the invention encompasses a method of fabricating an interconnect for a semiconductor component. A semiconductor substrate is provided, and an opening (212) is formed which extends entirely through the substrate. A first material (220) is deposited along sidewalls (218) of the opening at a temperature of less than or equal to about 200°C. The deposition can comprise one or both of atomic layer deposition and chemical vapor deposition, and the first material can comprise a metal nitride. A solder-wetting material (224) is formed over a surface of the first material. The solder-wetting material can comprise, for example, nickel. Subsequently, solder (240) is provided within the opening and over the solder­wetting material.

Description

METHODS OF FABRICATING INTERCONNECTS FOR SEMICONDUCTOR COMPONENTS
TECHNICAL FIELD [0001] The invention pertains to methods of fabricating interconnects for semiconductor components.
BACKGROUND OF THE INVENTION [0002] Semiconductor components, such as dice, wafers and chip scale packages, are fabricated to include external contacts to allow electrical connections to be made from the outside of the components to integrated circuits contained on the components. A semiconductor die, for example, typically includes patterns of bond pads formed on a face of the die. At the wafer level, the bond pads are used for probe testing the integrated circuits on the die. At the die level, the bond pads are used for testing, and also for making electrical connections, such as wire bonds, for packaging. Typically, the bond pads comprise planar aluminum pads, or alternatively solder bumps on solder wettable pads. [0003] The interconnects described above are but one type of interconnect that can be utilized with semiconductor components. Numerous other types of interconnects are known. For instance, semiconductor packages, such as chip scale packages, can utilize solder balls arranged in a dense array, such as a ball grid array (BGA), or fine ball grid array (FBGA). [0004] Figs. 1 -5 illustrate an exemplary semiconductor component 10 having interconnects associated therewith. Fig. 1 is a top view of a fragment of the component 10, and shows a plurality of conductive traces 12, 14, 16, 18, 20, 22, 24, 26, 28, 30, 32, 34 and
S:\mi22\281 Αp01 -PCT format.doc 36. The conductive traces extend from inner lead bond pads 38, 40, 42, 44, 46, 48, 50, 52, 54, 56, 58, 60 and 62 to outer lead bonds 64, 66, 68, 70, 72, 74, 76, 78, 80, 82, 84, 86 and 88. The shown relative sizes of the outer lead bonds and inner lead bonds are for diagrammatic purposes only. Accordingly, although the inner lead bonds are shown uniform in size and larger than the outer lead bonds which are also uniform in size, it is to be understood that the constructions can also have inner lead bonds and/or outer lead bonds which are not uniform in size, and can have inner lead bonds which are smaller than the outer lead bonds. [0005] Figs. 2 and 3 show a top view and a cross-sectional side view of an expanded region of the Fig. 1 fragment. Such views show that the trace 18 is a layer 100 of conductive material. Outer lead bond 70 comprises a solder ball 102 over a nickel- containing solder-wettable material 104. Inner lead bond 44 comprises a solder interconnect 106 having a conductive material cap 108 thereover. Conductive material cap 108 can comprise, for example, nickel and/or a solder ball. [0006] Trace 100 can be referred to as a redistribution layer, in that it distributes an electrical signal from the central location of the inner lead bond 44 to the laterally outward location of outer lead bond 70 and vice versa. [0007] The semiconductor component of fragment 10 comprises a semiconductor die 110 which can correspond to, for example, a monocrystalline silicon wafer. The die 110 has various levels of integrated circuitry (not shown) associated therewith. An electrically insulative passivation layer 1 12 extends around die 110 and insulates the die from the solder material 106. Passivation layer 112 can comprise, consist essentially of, or consist of silicon dioxide, and will typically have a thickness of from about 2000A to about 8000A.
S:\mi22\281Αp01-PCT format.doc An insulative material 114 is provided over passivation layer 112, and is utilized to support circuit trace 100, and can also be utilized during patterning of circuit trace 100. Layer 1 14 can comprise, for example, polyimide. [0008] Semiconductor die 110 can be considered a semiconductor substrate, or alternatively the die 110 in combination with various other materials of component 10 can be considered a semiconductor substrate. To aid in interpretation of the claims that follow, the terms "semiconductive substrate" and "semiconductor substrate" are defined to mean any construction comprising semiconductive material, including, but not limited to, bulk semiconductive materials such as a semiconductive wafer (either alone or in assemblies comprising other materials thereon), and semiconductive material layers (either alone or in assemblies comprising other materials). The term "substrate" refers to any supporting structure, including, but not limited to, the semiconductive substrates described above. [0009] The die 1 10 has two opposing sides 1 11 and 113, which are typically referred to as a front side and a back side, respectively. Component 10 has opposing outer surfaces 1 15 and 1 17 that are proximate the front side 111 and the back side 1 13, respectively, of die 1 10. Surfaces 115 and 1 17 can be referred to as a front-side surface and back-side surface, respectively, of component 10. [0010] Solder material 106 extends entirely through component 10, and accordingly extends from the front-side surface 115 of the component to the back-side surface 117 of the component. Solder material 106 can ultimately be utilized for an electrical connection to an external device proximate back-side surface 117, and can be utilized for electrically interconnecting such device to another device associated with pad 102 of outer lead 70.
S:\mi22\2817\p01-PCT format.doc [0011] A method of forming the structure of Figs. 2 and 3 is described with reference to Figs. 4 and 5. Specifically, the construction 10 is shown prior to formation of leads 44 and 70 (Figs. 2 and 3). A hole 130 is etched through component 10 to extend from front-side surface 115 to back-side surface 11 . The hole can be formed by, for example, laser- etching. The hole comprises a depth 132 extending from the front-side surface 115 to the back-side surface 1 17, and comprises a width dimension 134 orthogonal to the depth. The hole can be substantially circular when viewed from above (as shown), and accordingly width dimension 134 can correspond to a diameter of the circle. Alternatively, the hole can have a polygonal shape (such as, for example, a square shape) when viewed from above. Regardless of the shape of the hole 130, the maximum width dimension will typically be less than or equal to about 100 microns, and frequently will be less than or equal to about 35 microns. [0012] A problem is encountered in uniformly filling hole 130 with solder. Specifically, the small dimension of hole 130 makes it difficult to flow solder into the hole. Various efforts have been made to provide solder-wetting agents along the peripheral sidewalls of the hole 130 in order to draw the solder into the hole. However, the provision of the solder- wetting agents will frequently comprise relatively high-temperature processing (specifically, processing in excess of 300°C), which can adversely impact circuitry associated with die 110. Accordingly, it is desired to develop new methods for forming interconnects within semiconductor components.
S: \mi22281 ΛpO 1-PCT format, doc SUMMARY OF THE INVENTION [0013] In one aspect, the invention encompasses a method of fabricating an interconnect for a semiconductor component. A semiconductor substrate is provided, and an opening is formed which extends entirely through the substrate. A first material is deposited along sidewalls of the opening at a temperature of less than or equal to about 200°C. A second material is then plated within the opening and over the first material. [0014] In one aspect, the invention encompasses a method of fabricating an interconnect for a semiconductor component. A semiconductor substrate is provided and an opening is formed to extend entirely through the substrate. A metal nitride is deposited along sidewalls of the opening. The deposition is conducted in a reaction chamber utilizing a first precursor containing the metal of the metal nitride, and a second precursor containing the nitrogen of the metal nitride. The deposition comprises at least one cycle in which the first and second precursors are in the reaction chamber at different and substantially non-overlapping time intervals relative to one another. A second material is subsequently plated within the opening and over the metal nitride.
BRIEF DESCRIPTION OF THE DRAWINGS [0015] Preferred embodiments of the invention are described below with reference to the following accompanying drawings. [0016] Fig. 1 is a diagrammatic top view of a prior art semiconductor component construction. [0017] Fig. 2 is an enlarged view of the region 2 of the Fig. 1 prior art construction. [0018] Fig. 3 is a view along the line 3-3 of the Fig. 2 prior art construction.
S:\mi22\281 Αp01 -PCT format, doc [0019] Fig. 4 is a view of a prior art construction at a processing stage prior to that of Fig. 2. [0020] Fig. 5 is a cross-sectional view along the line 5-5 of the Fig. 4 prior art construction. [0021] Fig. 6 is a diagrammatic, cross-sectional view of a semiconductor component at a preliminary processing stage of an exemplary method of the present invention. [0022] Fig. 7 is a view of the Fig. 6 fragment shown at a processing stage subsequent to that of Fig. 6. [0023] Fig. 8 is a view of the Fig. 6 fragment shown at a processing stage subsequent to that of Fig. 7. [0024] Fig. 9 is a view of the Fig. 6 fragment shown at a processing stage subsequent to that of Fig. 8. [0025] Fig. 10 is a view of the Fig. 6 fragment shown at a processing stage subsequent to that of Fig. 9. [0026] Fig. 11 is a view of the Fig. 6 fragment shown at a processing stage subsequent to that of Fig. 10. [0027] Fig. 12 is a view of the Fig. 6 fragment shown at a processing stage subsequent to that of Fig. 11. [0028] Fig. 13 is a view of the Fig. 6 fragment shown at a processing stage subsequent to that of Fig. 12. [0029] Fig. 14 is a view of the Fig. 6 fragment shown at a processing stage subsequent to that of Fig. 7 in accordance with a second aspect of the present invention. [0030] Fig. 15 is a view of the Fig. 14 fragment subsequent to that of Fig. 14.
S:\mi22\281Ap01-PCT format.doc [0031] Fig. 16 is a view of the Fig. 14 fragment shown at a processing stage subsequent to that of Fig. 15. [0032] Fig. 17 is a diagrammatic, cross-sectional view of an exemplary deposition apparatus which can be utilized in various aspects of the present invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS [0033] In particular aspects, the invention encompasses methods for forming solder within openings extending through semiconductor components. Low temperature deposition is utilized to form a film within an opening. The film can comprise a metal nitride, such as, for example, titanium nitride. A surface of the film is plated with a solder-wetting material (such as, for example, nickel). The plating can comprise activation of the surface, (such as, for example, by dipping the film in a solution comprising hafnium and/or palladium), followed by electroless plating of the activated surface with the solder-wetting material. Alternatively, the plating can comprise utilization of activationless plating chemistry to form a plating on the surface. The activationless plating chemistry can utilize reduced stabilizer content and can utilize the TiN of the film to carry an electrical potential for the plating chemical reaction. As another example, a low temperature TiN film can be used as a low temperature base or adhesion layer for another low temperature chemical vapor deposited layer (such as, for example, tungsten). The solder-wetting material can then be plated onto the low temperature chemical vapor deposited layer. [0034] After formation of the solder-wetting material over the film, solder can be drawn into the opening along the solder-wetting material to fill the opening.
S:\mi22\2817p01 -PCT format, doc [0035] The low-temperature deposition of the film is preferably conducted at a temperature of less than or equal to about 200°C so that a temperature of the semiconductor component does not exceed 200°C during the deposition. Suitable deposition technologies can comprise atomic layer deposition (ALD) and/or chemical vapor deposition (CVD). To assist the reader in understanding the invention, ALD technology and CVD technology will be initially described in some detail. Referring first to ALD technology, such typically involves formation of successive atomic layers on a substrate. Such layers may comprise, for example, an epitaxial, polycrystalline, and/or amorphous material. ALD may also be referred to as atomic layer epitaxy, atomic layer processing, etc. [0036] Described in summary, ALD includes exposing an initial component to a first chemical species to accomplish chemisorption of the species onto the component. Theoretically, the chemisorption forms a monolayer that is uniformly one atom or molecule thick on the entire exposed initial component. In other words, a saturated monolayer. Practically, as further described below, chemisorption might not occur on all portions of the component. Nevertheless, such an imperfect monolayer is still a monolayer in the context of this document. In many applications, merely a substantially saturated monolayer may be suitable. A substantially saturated monolayer is one that will still yield a deposited layer exhibiting the quality and/or properties desired for such layer. [0037] The first species is purged from over the component and a second chemical species is provided to chemisorb onto the first monolayer of the first species. The second species is then purged and the steps are repeated with exposure of the second species monolayer to the first species. In some cases, the two monolayers may be of the same species. Also, a third species or more may be successively chemisorbed and purged just
8
S:\mi22\281Ap01-PCT format.doc as described for the first and second species. It is noted that one or more of the first, second and third species can be mixed with inert gas to speed up pressure saturation within a reaction chamber. [0038] Purging may involve a variety of techniques including, but not limited to, contacting the component and/or monolayer with a carrier gas and/or lowering pressure to below the deposition pressure to reduce the concentration of a species contacting the component and/or chemisorbed species. Examples of carrier gases include N2, Ar, He, Ne, Kr, Xe, etc. Purging may instead include contacting the component and/or monolayer with any substance that allows chemisorption byproducts to desorb and reduces the concentration of a species preparatory to introducing another species. A suitable amount of purging can be determined experimentally as known to those skilled in the art. Purging time may be successively reduced to a purge time that yields an increase in film growth rate. The increase in film growth rate might be an indication of a change to a non-ALD process regime and may be used to establish a purge time limit. [0039] ALD is often described as a self-limiting process, in that a finite number of sites exist on a component to which the first species may form chemical bonds. The second species might only bond to the first species and thus may also be self-limiting. Once all of the finite number of sites on a component are bonded with a first species, the first species will often not bond to other of the first species already bonded with the component. However, process conditions can be varied in ALD to promote such bonding and render ALD not self-limiting. Accordingly, ALD may also encompass a species forming other than one monolayer at a time by stacking of a species, forming a layer more than one atom or molecule thick. The various aspects of the present invention described herein are
S:\mi22\281 Αp01 -PCT format, doc applicable to any circumstance where ALD may be desired. It is further noted that local chemical reactions can occur during ALD (for instance, an incoming reactant molecule can displace a molecule from an existing surface rather than forming a monolayer over the surface). To the extent that such chemical reactions occur, they are generally confined within the uppermost monolayer of a surface. [0040] Traditional ALD can occur within frequently-used ranges of temperature and pressure and according to established purging criteria to achieve the desired formation of an overall ALD layer one monolayer at a time. Even so, ALD conditions can vary greatly depending on the particular precursors, layer composition, deposition equipment, and other factors according to criteria known by those skilled in the art. Maintaining the traditional conditions of temperature, pressure, and purging minimizes unwanted reactions that may impact monolayer formation and quality of the resulting overall ALD layer. Accordingly, operating outside the traditional temperature and pressure ranges may risk formation of defective monolayers. [0041] The general technology of chemical vapor deposition (CVD) includes a variety of more specific processes, including, but not limited to, plasma-enhanced CVD and others. CVD is commonly used to form non-selectively a complete, deposited material on a component. One characteristic of CVD is the simultaneous presence of multiple species in the deposition chamber that react to form the deposited material. Such condition is contrasted with the purging criteria for traditional ALD wherein a component is contacted with a single deposition species that chemisorbs to a component or previously deposited species. An ALD process regime may provide a simultaneously contacted plurality of species of a type or under conditions such that ALD chemisorption, rather than CVD
10
S:\mi22281 Αp01 -PCT format.doc reaction occurs. Instead of reacting together, the species may chemisorb to a component or previously deposited species, providing a surface onto which subsequent species may next chemisorb to form a complete layer of desired material. [0042] Under most CVD conditions, deposition occurs largely independent of the composition or surface properties of an underlying component. By contrast, chemisorption rate in ALD might be influenced by the composition, crystalline structure, and other properties of a component or chemisorbed species. Other process conditions, for example, pressure and temperature, may also influence chemisorption rate. Accordingly, observation indicates that chemisorption might not occur appreciably on portions of a component though it occurs at a suitable rate on other portions of the same component. Such a condition may introduce intolerable defects into a deposited material. [0043] An exemplary method of the present invention is described with reference to Figs. 6-13. Referring initially to Fig. 6, such shows a fragment of a construction 200 at a preliminary processing stage. Construction 200 comprises several of the structures described previously with reference to Figs. 1 -5, and such structures are labeled identically in construction 200 as they were labeled in Figs. 1 -5. Accordingly, construction 200 is shown to comprise a semiconductor component 1 10 having a front side 111 and a back side 1 13, and further is shown to comprise a passivation layer 112 extending around component 110. Construction 200 further comprises a conductive metal trace 100 analogous to the trace described previously, and comprises a thick passivation layer 1 14 beneath trace 100. The thick passivation layer can be, for example, a polyimide-containing layer.
1 1
S:\mi222817p01-PCT format.doc [0044] Construction 200 differs from the construction 10 of Figs. 1 -5 in that construction 200 comprises a pair of insulative-material layers 202 and 204 over conductive-material layer 100. Insulative material layers 202 and 204 can be referred to as a first and second insulative-material layer, respectively. Insulative-material layer 202 can comprise, for example, polyimide, and insulative-material layer 204 can comprise, for example, silicon dioxide formed by low-temperature deposition. Insulative-material layer 202 is patterned to have a gap 206 extending therethrough, which is ultimately to be a location for an outer lead bond. [0045] Construction 200 can be considered a semiconductor component, and such component comprises a front-side surface 208 outwardly of the front side of semiconductor die 1 10, and a back-side surface 210 outwardly of the back side 113 of die 110. [0046] An opening 212 extends entirely through semiconductor component 200, and specifically extends from front-side surface 208 to back-side surface 210. Opening 212 comprises a depth 214 between the front-side surface 208 and the back-side surface 210, and comprises a width 216 orthogonal to the depth 214. Opening 212 can have any suitable shape when viewed from above, including, for example, a substantially circular shape. If opening 212 is substantially circular when viewed from above, then width 216 will correspond to a diameter of the circle. Regardless of the shape of opening 212, the opening will have a maximum cross-sectional width. In particular aspects, such maximum cross-sectional width will be less than about 100 microns, and in further aspects such maximum cross-sectional width will be less than about 35 microns. [0047] Opening 212 has sidewall peripheries 218 which comprise a surface of passivation material 112 and a surface of insulative material 204. In particular aspects,
12
S:\mi22\281Ap01 -PCT format.doc materials 204 and 112 can comprise the same composition as one another, and specifically can comprise, consist essentially of, or consist of silicon dioxide. Accordingly, sidewalls 218 can comprise, consist essentially of, or consist of silicon dioxide along the entirety of the sidewalls. [0048] As discussed previously, structure 110 can comprise a semiconductor die, and in particular aspects will comprise a monocrystalline semiconductor material, such as, for example, a monocrystalline silicon wafer. Accordingly, opening 212 can be considered to extend through a monocrystalline silicon wafer in some aspects of the invention. [0049] It is noted that an interface between materials 204 and 112 should be shown in the opening 212 of Fig. 6 as such interface would be visible behind the plane of the opening. However, such interface is not shown within the opening in order to simplify the drawings. Generally, interfaces occurring behind the illustrated planes of the cross- sectional views of this disclosure will not be shown, except in instances in which it is believed that the showing of such interfaces will enhance clarity in the drawings and/or description. [0050] Structures 114, 100, 202 and 204 can be considered to be proximate the front side 1 11 of structure 1 10. Specifically, the structures 114, 100, 202 and 204 are closer to the front side 1 11 than to the back side 113. [0051] The processing described previously with reference to Figs. 4 and 5 showed a hole 130 punched through conductive material 100, and accordingly the hole 130 comprised a periphery containing the conductive material 100. A difference between the Fig. 6 structure 200 and the structure described with reference to Figs. 4 and 5 is that hole 212 does not comprise a periphery extending entirely to conductive material 100.
13
S:\mi22\2817\p01-PCT format, doc Construction 200 can be formed by initially forming conductive-material layer 100 to be patterned such that the material 100 comprises a gap extending therethrough where hole 212 is ultimately to be formed. The gap can then be filled with the electrically-insulative material 204, and the opening 212 can be punched through electrically-insulative material 204. [0052] Although construction 200 is shown with opening 212 not contacting conductive material 100, it is to be understood that the processing of Figs. 4 and 5 could be utilized instead of the shown processing. Accordingly the opening could be formed through a conductive pad of material as shown in Figs. 4 and 5, and could have a periphery comprising the conductive material of the pad. [0053] Referring next to Fig. 7, a layer (which can also be referred to herein as a film) 220 is deposited within opening 212 along sidewalls 218, and also along back-side surface 210 of component 200. Layer 220 can comprise an electrically-conductive material, and in particular aspects will comprise, consist essentially of, or consist of metal nitride. For instance, layer 220 can comprise, consist essentially of, or consist of one or more of titanium nitride, tungsten nitride, tantalum nitride and hafnium nitride. Layer 220 can be referred to as a first material in particular aspects of the invention to distinguish layer 220 from subsequent materials formed within opening 212. [0054] Although layer 220 is described as being formed over back-side surface 210, it is to be understood that layer 220 can be alternatively considered to define a new back-side surface so that the back-side surface 210 becomes associated with layer 220 rather than with material 112. However, as will become apparent from the discussion that follows, the portion of layer 220 associated with the back side of construction 200 is ultimately removed,
14
Figure imgf000015_0001
so it is simpler for the discussion herein if the back-side surface is considered to remain associated with material 1 12 even after formation of layer 220. [0055] Layer 220 can be formed by, for example, ALD and/or CVD, and is preferably formed at a temperature of less than or equal to about 200°C. The utilization of temperatures at or below 200°C can avoid problems discussed above in the "Background" section of this disclosure, and specifically can avoid heating circuitry associated with die 1 10 (not shown) to temperatures which can adversely impact the circuitry. [0056] Fig. 17 shows an exemplary deposition apparatus 1000 that can be utilized for forming layer 220. Apparatus 1000 comprises a reaction chamber 1002. An inlet 1004 extends into the reaction chamber, and a valve 1006 is provided across inlet 1004 for controlling flow of materials through the inlet. An outlet 1008 also extends into the reaction chamber, and a valve 1010 is provided to control flow of materials through the outlet. [0057] A substrate holder 1012 is provided within the reaction chamber, and such is shown holding an exemplary substrate 1014. Substrate 1014 can correspond to, for example, the semiconductor component 200 of Figs. 6 and 7. [0058] In operation, materials are flowed into chamber 1002 through inlet 1004, and expelled from chamber 1002 through outlet 1008. The materials flowed into the chamber are represented by arrow 1016, and the materials expelled from the chamber represented by arrow 1018. The materials flowed into chamber 1002 can be suitable reactants (i.e., one or more precursors), carrier gases, purge gases, etc. [0059] If apparatus 1000 is utilized for an ALD-type process, two precursors can be introduced into the chamber at different and substantially non-overlapping time intervals relative to one another. Specifically, a first precursor can be introduced into the chamber to
15
S:\mi22\281 Λp01-PCT format.doc form a first substantially-saturated monolayer over an exposed surface of substrate 1014, and subsequently the first precursor can be expelled from the reaction chamber. A second precursor can then be introduced into the reaction chamber to react with the monolayer formed from the first precursor to form a desired material from the monolayer. The utilization of the first and second precursors can be considered one cycle of the process, and multiple cycles can be utilized to form a desired material to a desired thickness. The first and second precursors are described as being within the chamber at "non-overlapping time intervals" relative to one another in that each of the precursors is substantially entirely flushed from within the reaction chamber prior to introduction of the other. The term "substantially" non-overlapping time interval is utilized to indicate that there may be some residual precursor within the chamber when a subsequent precursor is introduced into the chamber, but such residual precursor concentration will be very low, and typically low enough so that there is no detectable interaction of the precursors with one another except at the surface of substrate 1014. [0060] In an exemplary aspect of the invention, layer 220 comprises metal nitride. In such aspect, a first precursor can comprise the metal of the metal nitride and a second precursor can comprise the nitrogen of the metal nitride. The layer 220 can be formed utilizing at least one cycle in which the first and second precursors are in the reaction chamber at different and substantially non-overlapping time intervals relative to one another. Typically, each cycle will comprise introduction of the first precursor, followed by a purge, and then followed by introduction of the second precursor. If the metal nitride is titanium nitride, exemplary precursors containing the metal of the titanium nitride are TiCI4
16
S:\mi22\281 Αp01 -PCT format, doc and tetrakis-dimethyl-amido-titanium (TDMAT), and an exemplary precursor containing the nitrogen of the metal nitride is ammonia (NH3). [0061] A titanium nitride layer 220 can be formed at a temperature of from about 68°C to about 400°C if formed from titanium tetrachloride and ammonia, but preferably will be formed at a temperature of less than about 200°C. [0062] In applications in which layer 220 is titanium nitride, the first precursor comprises TDMAT and the second precursor comprises ammonia, the formation of layer 220 can be accomplished utilizing multiple cycles at a temperature of from about 100°C to about 180°C, typically from about 130°C to about 170°C, with an exemplary temperature being 155°C; a pressure from about 200 milliTorr to about 2 Torr, with an exemplary pressure being about 800 milliTorr; a cold wall reaction chamber; a pulse of the TDMAT into the chamber for a time of about 1 second; a purge for a time of about 10 seconds; and a second pulse of the ammonia into the reaction chamber for at time of about 4 seconds. The pulse of the ammonia can be followed by a purge for about 10 seconds, to complete an individual cycle. The purge can be accomplished utilizing vacuum and/or a suitable purge gas. The purge gas can comprise, for example, argon, and is preferably a gas which is inert relative to reaction with the precursors and any exposed materials on the substrate. [0063] The temperatures described above for formation of a metal nitride layer are exemplary temperatures. It can be preferred, however, that temperatures utilized for formation of the layer 220 be at or below about 200°C, and more preferred that the temperatures be at or below about 160°C. [0064] Layer 220 can be formed to any desired thickness through multiple cycles of an ALD-type process. A typical thickness of layer 220 will be at least about 10θA, with
17
S:\mi22\281 Αp01 -PCT format.doc exemplary thicknesses being from about 10OA to about 3000A. If the TDMAT/NH3 processing is utilized, each of the above-described cycles will typically form a layer less than or equal to about 1θA thick (with typical layers being about 9A thick), and accordingly it can be desired to repeat the cycles at least about 10 times to form layer 220 to a desired thickness. [0065] The methods described above for the TDMAT/NH3 processing and the TiCIJNH. processing are ALD-like, in that the methods utilize two precursors which are provided in a reaction chamber at substantially non-overlapping times relative to one another. It is to be understood, however, that the processing utilized to form layer 220 can be any suitable processing, including CVD-type processes. [0066] It is noted that a TiN film formed from TDMAT will frequently oxidize upon exposure to air unless treated with a post-deposition anneal of about 400°C. As will become apparent below, the metal nitride film 220 of the present invention is utilized as a substrate for a plating procedure. In such applications, the conductive properties of the film are not generally of concern, and accordingly, the film can oxidize to some extent. Thus, the post-deposition anneal typically utilized with TDMAT formation of TiN can be omitted, and the film 220 can be formed with a process which remains at or below 200°C (typically at or below 160°C, and frequently at or below 155°C) for the entirety of the process. [0067] Referring to Fig. 8, layer 220 is shown removed from over back-side surface 210 of component 200. Such removal can be accomplished utilizing any suitable etch, and/or utilizing planarization methods (such as, for example, chemical-mechanical polishing). [0068] Fig. 8 also shows a layer 222 formed over an exposed surface of layer 220. Layer 222 represents activation of layer 220. The activation of layer 220 is accomplished
18
S:\mi22281 Αp01 -PCT format, doc by exposing layer 220 to one or both of hafnium and palladium. An exemplary method of activating a surface of layer 220 is to expose the layer to a solution comprising about 0.15 grams per liter of palladium chloride, and about 4 milliliters per liter hydrofluoric acid, with the remainder being deionized water. The exposure can be accomplished with a dip into the solution for a time of from about 30 seconds to about 60 seconds, with about 30 seconds typically being adequate at room temperature. The activation can form a separate layer 222 as shown, or can instead alter a surface composition of layer 220. Regardless, the activation prepares layer 220 for subsequent electroless deposition over the layer. In particular aspects, the activation forms the shown separate layer 222 comprising, consisting essentially of, or consisting of one or both of hafnium and palladium. Layer 222 can have a thickness of from about 1 micron to about 7 microns, with an exemplary suitable thickness being about 5 microns. [0069] The activation of the surface of layer 220 can be performed before or after removal of layer 220 from over back-side surface 210. If layer 220 comprises hafnium or palladium, the activation of the layer can be omitted. [0070] Referring next to Fig. 9, a layer 224 is electroless plated onto activation layer 222. The material of layer 224 can be referred to as a second material to distinguish the material from the first material of layer 220. Layer 224 preferably comprises a solder- wettable material, and is formed within opening 212 to effectively line sidewalls of the opening with the solder-wettable material. [0071] Layer 224 can comprise, consist essentially of, or consist of, for example, nickel. In such aspects, layer 224 can be formed by dipping construction 200 into a nickel hypophosphate solution utilizing an operating temperature of from about 59°C to about
19
S:\mi22\2817\p01-PCT format.doc 64°C, and a deposition time of from about 5 minutes to about 10 minutes. The nickel hypophosphate solution can be a solution manufactured by Shipley Corporation for utilization with p-dimethylaminobenzaldeyhyde (DMAB) applications. The nickel hypophosphate solution will typically have a pH of from about 5 to 7, with a preferred pH being about 7. The electroless-plated layer 224 can have a thickness of from about 1 micron to about 7 microns, with about 5 microns being a preferred thickness. If the thickness gets too low, a solder material formed over the nickel layer (the solder material is described below) can consume the electroless-plated layer before the opening 212 is completely filled with solder, so that the electroless-plated material does not accomplish the desired task of providing adequate wetting for solder utilized to fill opening 212. [0072] As discussed previously, activation and subsequent electroless plating is but one of many methods that can be utilized for plating a solder-wetting material over a metal nitride. Other methods include, for example, activationless plating onto the metal nitride, and formation of a low temperature chemical vapor deposited layer onto the metal nitride followed by plating onto the low temperature chemical vapor deposited layer. If such other methods are utilized, the activation layer 222 can be omitted, or can be substituted by a low temperature chemical vapor deposited layer. Alternatively, the activation layer can be formed over a low temperature chemical vapor deposited layer which in turn is formed over the metal nitride. [0073] Although layer 224 is shown formed after removal of layer 220 from over backside surface 210, it is to be understood that the invention encompasses other aspects in which electroless-plated material 224 is provided prior to removal of layer 220 from over the
20
S:\mi22\281 Ap01-PCT format.doc back-side surface, and in which both the electroless-plated material and material 220 are removed from over the back-side surface. [0074] Referring to Fig. 10, insulative-material layer 204 (Fig. 9) is removed to leave an opening 232 in the polyimide-containing layer 202 where an outer lead bond is to be formed. Also, an upper region of opening 212 is extended to form a region 230 of the opening that extends entirely across the gap within conductive-material layer 100. Accordingly, the extended region 230 of opening 212 has a periphery comprising an exposed surface of conductive material 100. In particular aspects of the invention, the opening 212 can be considered a first opening which does not extend to the conductive material 100, and the extended region 230 can be considered a portion of the first opening which is extended to reach the conductive material 100. The formation of extended region 230 can be accomplished utilizing any suitable etch. Although an entirety of insulative material 204 is shown removed during formation of extended region 230, it is to be understood that the invention encompasses other aspects in which only a portion of material 204 is removed to form the extended region 230. Regardless, at least some of the material 204 is removed. [0075] In the shown aspect of the invention, the formation of extended region 230 occurs after activation of first material 220, and also after formation of electroless-plated material 224. It is to be understood, however, that the invention encompasses other aspects in which the extended region 230 is formed before one or both of the activation of material 220 and formation of plated material 224.
21
S:\mi22281 ΛpO 1-PCT format, doc [0076] The removal of layer 204 (Fig. 9) changes the location of front-side surface 208 so that the surface is now associated with a surface of layer 202 rather than with a surface of layer 204. [0077] Referring to Fig. 11 , a solder 240 is provided within opening 212 and within extended region 230. Solder 240 can be provided by, for example, utilizing wave-solder methodologies which apply the solder from a back side of the component 200. Accordingly, the solder fills opening 212, but does not extend into other typographical features associated with the front side of component 200, including, for example, the opening 232. [0078] Solder 240 can comprise any suitable solder composition, including, for example, compositions containing 63% tin and 37% lead (by weight), 96.5% tin and 3.4% silver (by weight), or 96.5% tin, 3% silver and 0.5% copper (by weight). [0079] The solder-wetting material 224 advantageously assists in allowing the solder to flow into narrow opening 212 at relatively low temperatures, while providing a uniform, quality fill within the opening. Exemplary temperatures for provision of the solder within the opening are at or below about 200°C. [0080] Referring to Fig. 12, a conductive-material layer 250 is formed over exposed conductive materials 100 and 240 on front surface 208. The conductive material 250 can comprise, consist essentially of, or consist of, for example, nickel, and can be formed utilizing electroless-plating technology. The conductive material 250 within gap 232 forms a portion of an outer lead 252. The conductive material 250, together with solder 240, forms an inner lead 254 which extends within opening 212. [0081] Referring to Fig. 13, polyamide-containing material 202 (Fig. 12) is removed, and a solder ball 260 is formed over the conductive material 250 of outer lead 252. The
22
S:\mi22\281Ap01-PCT format.doc removal of material 202 shifts the front-side surface 208 of component 200 to outer surfaces of trace 100, material 114, and exposed materials 250 and 260. Although the solder ball 260 is shown selectively formed over material 250 of outer lead 252, and not over material 250 of inner lead 254, it is to be understood that a solder ball could also be formed over the inner lead. [0082] The processing of Figs. 6-13 illustrates one exemplary aspect of the invention. Another exemplary aspect is described with reference to Figs. 14-16. In referring to Figs. 14-16, identical numbering will be used as was utilized above in describing Figs. 6-13, where appropriate. [0083] Fig. 14 illustrates a construction 300 at a processing step subsequent to that of Fig. 7. Expanded region 230 has been formed after formation of conductive material 220, and prior to removal of conductive material 220 from back-side surface 210 of the component. [0084] Fig. 15 shows activation layer 222 and electroless-plated layer 224 formed along material 220. Fig. 15 also shows material 220 removed from over back-side surface 210. Such removal can occur before or after formation of one or both of activating layer 222 and electroless-plated layer 224. Additionally, Fig. 15 shows removal of insulative material 204 (Fig. 14) from over polyamide-containing material 202, which leaves a gap 232 exposed to a location where an outer lead is to be formed. The removal of material 204 preferably occurs after formation of activating layer 222 and electroless-plated layer 224, in order to avoid formation of an electroless-plated material on the conductive material 100 within opening 232. However, the invention encompasses other aspects (not shown) wherein it is desired to form an electroless-plated material within opening 232 simultaneously to forming
23
S:\mi222817p01-PCT format.doc the electroless-plating material 224, and such aspects it can be desired to expose the conductive material 100 within opening 232 to the conditions utilized for forming layers 222 and 224. [0085] Referring to Fig. 16, solder 240 is provided within opening 212 and extended region 230, conductive-material caps 250 are provided within regions 230 and 232 (the region 232 is labeled in Fig. 15), and a solder ball 260 is provided over the conductive material 250 in opening 232. The construction of Fig. 16 is comparable to the construction of Fig. 13, but differs in that polyamide-containing material 202 has been left over the construction of Fig. 16 during formation of solder ball 260, in accordance with an alternative aspect of the invention relative to that of Fig. 13. [0086] The low-temperature processing of the present invention can provide numerous advantages. For instance, the process can be utilized in so-called "back-end" processes where heating above the low temperatures utilized in the invention can be detrimental or is simply not possible. Additionally, methodology of the invention can be utilized with metallization of plastics and other materials that may be sensitive to temperature.
24
S:\mi22\281Ap01-PCT format.doc

Claims

CLAIMS The invention claimed is:
1. A method of fabricating an interconnect for a semiconductor component, comprising: providing a semiconductor component; forming an opening which extends entirely through the component, the opening having sidewalls; depositing a first material along the sidewalls of the opening, the depositing being conducted at a temperature of less than or equal to about 200°C; and plating a second material within the opening and over the first material.
2. The method of claim 1 wherein the depositing comprises one or both of ALD and CVD.
3. The method of claim 1 wherein the depositing comprises one or both of ALD and CVD, and wherein the depositing utilizes multiple cycles which individually form less than or equal to about 1 θA of the first material.
4. The method of claim 3 wherein the first material is formed to a thickness of at least about 10θA.
5. The method of claim 3 wherein the first material is formed to a thickness of from about 10θA to about 30θA.
25
S:\mi22\281Ap01-PCT format.doc
6. The method of claim 1 wherein the component comprises a semiconductor material wafer.
7. The method of claim 1 wherein the component comprises a monocrystalline silicon wafer.
8. The method of claim 1 wherein the opening has a length through the component and a maximum dimension orthogonal to the length of less than or equal to about 100 microns.
9. The method of claim 1 wherein the first material is an electrically-conductive material.
10. The method of claim 1 wherein the first material comprises a metal nitride.
11. The method of claim 1 wherein the first material consists essentially of a metal nitride.
12. The method of claim 1 wherein the first material consists of a metal nitride.
13. The method of claim 1 wherein the first material comprises one or more, of titanium nitride, tungsten nitride, tantalum nitride and hafnium nitride.
14. The method of claim 1 wherein the first material consists essentially of one or more of titanium nitride, tungsten nitride, tantalum nitride and hafnium nitride.
15. The method of claim 1 wherein the first material consists of one or more of titanium nitride, tungsten nitride, tantalum nitride and hafnium nitride. 26
S:\mi22281Ap01-PCT format doc
16. The method of claim 1 wherein the plating is electroless plating.
17. The method of claim 16 wherein the second material comprises nickel.
18. The method of claim 16 wherein the first material comprises a metal nitride, and further comprising activating the metal nitride with one or both of Hf and Pd prior to the electroless plating.
19. The method of claim 18 wherein the activating the metal nitride forms a layer comprising one or both of Hf and Pd over the metal nitride, and wherein the layer comprising one or both of Hf and Pd has a thickness of from about 1 micron to about 7 microns.
20. The method of claim 19 wherein the layer comprising one or both of Hf and Pd has a thickness of at least about 5 microns.
21. The method of claim 1 wherein the component comprises a first side and an opposing second side, wherein the opening extends from the first side to the second side, the method further comprising forming a conductive-material pad over the first side, and wherein the opening is formed through the conductive-material pad.
22. The method of claim 1 wherein the second material is a solder-wetting material, and further comprising forming solder within the opening and along the solder- wetting material.
23. The method of claim 22 wherein the solder-wetting material comprises nickel. 27
S:\mi22281 Αp01 -PCT format, doc
24. A method of fabricating an interconnect for a semiconductor component, comprising: providing a semiconductor component; forming an opening which extends entirely through the component, the opening having sidewalls; depositing a metal nitride along the sidewalls of the opening, the depositing being conducted in a reaction chamber utilizing: a first precursor containing the metal of the metal nitride; a second precursor containing the nitrogen of the metal nitride; and at least one cycle in which the first and second precursors are in the reaction chamber at different and substantially non-overlapping time intervals relative to one another; and plating a second material within the opening and over the metal nitride.
25. The method of claim 24 wherein the plating is electroless plating.
26. The method of claim 24 wherein the component comprises a semiconductor material wafer.
27. The method of claim 24 wherein the component comprises a monocrystalline silicon wafer.
28
S:\mi22\281Ap01-PCT format.doc
28. The method of claim 24 wherein the opening has a length through the component and a maximum dimension orthogonal to the length of less than or equal to about 100 microns.
29. The method of claim 24 wherein a temperature of the component during the at least one cycle is less than or equal to about 200°C.
30. The method of claim 24 wherein a temperature of the component during the at least one cycle is less than or equal to about 160°C.
31. The method of claim 24 wherein the metal nitride is titanium nitride, tungsten nitride, tantalum nitride or hafnium nitride.
32. The method of claim 24 wherein the metal nitride is titanium nitride.
33. The method of claim 32 wherein the first precursor is TiCI4 and the second precursor is NH3.
34. The method of claim 32 wherein the first precursor is TDMAT and the second precursor is NH3.
35. The method of claim 34 wherein the cycle utilizes, in the following sequential order, a first pulse of the TDMAT into the reaction chamber, a substantial purge of the TDMAT from the reaction chamber, and a second pulse of the NH3 into the reaction chamber.
29
S:\mi22281Ap01-PCT format.doc
36. The method of claim 35 wherein the first pulse is for a time of about 1 second, the purge is for a time of about 10 seconds and utilizes a purge gas which is inert relative to reaction with the TDMAT and the component, and the second pulse is for a time of about 4 seconds.
37. The method of claim 24 wherein, during each of the at least one cycles, the first precursor is introduced into the reaction chamber before the second precursor.
38. The method of claim 24 wherein each of the at least one cycles individually forms a layer of the metal nitride that is less than or equal to about 1θA thick.
39. The method of claim 38 wherein enough of the cycles are utilized so that the depositing forms the metal nitride to be at least about 10θA thick.
40. The method of claim 38 wherein enough of the cycles are utilized so that the depositing forms the metal nitride to be from about 10θA thick to about 30θA thick.
41. The method of claim 24 wherein the second material is a solder-wetting material, and further comprising forming solder within the opening and along the second material.
42. The method of claim 41 wherein the second material comprises nickel.
30
S:\mi22281 ApO 1-PCT format, doc
43. A method of fabricating an interconnect for a semiconductor component, comprising: providing a semiconductor component, the component comprising a monocrystalline semiconductor material having a pair of opposing sides, the component comprising a front side outwardly of one of the opposing sides of the monocrystalline semiconductor material and a back side outwardly of the other of the opposing sides of the monocrystalline semiconductor material, the component further comprising a conductive- material layer proximate the front side; forming an opening which extends from the front side to the back side and thus extends entirely through the semiconductor component, the opening having sidewalls and extending through the conductive-material layer; depositing a first material along the sidewalls of the opening to narrow the opening, the depositing utilizing one or more cycles of a process which forms less than or equal to about 1 oA of the first material per cycle and which exposes the component to a temperature of less than or equal to about 200°C; forming a solder-wetting material within the opening and along the first material; and forming solder along the solder-wetting material to fill the opening with solder; the solder within the opening electrically connecting with the conductive-material layer.
31
S:\mi22\281 Λp01 -PCT format, doc
44. The method of claim 43 wherein the first material is a metal nitride and wherein the process is conducted in a reaction chamber utilizing: a first precursor containing the metal of the metal nitride; a second precursor containing the nitrogen of the metal nitride; and provision of the first and second precursors in the reaction chamber at different and substantially non-overlapping time intervals relative to one another.
45. The method of claim 44 wherein the metal nitride is titanium nitride, tungsten nitride, tantalum nitride or hafnium nitride.
46. The method of claim 44 wherein the metal nitride is titanium nitride.
47. The method of claim 46 wherein the first precursor is TiCI4 and the second precursor is NH3.
48. The method of claim 46 wherein the first precursor is TDMAT and the second precursor is NH3.
49. The method of claim 48 wherein the process utilizes, in the following sequential order, a first pulse of the TDMAT into the reaction chamber, a substantial purge of the TDMAT from the reaction chamber, and a second pulse of the NH3 into the reaction chamber.
32
S:\mi22281Ap01-PCT format.doc
50. The method of claim 43 wherein the semiconductor component comprises a first insulative-material layer over the conductive-material layer and a second insulative- material layer over the first insulative-material layer, and wherein the second insulative- material layer has a surface corresponding to the front side of the semiconductor component.
51. The method of claim 50 wherein the first insulative-material layer comprises polyimide and the second insulative-material layer comprises silicon dioxide.
52. The method of claim 43 wherein a gap extends through the conductive- material layer, and wherein the forming the opening comprises: forming a first opening within the gap, the first opening not extending to the conductive-material layer; and extending a portion of the first opening to the conductive-material layer.
53. The method of claim 52 wherein the extending occurs prior to the forming of the solder-wetting material.
54. The method of claim 52 wherein the forming of the solder-wetting material comprises electroless plating of the solder-wetting material.
55. The method of claim 54 wherein the first material comprises a metal nitride, and further comprising activating the metal nitride with one or both of Hf and Pd prior to the electroless plating.
33
S:\mi22\281 Ap01 -PCT format, doc
56. The method of claim 55 wherein the activating occurs prior to the extending.
57. The method of claim 55 wherein the activating occurs after the extending.
58. The method of claim 54 wherein the extending occurs after the electroless plating.
59. The method of claim 52 further comprising forming an electrically-insulative material over the conductive material and within the gap in the layer of conductive material, wherein the first opening extends through the electrically-insulative material, and wherein the extending comprises removing at least a portion of the electrically-insulative material.
60. The method of claim 59 wherein the electrically-insulative material comprises a silicon dioxide-containing layer.
61. The method of claim 60 further comprising forming a polymide-containing layer over the layer of conductive material, and wherein the silicon dioxide-containing layer is over the polymide-containing layer.
62. The method of claim 43 wherein the first material comprises a metal nitride.
63. The method of claim 43 wherein the first material consists essentially of a metal nitride.
64. The method of claim 43 wherein the first material consists of a metal nitride.
34
S:\mi22\281Ap01-PCT format.doc
65. The method of claim 43 wherein the first material comprises one or more of titanium nitride, tungsten nitride, tantalum nitride and hafnium nitride.
66. The method of claim 43 wherein the first material consists essentially of one or more of titanium nitride, tungsten nitride, tantalum nitride and hafnium nitride.
67. The method of claim 43 wherein the first material consists of one or more of titanium nitride, tungsten nitride, tantalum nitride and hafnium nitride.
68. The method of claim 43 wherein the forming of the solder-wetting material comprises electroless plating of the solder-wetting material.
69. The method of claim 68 wherein the first material comprises a metal nitride, and further comprising activating the metal nitride with one or both of Hf and Pd prior to the electroless plating.
70. The method of claim 43 wherein the depositing forms the first material along the back side of the semiconductor wafer, and further comprising removing the first material from over the back side of the semiconductor wafer.
71. The method of claim 70 wherein the forming of the solder-wetting material comprises electroless plating of the solder-wetting material, and wherein the removing occurs prior to the electroless plating.
72. The method of claim 71 wherein the first material comprises a metal nitride, and further comprising activating the metal nitride with one or both of Hf and Pd prior to the electroless plating. 35
S:\mi22\281Ap01-PCT format.doc
73. The method of claim 72 wherein the activating occurs prior to the removing.
74. The method of claim 72 wherein the activating occurs after the removing.
75. The method of claim 70 wherein the forming of the solder-wetting material comprises electroless plating of the solder-wetting material, and wherein the removing occurs after the electroless plating.
36
S:\mi22\281 Ap01 -PCT format, doc
PCT/US2005/006116 2004-02-20 2005-02-18 Methods of fabricating interconnects for semiconductor components WO2005083778A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
AT05723820T ATE491227T1 (en) 2004-02-20 2005-02-18 METHOD FOR PRODUCING INTERCONNECT CONNECTIONS FOR SEMICONDUCTOR COMPONENTS
EP05723820A EP1719168B1 (en) 2004-02-20 2005-02-18 Methods of fabricating interconnects for semiconductor components
DE602005025194T DE602005025194D1 (en) 2004-02-20 2005-02-18 METHOD FOR PRODUCING INTERCONNECT CONNECTIONS FOR SEMICONDUCTOR COMPONENTS
JP2006554336A JP4702562B2 (en) 2004-02-20 2005-02-18 Method for assembling wiring for semiconductor components

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/784,074 2004-02-20
US10/784,074 US6943106B1 (en) 2004-02-20 2004-02-20 Methods of fabricating interconnects for semiconductor components including plating solder-wetting material and solder filling

Publications (1)

Publication Number Publication Date
WO2005083778A1 true WO2005083778A1 (en) 2005-09-09

Family

ID=34861399

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/006116 WO2005083778A1 (en) 2004-02-20 2005-02-18 Methods of fabricating interconnects for semiconductor components

Country Status (9)

Country Link
US (4) US6943106B1 (en)
EP (1) EP1719168B1 (en)
JP (1) JP4702562B2 (en)
KR (1) KR100821764B1 (en)
CN (1) CN100536105C (en)
AT (1) ATE491227T1 (en)
DE (1) DE602005025194D1 (en)
SG (4) SG166787A1 (en)
WO (1) WO2005083778A1 (en)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6943106B1 (en) * 2004-02-20 2005-09-13 Micron Technology, Inc. Methods of fabricating interconnects for semiconductor components including plating solder-wetting material and solder filling
US7005379B2 (en) * 2004-04-08 2006-02-28 Micron Technology, Inc. Semiconductor processing methods for forming electrical contacts
US7279407B2 (en) * 2004-09-02 2007-10-09 Micron Technology, Inc. Selective nickel plating of aluminum, copper, and tungsten structures
US7772115B2 (en) * 2005-09-01 2010-08-10 Micron Technology, Inc. Methods for forming through-wafer interconnects, intermediate structures so formed, and devices and systems having at least one solder dam structure
US7405146B2 (en) * 2006-01-24 2008-07-29 Kinsus Interconnect Technology Corp. Electroplating method by transmitting electric current from a ball side
SE533308C2 (en) * 2006-02-01 2010-08-24 Silex Microsystems Ab Methods for manufacturing a starting substrate disk for semiconductor manufacturing, with disk-through connections
US7955946B2 (en) * 2006-05-22 2011-06-07 Micron Technology, Inc. Methods of determining x-y spatial orientation of a semiconductor substrate comprising an integrated circuit, methods of positioning a semiconductor substrate comprising an integrated circuit, methods of processing a semiconductor substrate, and semiconductor devices
US7473577B2 (en) * 2006-08-11 2009-01-06 International Business Machines Corporation Integrated chip carrier with compliant interconnect
US7560371B2 (en) * 2006-08-29 2009-07-14 Micron Technology, Inc. Methods for selectively filling apertures in a substrate to form conductive vias with a liquid using a vacuum
SE530415C2 (en) * 2006-09-04 2008-05-27 Nanospace Ab Gastrustor
US20080136038A1 (en) * 2006-12-06 2008-06-12 Sergey Savastiouk Integrated circuits with conductive features in through holes passing through other conductive features and through a semiconductor substrate
US8003517B2 (en) * 2007-05-29 2011-08-23 Freescale Semiconductor, Inc. Method for forming interconnects for 3-D applications
US8586465B2 (en) * 2007-06-07 2013-11-19 United Test And Assembly Center Ltd Through silicon via dies and packages
KR100885924B1 (en) * 2007-08-10 2009-02-26 삼성전자주식회사 A semiconductor package having a buried conductive post in sealing resin and manufacturing method thereof
US8021940B2 (en) * 2007-12-31 2011-09-20 Intel Corporation Methods for fabricating PMOS metal gate structures
US8384224B2 (en) * 2008-08-08 2013-02-26 International Business Machines Corporation Through wafer vias and method of making same
US8138036B2 (en) 2008-08-08 2012-03-20 International Business Machines Corporation Through silicon via and method of fabricating same
US7678696B2 (en) * 2008-08-08 2010-03-16 International Business Machines Corporation Method of making through wafer vias
US8299566B2 (en) * 2008-08-08 2012-10-30 International Business Machines Corporation Through wafer vias and method of making same
US8035198B2 (en) * 2008-08-08 2011-10-11 International Business Machines Corporation Through wafer via and method of making same
CN102097490A (en) * 2009-12-15 2011-06-15 中芯国际集成电路制造(上海)有限公司 Method for manufacturing double-bit flash memory
US8518815B2 (en) * 2010-07-07 2013-08-27 Lam Research Corporation Methods, devices, and materials for metallization
US20120049358A1 (en) * 2010-08-24 2012-03-01 Bin-Hong Cheng Semiconductor Device and Semiconductor Process for Making the Same
KR101215648B1 (en) * 2011-02-11 2012-12-26 에스케이하이닉스 주식회사 Semiconductor chip and method for manufacturing the same
KR101780423B1 (en) * 2011-03-18 2017-09-22 삼성전자주식회사 Semiconductor device and method of forming the same
US8853072B2 (en) 2011-06-06 2014-10-07 Micron Technology, Inc. Methods of forming through-substrate interconnects
US8519516B1 (en) 2012-03-12 2013-08-27 Micron Technology, Inc. Semiconductor constructions
CN103377954B (en) * 2012-04-28 2016-12-14 无锡华润上华科技有限公司 Gate pads and the forming method of source pad
JP5966618B2 (en) * 2012-05-28 2016-08-10 東京エレクトロン株式会社 Deposition method
JP5862459B2 (en) * 2012-05-28 2016-02-16 東京エレクトロン株式会社 Deposition method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010027011A1 (en) * 2000-01-21 2001-10-04 Seiko Epson Corporation Semiconductor device and manufacturing method therefor, circuit board, and electronic equipment
US6459150B1 (en) * 2000-08-17 2002-10-01 Industrial Technology Research Institute Electronic substrate having an aperture position through a substrate, conductive pads, and an insulating layer
US20030082356A1 (en) * 2001-09-20 2003-05-01 Fujikura Ltd. Metal filling method and member with filled metal sections
US20050064707A1 (en) * 2003-09-23 2005-03-24 Nishant Sinha Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6107109A (en) 1997-12-18 2000-08-22 Micron Technology, Inc. Method for fabricating a semiconductor interconnect with laser machined electrical paths through substrate
US6114240A (en) 1997-12-18 2000-09-05 Micron Technology, Inc. Method for fabricating semiconductor components using focused laser beam
JP3918350B2 (en) * 1999-03-05 2007-05-23 セイコーエプソン株式会社 Manufacturing method of semiconductor device
US7045461B2 (en) * 2000-01-07 2006-05-16 Nikkon Materials Co., Ltd. Metal plating method, pretreatment agent, and semiconductor wafer and semiconductor device obtained using these
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
CN1392510A (en) 2001-06-20 2003-01-22 力捷电脑股份有限公司 Vibration compensating device and method for image scanning
KR100407381B1 (en) * 2001-06-29 2003-12-01 주식회사 하이닉스반도체 Method for forming the capacitor of semiconductor device
US7242752B2 (en) 2001-07-03 2007-07-10 Apptera, Inc. Behavioral adaptation engine for discerning behavioral characteristics of callers interacting with an VXML-compliant voice application
KR100466309B1 (en) 2002-05-21 2005-01-13 삼성전자주식회사 method and apparatus for forming a metal layer in a semiconductor device
AU2002323388A1 (en) * 2001-08-24 2003-03-10 Mcnc Research & Development Institute Through-via vertical interconnects, through-via heat sinks and associated fabrication methods
US6661098B2 (en) * 2002-01-18 2003-12-09 International Business Machines Corporation High density area array solder microjoining interconnect structure and fabrication method
US6902872B2 (en) * 2002-07-29 2005-06-07 Hewlett-Packard Development Company, L.P. Method of forming a through-substrate interconnect
KR100466332B1 (en) 2002-12-14 2005-01-14 동부전자 주식회사 Method For Manufacturing Semiconductor Devices
GB2417132B (en) * 2003-01-23 2007-04-04 Advanced Micro Devices Inc Method of forming a metal layer over a patterned dielectric by electroless deposition using a catalyst
US6903013B2 (en) * 2003-05-16 2005-06-07 Chartered Semiconductor Manufacturing Ltd. Method to fill a trench and tunnel by using ALD seed layer and electroless plating
US7081586B2 (en) 2003-07-11 2006-07-25 Rehrig Richard B Power cable assembly for water and air-cooled welding torches
US7018917B2 (en) * 2003-11-20 2006-03-28 Asm International N.V. Multilayer metallization
US6943106B1 (en) * 2004-02-20 2005-09-13 Micron Technology, Inc. Methods of fabricating interconnects for semiconductor components including plating solder-wetting material and solder filling
US7199439B2 (en) * 2004-06-14 2007-04-03 Micron Technology, Inc. Microelectronic imagers and methods of packaging microelectronic imagers
US7294897B2 (en) * 2004-06-29 2007-11-13 Micron Technology, Inc. Packaged microelectronic imagers and methods of packaging microelectronic imagers

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010027011A1 (en) * 2000-01-21 2001-10-04 Seiko Epson Corporation Semiconductor device and manufacturing method therefor, circuit board, and electronic equipment
US6459150B1 (en) * 2000-08-17 2002-10-01 Industrial Technology Research Institute Electronic substrate having an aperture position through a substrate, conductive pads, and an insulating layer
US20030082356A1 (en) * 2001-09-20 2003-05-01 Fujikura Ltd. Metal filling method and member with filled metal sections
US20050064707A1 (en) * 2003-09-23 2005-03-24 Nishant Sinha Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias

Also Published As

Publication number Publication date
US20050186770A1 (en) 2005-08-25
US20050186790A1 (en) 2005-08-25
US7071098B2 (en) 2006-07-04
EP1719168A1 (en) 2006-11-08
JP4702562B2 (en) 2011-06-15
KR100821764B1 (en) 2008-04-14
SG166787A1 (en) 2010-12-29
DE602005025194D1 (en) 2011-01-20
US7410898B2 (en) 2008-08-12
US20050186777A1 (en) 2005-08-25
EP1719168B1 (en) 2010-12-08
SG131950A1 (en) 2007-05-28
ATE491227T1 (en) 2010-12-15
JP2007523498A (en) 2007-08-16
KR20060111708A (en) 2006-10-27
CN100536105C (en) 2009-09-02
US7189642B2 (en) 2007-03-13
CN1922726A (en) 2007-02-28
SG131951A1 (en) 2007-05-28
SG166786A1 (en) 2010-12-29
US20070141835A1 (en) 2007-06-21
US6943106B1 (en) 2005-09-13

Similar Documents

Publication Publication Date Title
US7410898B2 (en) Methods of fabricating interconnects for semiconductor components
US9379008B2 (en) Metal PVD-free conducting structures
US7541284B2 (en) Method of depositing Ru films having high density
JP2003508897A (en) Bottomless deposition method of barrier layer in integrated circuit metallization scheme
US20060170114A1 (en) Novel method for copper wafer wire bonding
KR19990017830A (en) Opening filling method by chemical vapor deposition
KR100519376B1 (en) Method for Forming Barrier Layer of Semiconductor Device
US8735292B2 (en) Semiconductor processing methods
KR100268804B1 (en) Metal wiring formation method of semiconductor device
JP5604788B2 (en) Semiconductor device and manufacturing method thereof
US20180366369A1 (en) Semiconductor structure having etch stop layer and method of forming the same
KR20050061728A (en) Forming method of thin film using pulsed chemical vapor deposition
JPH039522A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2006554336

Country of ref document: JP

Ref document number: 1020067016544

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 200580005360.X

Country of ref document: CN

NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Country of ref document: DE

WWE Wipo information: entry into national phase

Ref document number: 2005723820

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020067016544

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2005723820

Country of ref document: EP