WO2005089130A2 - A high repetition rate laser produced plasma euv light source - Google Patents

A high repetition rate laser produced plasma euv light source Download PDF

Info

Publication number
WO2005089130A2
WO2005089130A2 PCT/US2005/007056 US2005007056W WO2005089130A2 WO 2005089130 A2 WO2005089130 A2 WO 2005089130A2 US 2005007056 W US2005007056 W US 2005007056W WO 2005089130 A2 WO2005089130 A2 WO 2005089130A2
Authority
WO
WIPO (PCT)
Prior art keywords
target
ofthe
plasma
droplet
ignition site
Prior art date
Application number
PCT/US2005/007056
Other languages
French (fr)
Other versions
WO2005089130A3 (en
Inventor
Robert P. Akins
Richard L. Sandstrom
William N. Partlo
Igor V. Fomenkov
Thomas D. Steiger
Martin J. Algots
Norbert R. Bowering
Robert N. Jacques
Frederick A. Palenschat
Jun Song
Original Assignee
Cymer, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cymer, Inc. filed Critical Cymer, Inc.
Priority to EP05724572.2A priority Critical patent/EP1726028B1/en
Priority to JP2007503939A priority patent/JP5139055B2/en
Priority to KR1020067019050A priority patent/KR101118995B1/en
Publication of WO2005089130A2 publication Critical patent/WO2005089130A2/en
Publication of WO2005089130A3 publication Critical patent/WO2005089130A3/en

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J1/00Photometry, e.g. photographic exposure meter
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J35/00X-ray tubes
    • H01J35/02Details
    • H01J35/20Selection of substances for gas fillings; Means for obtaining or maintaining the desired pressure within the tube, e.g. by gettering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J65/00Lamps without any electrode inside the vessel; Lamps with at least one main electrode outside the vessel
    • H01J65/04Lamps in which a gas filling is excited to luminesce by an external electromagnetic field or by external corpuscular radiation, e.g. for indicating plasma display panels
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma

Definitions

  • the present relates to a system for the generation of EUV light using a laser produced plasma and discrete targets in the form of solid particles or droplets or solid particles imbedded in a droplet delivered to an irradiating site for irradiation by a pulsed laser beam.
  • RELATED APPLICATIONS The present application claims priority to U.S. Patent Application Serial No. 10/803,526 filed on March 17, 2004, entitled A HIGH REPETITION RATE LASER PRODUCED PLASMA EUV LIGHT SOURCE, which is related to a co-pending application Attorney Docket No.
  • LPP laser produced plasma
  • DPP discharge produced plasma
  • EUV light sources While some systems in use, e.g., in an integrated circuit fabrication facility require power in the range of a kilowatt, the likelihood is that there would be required many more scanners using EUV light sources per fab than, e.g., ion implanters or rapid thermal annealing systems, also requiring this type of projected input power. There is a clear need for improvements to proposals for EUV light source efficiencies.
  • One area of critical importance to the overall efficiency of such an EUV light source is the collector.
  • the EUV multi-layer mirrors exhibit high reflectivity to the UV region and many of the proposed EUV photoresists are sensitive to UV/DUV, it is critical that the source does not produce a large amount of radiation, e.g., in the 130-400nm range.
  • a 248nm drive laser as opposed to an infrared drive laser, even a small amount of scattered laser light may lead to high levels of UV radiation from the EUN source.
  • the currently contemplated full specification for out-of-band radiation for a production EUV source is listed below in the wavelength ranges of interest and the allowed ratio to the in-band, e.g., at 13.5nm energy. Range Allowed Percentage (relative to 13.5nm in-band) 10-40nm 100% 40-130nm 100% 130-400nm 1% 400-800nm 100% >800nm 0.05%
  • An EUV light source apparatus and method may comprise a pulsed laser providing laser pulses at a selected pulse repetition rate focused at a desired target ignition site; a target formation system providing discrete targets at a selected interval coordinated with the laser pulse repetition rate; a target steering system intermediate the target formation system and the desired target ignition site; and a target tracking system providing information about the movement of target between the target formation system and the target steering system, enabling the target steering system to direct the target to the desired target ignition site.
  • the target tracking system may provide information enabling the creation of a laser firing control signal, and may comprise a droplet detector comprising a collimated light source directed to intersect a point on a projected delivery path of the target, having a respective oppositely disposed light detector detecting the passage of the target through the respective point, or a detector comprising a linear array of a plurality of photo-sensitive elements aligned to a coordinate axis, the light from the light source intersecting a projected delivery path of the target, at least one ofthe which may comprise a plane-intercept detection device.
  • the droplet detectors may comprise a plurality of droplet detectors each operating at a different light frequency, or a camera having a field of view and a two dimensional array of pixels imaging the field of view.
  • the apparatus and method may comprise an electrostatic plasma containment apparatus providing an electric plasma confinement field at or near a target ignition site at the time of ignition, with the target tracking system providing a signal enabling control of the electrostatic plasma containment apparatus.
  • the apparatus and method may comprise a vessel having and intermediate wall with a low pressure trap allowing passage of EUV light and maintaining a differential pressure across the low pressure trap.
  • the apparatus and method may comprise a magnetic plasma confinement mechanism creating a magnetic field in the vicinity of the target ignition site to confine the plasma to the target ignition site, which may be pulsed and may be controlled using outputs from the target tracking system.
  • FIG. 1 shows a schematic view of an overall broad conception for a laser- produced plasma EUV light source according to an aspect ofthe present invention
  • FIG. 1A shows schematically the operation of the system controller according to an aspect of an embodiment ofthe present invention
  • FIG. 2A shows a side view of an embodiment of an EUV light collector according to an aspect of the present invention looking from an irradiation ignition point toward an embodiment of a collector according to an embodiment of the present invention
  • FIG. 2B shows a cross-sectional view ofthe embodiment of FIG. 2 A along the lines 2B in FIG. 2A;
  • FIG. 1 shows a schematic view of an overall broad conception for a laser- produced plasma EUV light source according to an aspect ofthe present invention
  • FIG. 1A shows schematically the operation of the system controller according to an aspect of an embodiment ofthe present invention
  • FIG. 2A shows a side view of an embodiment of an EUV light collector according to an aspect of the present invention looking from an irradiation ignition point toward an embodiment of a collector according to an embodiment of
  • FIG. 3 shows in schematic form a possible embodiment of a target delivery system according to an aspect of an embodiment ofthe present invention
  • FIG.'s 4A and B show schematically a possible embodiment of a target tracing system according to an aspect of an embodiment ofthe present invention, with FIG. 4A being a schematic side view of an aspect ofthe embodiment and FIG. 4B being a plan view of an aspect ofthe embodiment
  • FIG. 5 shows a schematic perspective view of aspects of an alternative embodiment of a target tracking system according to an aspect of an embodiment of the present invention
  • FIG. 6 shows a cross-sectional view according to an aspect of an embodiment ofthe present invention including cold fingers for debris collection;
  • FIG.'s 7A-C there is shown an apparatus and method for electrostatically confining a, plasma, e.g., a laser produced plasma according to an aspect of an embodiment ofthe present invention;
  • FIG.'s 8A-G there is shown schematically aspects of an embodiment ofthe present invention;
  • FIG. 9 there is shown a block diagram of an aspect of an embodiment ofthe present invention regarding feedback and control; and,
  • FIG. 10 shows aspects of an embodiment ofthe present invention.
  • FIG. 1 there is shown a schematic view of an overall broad conception for an EUV light source, e.g., a laser produced plasma EUV light source 20 according to an aspect of the present invention.
  • the light source 20 may contain a pulsed laser system 22, e.g., a gas discharge excimer or molecular fluorine laser operating at high power and high pulse repetition rate and may be a MOPA configured laser system, e.g., as shown in United States Patents Nos. Patents Nos. 6,625,191, 6,549,551, and 6,567,450.
  • the light source 20 may also include a target delivery system 24, e.g., delivering targets in the form of liquid droplets, solid particles or solid particles contained within liquid droplets.
  • the targets may be delivered by the target delivery system 24, e.g., into the interior of a chamber 26 to an irradiation site 28, otherwise known as an ignition site or the sight ofthe fire ball.
  • irradiation site 28 otherwise known as an ignition site or the sight ofthe fire ball.
  • the light source may also include a collector 30. e.g., a reflector, e.g., in the form of a truncated ellipse, with an aperture for the laser light to enter to the ignition site 28.
  • the collector 30 may be, e.g., an elliptical mirror that has a first focus at the ignition site 28 and a second focus at the so-called intermediate point 40 (also called the intermediate focus 40) where the EUV light is output from the light source and input to, e.g., an integrated circuit lithography tool (not shown).
  • the system 20 may also include a target position detection system 42.
  • the pulsed system 22 may include, e.g., a master oscillator-power amplifier ("MOPA") configured dual chambered gas discharge laser system having, e.g., an oscillator laser system 44 and an amplifier laser system 48, with, e.g., a magnetic reactor-switched pulse compression and timing circuit 50 for the oscillator laser system 44 and a magnetic reactor-switched pulse compression and timing circuit 52 for the amplifier laser system 48, along with a pulse power timing monitoring system 54 for the oscillator laser system 44 and a pulse power timing monitoring system 56 for the amplifier laser system 48.
  • MOPA master oscillator-power amplifier
  • the system 20 may also include an EUV light source controller system 60, which may also include, e.g., a target position detection feedback system 62 and a firing control system 65, along with, e.g., a laser beam positioning system 66.
  • the target position detection system may include a plurality of droplet imagers 70, 72 and 74 that provide input relative to the position of a target droplet, e.g., relative to the ignition site and provide these inputs to the target position detection feedback system, which can, e.g., compute a target position and trajectory, from which a target error can be computed, if not on a droplet by droplet basis then on average, which is then provide as an input to the system controller 60, which can, e.g., provide a laser position and direction correction signal, e.g., to the laser beam positioning system 66 that the laser beam positioning system can use, e.g., to control the position and direction of he laser position and direction changer 68, e.g., to change
  • the imager 72 may, e.g., be aimed along an imaging line 75, e.g., aligned with a desired trajectory path of a target droplet 94 from the target delivery mechanism 92 to the desired ignition site 28 and the imagers 74 and 76 may, e.g., be aimed along intersecting imaging lines 76 and 78 that intersect, e.g., alone the desired trajectory path at some point 80 along the path before the desired ignition site 28.
  • the target delivery control system 90 in response to a signal from the system controller 60 may, e.g., modify the release point of the target droplets 94 as released by the target delivery mechanism 92 to correct for errors in the target droplets arriving at the desired ignition site 28.
  • An EUV light source detector 100 at or near the intermediate focus 40 may also provide feedback to the system controller 60 that can be, e.g., indicative of the errors in such things as the timing and focus of the laser pulses to properly intercept the target droplets in the right place and time for effective and efficient LPP EUV light production.
  • FIG. 1A there is shown schematically further details of a controller system 60 and the associated monitoring and control systems, 62, 64 and 66 as shown in FIG. 1.
  • the controller may receive, e.g., a plurality of position signal 134, 136 a trajectory signal 136 from the target position detection feedback system, e.g., correlated to a system clock signal provided by a system clock 116 to the system components over a clock bus 115.
  • the controller 60 may have a pre- arrival tracking and timing system 110 which can, e.g., compute the actual position ofthe target at some point in system time and a target trajectory computation system 112, which can, e.g., compute the actual trajectory of a target drop at some system time, and an irradiation site temporal and spatial error computation system 114, that can, e.g., compute a temporal and a spatial error signal compared to some desired point in space and time for ignition to occur.
  • the controller 60 may then, e.g., provide the temporal error signal 140 to the firing control system 64 and the spatial error signal 138 to the laser beam positioning system 66.
  • the firing control system may compute and provide to a resonance charger portion 118 of the oscillator laser 44 magnetic reactor-switched pulse compression and timing circuit 50 a resonant charger initiation signal 122 and may provide, e.g., to a resonance charger portion 120 of the PA magnetic reactor- switched pulse compression and timing circuit 52 a resonant charger initiation signal, which may both be the same signal, and may provide to a compression circuit portion 126 of the oscillator laser 44 magnetic reactor-switched pulse compression and timing circuit 50 a trigger signal 130 and to a compression circuit portion 128 of the amplifier laser system 48 magnetic reactor-switched pulse compression and timing circuit 52 a trigger signal 132, which may not be the same signal and may be computed in part from the temporal error signal 140 and from inputs from the light out detection apparatus 54 and 56, respectively for the oscillator laser system and the amplifier laser system.
  • the spatial error signal may be provided to the laser beam position and direction control system 66, which may provide, e.g., a firing point signal and a line of sight signal to the laser bean positioner which may, e.g. position the laser to change the focus point for the ignition site 28 by changing either or both of the position of the output of the laser system amplifier laser 48 at time of fire and the aiming direction ofthe laser output beam.
  • FIG.'s 2 A and 2B there is shown, respectively a schematic view side view of a collector 30 looking into the collector mirror 150, and a cross- sectional view of the rotationally symmetric collector mirror 150 arrangement along cross-sectional lines 2B in FIG. 2A (although the cross-sectional view would be the same along any radial axis in FIG.
  • the elliptical collection mirror 150 is circular in cross section looking at the mirror, which may be the cross-section at the greatest extension ofthe mirror, which is shown in FIHG. 1 A to be almost to the focus point 28 ofthe elliptical mirror 150, so as not to block target droplets 94 from reaching the ignition point designed to be at the focus point 28. It will be understood, however, that the mirror may extend further towards the intermediate focus, with a suitable hole in the mirror (not shown) to allow passage of the target droplets to the focus point.
  • the elliptical mirror may also have an aperture 152, e.g., shown to be circular in FIG.
  • the aperture 152 can also be, e.g., more tailored to the beam profile, e.g., generally rectangular, within the requirements, if any of modifying the beam optical path to make corrections of the focus of the laser beam 154 on an ignition site, depending upon the type of control system employed.
  • a debris shield 180 is also shown in FIG.'s 2A and 2B according to an aspect of an embodiment of the present invention.
  • the debris shield 180 may be made up of a plurality of thin plates 182, made, e.g., of thin foils of ,e.g., molybdenum, extending radially outward from the desired ignition site and defining narrow planar radially extending channels 184 through the debris shield 180.
  • the illustration of FIG. 2A is very schematic and not to scale and in reality the channels are as thin as can possibly be made.
  • the foil plates 182 can be made to be even thinner than the channels 184, to block as little of the x-ray light emitted from the plasma formed by ignition of a target droplet 94 by the laser beam 155 focused on the ignition site 28. Seen in cross section in FIG.
  • FIG. 2B the functioning of the channels 182 in the debris shield 180 can be seen.
  • a single radial channel is seen in FIG. 2B and the same would be seen in any section of the collector 30 through the rotationally symmetric axis of rotation of the collector mirror 150 and debris shield 180 within a channel ofthe debris shield 180.
  • Each ray 190 of EUV light (and other light energy) emitted from the ignition site 28 traveling radially outward from the ignition site 28 will pass through a respective channel 182 in the debris shield 180, which as shown in FIG. 2B may, if desired, extend all the way to the collection mirror 150 reflective surface.
  • FIG. 3 there is shown a possible embodiment of a target formation/delivery system 24 according to an aspect of an embodiment of the present invention.
  • the target deliver system 24 may comprise, e.g., a target formation/delivery apparatus 200, which may have, e.g., a body 202 and a cap 204, with the body 202 and the cap 204, e.g., defining an interior cavity 206 which may contain target material, e.g., lithium, e.g., in a relatively pure state and, e.g., in a liquid form or even a solid form, e.g., relatively uniform radius pellets of, e.g., about 20 ⁇ m in diameter.
  • target material e.g., lithium, e.g., in a relatively pure state and, e.g., in a liquid form or even a solid form, e.g., relatively uniform radius pellets of, e.g., about 20 ⁇ m in diameter.
  • target material e.g., lithium, e.g., in a relatively pure state and, e.g., in a liquid form or even a solid
  • the source is lithium in liquid form which may be fed to the cavity 206, e.g., in liquid or solid form through a source input (not shown) and may, e.g., be kept under pressure of, e.g., 10-20 psi, for, e.g., for liquid tin as a target, and likely much less for lithium, based on the difference in mass and viscosity between tin and lithium, through a source 212 for, e.g., pressurizing gas, which may be, e.g., argon.
  • pressurizing gas which may be, e.g., argon.
  • the target formation/delivery apparatus 200 may also have heaters, e.g., cartridge heaters 210, e.g., annularly surrounding the body 202 and serving to, e.g., heat the body to, e.g., maintain the liquid target material, e.g., liquid lithium in liquid form, e.g., by maintaining the material in the cavity at or above, e.g., 500°C for lithium.
  • heaters e.g., cartridge heaters 210, e.g., annularly surrounding the body 202 and serving to, e.g., heat the body to, e.g., maintain the liquid target material, e.g., liquid lithium in liquid form, e.g., by maintaining the material in the cavity at or above, e.g., 500°C for lithium.
  • the cavity 206 at, e.g., its lower end may open into a nozzle 220, which may have a narrowing portion 222, wliich may serve, e.g., in the alternative embodiment of a solid target pellet source to narrow down to essentially the size of one target pellet before a nozzle opening 226 at the terminal end of the nozzle 220, and in the case of the embodiment using liquid target material, narrowing down to a size that essentially defines a stream 220 of about, e.g., 20 ⁇ m in diameter, which can serve, e.g., to separate into target droplets 94.
  • Target droplets 94 may be formed, e.g., through the use of a perturber 226, which may, e.g., under the influence of a signal from a target delivery system controller 90, e.g., a periodic signal, e.g., a sign wave as indicated schematically in FIG. 3, squeeze the nozzle to add perturbing discontinuities into the liquid stream 224, which can, e.g., select the size and distribution of the target drops 94 that actually form eventually from the stream 224.
  • the target delivery controller may, in turn be controlled from the overall system controller 60.
  • the overall system controller 60 may also control a target delivery system position controller 240, e.g., based on information supplied to the overall system controller 60 regarding position error of a previously delivered target droplet or droplets in regard to, e.g., a desired ignition site.
  • the position controller 240 may translate the target formation/delivery apparatus, e.g., in a plane orthogonal to the axis of the output stream 224 to, e.g., adjust the location of the nozzle output 226 in that plane. This may be done by servo motors or piezoelectric actuators or a combination of both, e.g., for a slow aiming control loop and a faster speed aiming control loop or, e.g., course and fine aiming control.
  • a tilting mechanism (not shown) e.g., incorporated in the target formation system 92 position controller 240, to tilt the nozzle equally and oppositely away from the droplet formation axis tilt error, based, e.g., on feedback of target position error signals measuring the effect of this droplet formation axis tilt error to remove the error in target arrival position, e.g., vis-a-vis the target ignition site.
  • This may be done, e.g., with piezoelectric elements, which may only need to induce a tilt of, e.g., 5-10 steradians in the nozzle to counteract the droplet formation axis error at the nozzle output for a correct flight path to the target ignition site.
  • the overall system controller 60 may also provide a signal (not shown) to the target delivery system 92 to control the pressure of the, e.g., argon pressurizing gas which may, e.g., serve to adjust the size of the ultimate droplets 94, the delivery rate of the droplets 94, the spacing of the droplets 94, or some other operating parameter of the formation/delivery of the droplets 94 to the desired ignition site 28 or to a target tracking and steering system 350, discussed in more detail below, for ultimate delivery to the ignition site 28.
  • a signal (not shown) to the target delivery system 92 to control the pressure of the, e.g., argon pressurizing gas which may, e.g., serve to adjust the size of the ultimate droplets 94, the delivery rate of the droplets 94, the spacing of the droplets 94, or some other operating parameter of the formation/delivery of the droplets 94 to the desired ignition site 28 or to a target tracking and steering system 350, discussed in more detail below, for ultimate delivery to the
  • the target tracking system 42 may comprise, e.g., a helium- neon laser (HeNe) laser250, selected, e.g., for its relatively inexpensive nature.
  • the HeNe laser may produce a beam 256 of light at a wavelength/frequency of, e.g., 632- 38 nm, and may be delivered to an optic 252 that is, e.g., also impinged by the laser light source 22 beam 154 and may be, e.g., essentially fully transmissive of the beam 154 and may, e.g., reflect part of the beam 256, e.g., through the same focusing optics 156 as for the beam 154, i.e., focused to the desired ignition spot 28.
  • an optic 252 that is, e.g., also impinged by the laser light source 22 beam 154 and may be, e.g., essentially fully transmissive of the beam 154 and may, e.g., reflect part of the beam 256
  • the target tracking system 42 may also include, e.g., another focusing optic 260 that may, e.g., focus the light passing through the focus point at the ignition site 28 onto, e.g., a detector 262.
  • the detector 262 may be, e.g., a photodiode or an array of photodiodes, e.g., a linear array of photodiodes, selected to be sensitive to light in the band of the HeNe laser and not in the band ofthe laser 22.
  • the detector 262 may, e.g., provide an output signal, a high or a low, each time, e.g., the light from the HeNe laser 250, to which it is selectively sensitive, is cut off, e.g., to one or more photo-diodes of the detector, e.g., by the passage of a droplet 94 into the path ofthe light from the HeNe laser, e.g., at or near the ignition point 28.
  • the detector may comprise, e.g., a linear array of photo-diodes sensitive to the wavelength of the HeNe laser and provide to the controller 60 or to some feedback system, e.g., position feedback system 62, a signal or signals that can be analyzed to determine some displacement in the array, e.g., in the direction toward or away from the lateral array or across the array, e.g., in the lateral axis ofthe array, indicating, e.g., the passage of a target droplet through or on either side ofthe true ignition site 28 in, e.g., some plane, e.g., a horizontal plane (so oriented as shown in FIG.
  • some plane e.g., a horizontal plane (so oriented as shown in FIG.
  • the detector 262 includes another linear array of photo-diodes, e.g., oriented vertically (as shown in the figure) the some distribution of intensity signals from the array may be used, e.g., to determine a lateral displacement ofthe droplet from the ignition site, e.g., as shown illustratively at positions 94 a and 94 b in FIG. 4A.
  • displacement of the droplet may simply give a false indication that the droplet 94 is on target, e.g., if enough of the HeNe light is blocked from the detector, even by an erroneously located droplet, e.g., as shown schematically and not to scale by positions 94a and 94b.
  • FIG. 4B there is shown another possible arrangement according to aspects of an embodiment of the present invention that may serve to alleviate this possible error in the tracking system 42 operation, by, e.g., requiring a plurality of such intersected signals, e.g., two or three to indicate the droplet 94 has intersected the ignition site 28.
  • the embodiment of FIG. 4B may again incorporate the beam 256 as shown in FIG. 4 A passing through the irradiating laser beam 154 focusing optic 156, as explained in regard to FIG. 4A.
  • One advantage of passing through this optic 156 is that the HeNe beam 256 is always focused to the desired ignition spot 28, assuming there is feedback, as discussed in more detail below that uses, e.g., the focusing optic 156, by either moving the optic 156 or, if possible and convenient, moving the laser 22, or using beam pointing eq ⁇ ipment as discussed in more detail below, all to, e.g., focus to an ignition site 28, e.g., according to where the droplets 94 are being delivered by the target deliver system 92 as discussed above and/or target tracking and steering system 350 as discussed below.
  • 4B may also comprise, e.g., at least one additional target tracking laser system, e.g., delivering a laser bean, e.g., from a HeNe laser, e.g., 256a and 256b to another focusing optic, e.g., 260 a and 260b, respectively focused on another detector, e.g., 262 a and 262 b, respectively.
  • a laser bean e.g., from a HeNe laser, e.g., 256a and 256b
  • another focusing optic e.g., 260 a and 260b
  • another detector e.g., 262 a and 262 b
  • the respective detectors 262, 262a and 262b may have, e.g., a linear array or orthogonal linear arrays of photo-detectors that may provide intensity data in the photodiodes of such array(s) that can be used to determine position errors, horizontally or vertically or both of the droplet 94 in relation to the desired ignition site.
  • This may even enable the intensity data to be used to detect position error of the droplet from an ignition site 28' (not shown) different from some fixed desired ignition site, e.g., if the laser 22 is focused to the new site 28' due to target delivery system error in delivering the target droplets to the fixed desired ignition site, i.e., perfectly on the focus of the collector.
  • one ofthe HeNe laser beans 256, 256a or 256b may be oriented to be above the plane of the paper as illustrated in FIG. 4B such that it may detect the passage of a target droplet through a location (not shown) prior to reaching the ignition site 28. This may be used, e.g., by the feedback controller 62 and/or the main controller 60, to compute, e.g., a time of flight from the position in the droplet path above the ignition site 28 to the ignition site 28, as detected, e.g., by the other two ofthe three detectors 262, 262a and 262b.
  • the above referenced tracking system may not be responsive enough or provide enough data or data that can be processed quickly enough for purposes of accomplishing some or all of the desired functionalities of the target tracking system 42 according to aspects of embodiments ofthe present invention, at least on a droplet by droplet basis.
  • One of the imaging devices and detector 256, 256a and 256b and 262, 262a and 262b may be formed with, e.g., an elongated cylindrical lens to form, e.g., a planar detection plane above the plane of the ignition site, e.g., as viewed in FIG.
  • FIG. 5 may be used to supplement or replace some or all aspects of the target tracking system described in relation to FIG.'s 4A and B.
  • the laser beams 256, 256a and 256b may be generated by different lasers than a HeNe, or, e.g., they may be, e.g., frequency doubled and added to obtain, e.g., harmonics in order to be able at the detectors 262, 262a and 262b to discriminate between the detected image light, e.g., by using photo-diodes sensitive only to the specific frequency to, e.g., eliminate cross-illumination of the detectors 262, 262a and 262b.
  • FIG. 5 there is shown schematically a possible high resolution target tracking system 42.
  • FIG. 5 there is shown schematically a possible high resolution target tracking system 42.
  • FIG. 5 illustrates schematically the intersection in the vicinity of the ignition site the fields of view 270a, 272a and 274a of, e.g., three imaging cameras, e.g., 70, 72 and 74 shown illustratively in FIG. 1, except for the modification that in FIG. 5 all of the camera fields of view intersect each other and may, e.g., all intersect at the ignition site 28. As in the example shown in FIG. 5, each of the fields of view may be mutually orthogonal to the others.
  • FIG. 5 illustrates schematically the intersection in the vicinity of the ignition site the fields of view 270a, 272a and 274a of, e.g., three imaging cameras, e.g., 70, 72 and 74 shown illustratively in FIG. 1, except for the modification that in FIG. 5 all of the camera fields of view intersect each other and may, e.g., all intersect at the ignition site 28. As in the example shown in FIG. 5, each of the fields of view may be mutually orthogonal to the
  • FIG. 5 also illustrates one of the fields of view, e.g., 270 extending back to, e.g., a square array of detector pixels 270, e.g., in an imaging camera 72, which may, e.g., be a digital camera, e.g., employing a square array 270 of pixels, e.g., each formed by charge coupled devices or CMOS imaging integrated circuits or a single chip CCD or CMOS imager or the like as are well known in the digital camera art.
  • the imaging cameras 70, 72 and 74 may, e.g., be supplemented with a plane crossing detector as discussed above in regard to FIG.'s 4A and B or in regard to FIG.
  • the sensitivity ofthe cameras e.g., 70, 72 and 74 could be such that only one pixel at a time is illuminated by the image of the target droplet and/or that the fields of view 270a, 272a and 274a could be very high resolution (low pixel pitch) in order to see the target droplet, and also relatively small in field of view, thus, e.g., decreasing the ability to significantly track the flight of the target droplet, e.g., in the vicinity ofthe ignition site 28, making the use of detection of the target droplet above the ignition site more important to the overall functioning ofthe target tracking system 42.
  • the output ofthe target tracking system 42 is desired to be information about the target droplet 94, especially at or near the ignition site 28, from which, e.g., the target tracking feedback control system 62 can provide information to the main controller 60 that indicates, e.g., a target droplet position and trajectory at some time prior to reaching the ignition site 28, and e.g., a predicted time of arrival of the detected target droplet 94 at the ignition site 28 and the location at that arrival time in relation to the currently selected aim point for the laser beam 154, so that, e.g., the currently selected aim point may be moved to the predicted point.
  • Also needed may be, e.g., the actual observation of the target droplet arrival at the ignition site and, e.g., the interaction of the laser beam 154 and the particular target droplet 98 at the ignition site 28, and perhaps, also, imaging of any debris departing the ignition site 28.
  • All of the above may then be used by the system, e.g., to generate feedback to, e.g., the main controller 60, such that the main controller 60 may serve to generate control signals to, e.g., modify the target droplet delivery by the target formation/delivery system 24 and/or the positioning of the aim point of the laser beam 154, e.g., by controlling the focusing optics 156, and also, e.g., the timing of the firing of the laser beam 154 at the aim point 28, e.g., by triggering the initial charging of the pulse power system resonant chargers in the magnetic reactor- switched pulse compression and timing circuits 50, 52, for, e.g., the MO and PA laser chambers, and the triggering of the respective firing of the MO and PA chambers, e.g., to deliver the pulse of laser light in beam 154 at the ignition site 28 timed to the arrival also ofthe target droplet 94.
  • the main controller 60 may serve to generate control signals to, e.g., modify
  • the target droplet 94 and the pulse of laser light 156 must arrive at the particularly designated ignition site 28 for that droplet 94 and that beam 156, with a combined position error of less than about lO ⁇ m, so that the focused pulse 156 of laser light irradiates the entire target droplet 94 without any of the droplet being outside of a spatial distribution of the energy in the pulse 156 that is below some selected level of intensity, in order to avoid, e.g., chunks of metallic debris that will, e.g., pit or coat and optically degrade and/or damage, e.g., reflective surfaces in the EUV light source system 20.
  • the system 20 may, e.g., need to provide a 50 microsecond lead time for triggering the proper firing of the laser 22, particularly if it is a MOPA configuration, e.g., a KrF MOPA, with an accuracy of about 1 microsecond, once every 250 microseconds, e.g., at a 4KHz repetition rate and once every 100 microseconds for a 10 kHz pulse repetition rate.
  • the droplets 94 will be arriving, e.g., a speed of about 20 meters per second and separated by about 1mm at a 6Khz pulse repetition rate.
  • the detections system 42 and the feedback controllers, e.g., 60, 62 may have to rely, e.g., on timing and position control and the like, e.g., based upon averaging, e.g., droplet positioning and timing information over a series of successive droplets, e.g., the last x number of droplets, and make assumptions about the succeeding droplets continuing to be within, e.g., some relatively slowly varying deviation from the averaged positions so determined.
  • the system may still require, e.g., position/timing detection of a given droplet above the ignition site, e.g., for firing control ofthe laser system 22.
  • cold fingers 280 may be comprised of, e.g., magnesium coated copper plates, that may be curved, as shown and may be separated by larger distances more toward the intermediate focus 40 can be water cooled, e.g., with a heat exchanger system (not shown) and also, e.g., with micro- channels inside of the cold fingers 280 (also not shown), e.g., as is done by fusion bonding two pieces together to form each cold finger 280, e.g., as illustrated in co- pending United States Patent Application Ser.
  • the EUV light sources serve to plate out source atoms that were formed in the plasma or carried with the plasma as it expands from the buffer gas, e.g., argon in the vessel 26, so that these atoms to not plate out on optical surfaces in the EUV light source.
  • the buffer gas e.g., argon in the vessel 26
  • FIG. 6 Also shown in FIG. 6 is a possible interface to the exterior of the EUV light source, e.g., outside of the intermediate focus, which may be maintained in a vacuum to limit absorption of EUV light.
  • the vacuum in the other portion of the chamber where the EUV light is produced may, for various reasons, need to be maintained at a higher vacuum.
  • the interface may comprise, e.g., an intervening wall 282 and a pressure shield, i.e., a differential pumping trap 290, which may be designed, e.g., to permit the transmittance of the EUV beam to the intermediate focus while maintaining a pressure drop from the portion ofthe vessel 26 on the one side of the intervening wall 282 that is under pressure, to the other side being maintained at or near a the vacuum by of the enclosure beyond the intermediate focus, e.g., a vacuum pump 300.
  • the differential pressure trap may be constructed similarly to a form of debris shield disclosed in co-pending United States Patent Application Ser. No.
  • the differential pumping trap 290 may also be constructed, e.g., by using a section of a sphere of material, e.g., ceramic material and, e.g., focusing a laser through a lens and a meshed screen to drill, e.g., focused passageways through the portion of the sphere to allow the EUV light through, while sustaining the pressure drop, also as disclosed in the above referenced United States Patent Application Ser. No. 10/742,233.
  • FIG. 6 there is shown in more detail aspects of a feedback and control system according to an embodiment ofthe present invention.
  • the required electrical input power to the laser for generating the laser to create the plasma can be reduced by 25%> due to increasing the geometric collection area from 5 steradians to 2 ⁇ steradians.
  • the resulting electrical power is 227,272W, which compares well to an alternative approach using discharge produced plasma ("DPP").
  • Buffer gas transmission 0.90 .90 0.90 Power reflected from collector 111W 32W 67W
  • the effective reflectivity is the product ofthe two mirrors.
  • the second spherical mirror since all rays are reflected at normal incidence, was a higher assumed average reflectivity of 60%.
  • This column assumes that the emission in the "backward" direction is equal to that in the direction toward the incident laser beam. Summing the first and second columns one gets 129W of EUV at the IF for 9,017W of laser power, which means, e.g., that one only needs 6,989W of laser power. Doing the same for columns 1 and 3, leads to a conclusion of a requirement for only 5,636W of laser power to reach 100 W at the IF. Its still a lot of laser power, but not in the 20,000-40,000W range, e.g., as described in the results from, e.g., TRW. There is presented a possible economic trade-off between the second spherical mirror and otherwise increased laser power.
  • UV-Vis range contains 22%) as much energy as the in-band 13.5nm radiation.
  • UV-Vis range a strong red line at 670 from neutral lithium, along with other light in the 120nm-9000nm range.
  • the 10-40nm range can be dealt with by the narrow-band reflectivity of the MLM, unlike, e.g., DPP systems, with a grazing incidence collector, where, e.g., all EUV radiation is re-imaged to the intermediate focus and thus this range may be a problem in regard to out of band radiation, without, e.g., a spectral filter, which can, e.g., decrease CE further than operating a system without one, especially for tin and xenon plasma source emission element materials. This may not be true, however for lithium.
  • the MLM primary collector in the LPP system also exhibits low reflectivity in this region, but the grazing incidence collector in a DPP could have relativity high reflectivity in the region 40-130nm.
  • the MLM primary collector is just as reflective as for in-band 13.5nm radiation, and thus, e.g., the source must emit 100 times less energy in this wavelength range as in-band energy. This restriction is primarily due to the fact that most EUV photoresists are sensitive to this wavelength range as well as 13.5nm.
  • the MLM's in the exposure tool reflect the 400-800nm range just as well as in-band 13.5nm, the photoresist is not sensitive and thus only mirror heating is an issue. Thus, the system can tolerate an equal amount in this range as in-band at 13.5nm. Since MLM's are highly reflective for wavelengths, above 800nm, but the photoresists are not sensitive to these wavelengths, it would appear that the range above 800nm would have the same restrictions as the 400-800nm range.
  • FIG.'s 7A-C there is shown an apparatus and method for electrostatically confining a, plasma, e.g., a laser produced plasma according to an aspect of an embodiment of the present invention. As shown in FIG.
  • a thin needle 310 may be provided extending into the vicinity of the ignition site 28.
  • the needle 310 is shown in FIG.'s 7A-C to extend from a direction opposite to that of the incoming pulse of laser light 154 passing through the laser beam 154 focusing optic 156, but those skilled in the art will appreciate that this particular orientation is exemplary only and the needle can extend to the illustrated proximity to the ignition site from other orientations as well.
  • the needle 310 may, e.g., be provided with a source of high voltage, e.g., negative high voltage, 312 and be controlled, e.g., by the overall system controller 60 or, e.g., as part of the laser triggering control, to coordinate the provision of a high negative voltage pulse to the arrival of a target droplet 94 and the laser pulse 154 to the ignition site 28, such that at or just after ignition of the target droplet at the ignition site by irradiation from the laser beam 154, an electrostatic field 314 is formed to confine or assist in confining the plasma 316 produced by the irradiation of the target droplet 94.
  • a source of high voltage e.g., negative high voltage
  • the voltage may be, about, e.g., 1000, which should be sufficient for the creation of an electric field capable of keeping ions of an energy of up to about IkeV, which is in the range of the plasma ions.
  • the positive charges in the plasma due to ionization of the target material may be attracted to the needle to a large enough extent to keep the electrostatic field 314 from ever forming or relatively quickly smothering the electrostatic field 314.
  • a relatively large capacitor e.g., a bank of capacitors, e.g., in parallel to combine the capacitance to, e.g., e.g., 100 ⁇ F or even larger as is possible, so as to relatively quickly dump into the needle 310 enough negative charge to prevent the positively charge ions forming in the plasma from preventing the electrostatic field from performing the intended confinement ofthe plasma at and after ignition.
  • a relatively large capacitor e.g., a bank of capacitors, e.g., in parallel to combine the capacitance to, e.g., e.g., 100 ⁇ F or even larger as is possible, so as to relatively quickly dump into the needle 310 enough negative charge to prevent the positively charge ions forming in the plasma from preventing the electrostatic field from performing the intended confinement ofthe plasma at and after ignition.
  • FIG. 8A shows schematically a magnetic apparatus and method to confine the plasma in the vicinity of the ignition site 28 after ignition.
  • Fig. 8A shows the magnetic field 320 set up by, e.g., a pair of bar magnets, 326, 328.
  • FIG. 8B shows magnetic field lines 320 schematically illustrating the magnetic field of a ring magnet 322, which serve to confine a plasma formed at the ignition site 28 when a target is irradiated by a laser bean, e.g., 154 shown in FIG. 8C.
  • FIG. 8A shows schematically a magnetic apparatus and method to confine the plasma in the vicinity of the ignition site 28 after ignition.
  • Fig. 8A shows the magnetic field 320 set up by, e.g., a pair of bar magnets, 326, 328.
  • FIG. 8B shows magnetic field lines 320 schematically illustrating the magnetic field of a ring magnet 322, which serve to confine a plasma formed at the ignition site 28 when a target is irradiated by
  • FIG. 8B also shows a the use of cooling for the permanent magnet, e.g., a neodymium iron boron magnet or a samarium cobalt magnet, both manufactured, e.g., by Dexter Corporation, under the name of Permag type NdFeB40, and Permag type SmCo22, e.g., a in the form of a ring magnet 322, e.g., using cooling coils 324, e.g., containing flowing cooling fluid, e.g., water.
  • FIG. 8C shows schematically the field 320 set up by a quadrapole arrangement 329. Turning now to FIG.'s 8A-G there is shown schematically aspects of an embodiment of the present invention.
  • the magnetic field 320 may also be set up by pulsed current, e.g., as shown in the embodiments of FIG.'s 8D-G.
  • FIG. 8D there is shown a schematic view ofthe electrical equivalent ofthe ring magnet of FIG. 8B, e.g., with a magnetic field 320 set up by pulsed current flowing, e.g., through coils of wire indicated by current flowing into the plane ofthe paper at 330 and out of the plane of the paper at 331.
  • FIG. 8E shows an embodiment where a generally bottle shaped magnetic field is set up by distributing the coils along the length of the magnetic field generator so that there are more windings at either end.
  • FIG. 8D there is shown a schematic view ofthe electrical equivalent ofthe ring magnet of FIG. 8B, e.g., with a magnetic field 320 set up by pulsed current flowing, e.g., through coils of wire indicated by current flowing into the plane ofthe paper at 330 and out of the plane of the paper at 331.
  • this same shaped field 320 can be established, e.g., by alternating the direction of current flow in the coils from one end to the other, i.e., having current flow propagate in one direction through the coil at one end and in the other at the other end, and for a similar purpose a generally spherically shaped coil arrangement can be used, e.g., as shown schematically in FIG. 8G.
  • the plasma may be at least partially confined, e.g., because plasma expansion can be slowed down, at least in some directions, depending on the magnetic field shape and strength in the vicinity ofthe respective part ofthe plasma.
  • This assist in confinement can have several benefits, especially for a moving target ofthe laser irradiation. For example, the radiating ions will then tend to undergo more radiation cycles and, therefore, emit more radiation. More laser energy can then be converted to radiation rather than, e.g., ion expansion energy resulting in a higher CE of incident laser energy into EUV light.
  • the magnetic field and the mechanism 318 used to create it can be conveniently arranged to encompass within an appropriate part of the field the ignition site and to allow the target, e.g., a droplet and the irradiation laser beam access to the ignition site.
  • the laser plasma region formed when the laser beam irradiates and ignites the target droplet being in the magnetic field according to an embodiment ofthe present invention. While typically the field may be about 1 Tesla a range of between about 0.2 and 10 Tesla is contemplated by applicants.
  • the field may be generated using the above noted permanent magnets or in the above described pulsed fashion using, e.g., a high (kilo-ampere) pulsed current through a conducting coil as discussed above.
  • Such a pulse generated magnetic field may be generated, e.g., on a microsecond scale of time and be made to remain essentially constant throughout the time of the irradiation of the target droplet by the incoming laser pulse, e.g., on the order of e.g., several tens of ns.
  • the plasma expansion across magnetic field lines is slowed and motion along the field lines is not substantially slowed, the net effect perhaps inducing plasma instabilities which are outweighed, e.g., by increases in CE.
  • Higher magnetic pressure e.g., increases the collision frequency in the plasma, which can cause, e.g., a smaller volume hotter plasma than without the maignetic field.
  • One possible embodiment is to use a transverse magnetic, field, e.g., as shown in FIG. 8 A.
  • Another is to used a strong ring magnet or magnetic coil around and near the ignition site which can generate, e.g., magnetic field lines along the target droplet propagation path and, e.g., lead to axial confinement in the vicinity of the ignition site 28.
  • a preferred embodiment is a configuration, e.g., as shown in FIG.'s 8C, E, F and G, e.g., in which is formed a magnetic trap, e.g., where target ions with traverse (to the target droplet path, illustrated, e.g., in FIG. 8C) are confined.
  • the magnetic field creation mechanism e.g., the poles of a permanent magnet, e.g., 326, 328 may have to be relatively close to the LPP, with, e.g., about a 10mm gap between poles. It may be, e.g., difficult, if not impossible, to create a high enough magnetic field strength, e.g., through long distances between the poles.
  • This need for a close approach component can, e.g., detract from one of the greatest advantages of the LPP, an absence of electrode erosion, or in this case, e.g., permanent magnet erosion.
  • Applicants have examined, e.g., the nozzle distance for assured positional stability which, appears to be on the order of about 50mm.
  • applicants propose to, e.g., accept erosion but to cause the erosion to be of an acceptable material, e.g., by coating all close-approach elements with, e.g., molybdenum or ruthenium. In this manner, e.g., the eroded material from these components, which might fall on the collector mirror, will not rapidly degrade the mirror reflectivity. Also, e.g., these two materials are expected to have high resistance to sputter by lithium ions.
  • FIG. 9 there is shown a block diagram of an aspect of an embodiment ofthe present invention regarding feedback and control, providing, e.g., six degrees of feedback and control, i.e., three axis control for steering the target droplets and three axis control for steering the laser.
  • the laser beam may be steered, e.g., utilizing beam pointing and positioning controls, e.g., those used in laser bean delivery units, e.g., as described in co-pending applications Ser. No. 10/739,961, GAS DISCHARGE LASER LIGHT SOURCE BEAM DELIVERY UNIT, filed on December 17, 2003, Attorney Docket No. 2003- 0082-01, Ser. No.
  • FIG. 9 is an illustration schematically and in block diagram format various control loops employed according to aspects of an embodiment of the present invention.
  • actuators that can be utilized in an EUV light source according to aspects of an embodiment ofthe present invention that can, e.g., be used in a control system configuration.
  • the droplets will be arriving at one every 100 microseconds, and traveling at about 10-30 m/s, so that the laser beam will have to be time to irradiate a desired target ignition point at the same rate.
  • the laser beam may be focused to be slightly larger than the target droplet, which droplet may be about 10-50 ⁇ m in diameter, with some degree of aiming tolerance, e.g., ⁇ lO ⁇ m, however the higher the degree of error tolerance embodied in the beam focus size, the lower the power irradiating the droplet target, which decreases in a square function.
  • One set of actuators may include, e.g., x and y axis magnetic fields, which may be generated, e.g., by sets of electrodes or coils (not shown), e.g., contained in a target steering and acceleration mechanism 360 used to create magnetic fields which can, e.g., steer a target, e.g., a lithium droplet 94 to the correct intersection point with the laser beam (the desired ignition point).
  • This could be implemented, e.g., with one set of electrodes (not shown), but other implementations might use multiple sets of these to give better trajectory control.
  • a set of electrodes that create, e.g., a z axis magnetic field, e.g., used to accelerate the target, e.g., a lithium droplet along the z-axis.
  • this aiming and acceleration function may also be implemented with electric coils arranged in the path ofthe droplet to deflect the droplet, e.g., towards or away from a respective coil and/or accelerate along the length of a coil.
  • the acceleration and deflection may be magnetic in initiation or electrostatic, as is understood in the art.
  • Target steering may employ techniques such as discussed in M.
  • the droplets may, e.g., be charged, e.g., by placing a charge ring around the nozzle 220 of the target delivery system 24. Since the droplets are small, the charge distribution over the droplet may be considered to be relatively uniform, however charge will tend to accumulate at points of higher curvature, so that droplet distortions, if any may alter the charge distribution. To account for this, according to an aspect of an embodiment of the present invention, the droplets may be passed through a differential charge analyzer (not shown) intermediate the target delivery system 24 and the steering and acceleration mechanism 360, which may comprise, e.g., a pair of electrodes that deflect the droplet in opposite directions, which the differential in deflection being a measure of charge non-uniformity.
  • a differential charge analyzer (not shown) intermediate the target delivery system 24 and the steering and acceleration mechanism 360, which may comprise, e.g., a pair of electrodes that deflect the droplet in opposite directions, which the differential in deflection being a measure of charge non-un
  • This differential in displacement may be detected using detectors (not shown) as discussed in the present application.
  • the amount of charge non-uniformity may be used by the system 350 to control the x and y deflection ofthe droplet in the steering and acceleration mechanism 360 and z-axis acceleration as well.
  • the laser beam system 22, the two chamber excimer laser source containing an MO 44 and a PA 48 could be operated, e.g., in voltage control mode, with the control system 350 controller 362 can, e.g., provide voltage commands to the MO and PA acting as actuators, to regulate the energy out of the EUV source 20.
  • the MOPA 22 could be operated, e.g., in a constant energy mode, in which case, the control system could provide energy commands to the MOPA that could, e.g., be actuators used to regulate the energy out of the EUV source 20.
  • the control system could provide a laser trigger signal to the laser system 22 to act as an actuator providing the laser pulse, in order, e.g., to control the arrival time of the laser pulses at the desired droplet ignition site.
  • the laser may be controlled from the controller 362 by sending, e.g., firing control signals directly to the MOPA TEM utilized in laser timing control systems in MOPA laser products sold by applicants' assignee, e.g., XLA laser models.
  • the voltage control and output energy control signals may be commanded in unison or separately.
  • sets of photo cells may be arranged perpendicular to the droplet path and may be used, e.g., to determine the droplet trajectory, e.g., by determining x and y position of the droplet, e.g., as compared to a predicted x and y axis position at the point of the x and y photocell arrays 364, 366 after leaving the target delivery system 24, or, alternatively, by detecting the x and y positions of the droplet at the arrays 364, 366 and at the arrays 365, 367, and comparing the two, knowing the distance between the two.
  • x and y photo-arrays 364, 366 not the x and y photocell arrays 365, 367 need to be co-planar, but they may conveniently be so.
  • Another alternative is to use respective arrays to determine droplet arrival time at the sensor 364, 365, 366 and/or 367, which may be used, e.g., as a z plane crossing indication .
  • detectors 364-367 could be implemented, e.g., by side imaging lasers, as explained above.
  • the photodiode array may only be twenty or so photodiodes (pixels) in length, indicative of the position ofthe center ofthe droplet in the axis along which the photodiode array is oriented. It will be understood that this peak in the time domain, or perhaps the leading or trailing edge of the spectrum of the integrated signals from the photodiode array may also indicate a z plane crossing time.
  • one or more z axis lasers e.g., a HeNe laser as discussed above can be used, e.g., to measure the time when a droplet crosses the beam, e.g., 370, 372, 374 or 376 which may comprise a planar beam oriented in the plane of the z-axis, i.e., in the direction of transverse to travel of the target, e.g., a droplet of lithium, from the target dispensing system 24 to the ignition site 28.
  • a z axis lasers e.g., a HeNe laser as discussed above can be used, e.g., to measure the time when a droplet crosses the beam, e.g., 370, 372, 374 or 376 which may comprise a planar beam oriented in the plane of the z-axis, i.e., in the direction of transverse to travel of the target, e.g., a droplet of lithium, from the target dispensing system
  • z-axis detection planes e.g., 370, 372, 374 and 376, e.g., used to control the timing of pulses applied to the magnetic fields, e.g., contained in the droplet steering and acceleration mechanism 360.
  • the magnetic fields could, e.g., be pulsed a fixed interval after the droplet crosses the plane of a respective beam, e.g., 370, 372.
  • beams e.g., 374, 376 positioned closer to the desired ignition point. Crossing this beam 374 could be used, e.g., to cause the lasers system 22 to be triggered at a programmed interval or intervals after beam crossing.
  • the crossings of a plurality of z axis laser planes can also be used, e.g., to determine droplet speed.
  • Detectors 364a, 366a and 365a, 367a may be used, e.g., for trajectory and/or speed detection below the steering and acceleration mechanism 360.
  • the laser control system 64 itself can be used to control the timing between the MO and the PA to effectively deliver a laser pulse to the ignition site 28 timed to arrive concurrently with the target 94, at some defined time interval after such trigger signal, as is well understood in the art of MOPA laser timing control systems.
  • the voltage cell will produce a voltage pattern on the outputs of the photocells, e.g., indicating a level of light intensity at each individual photocell (not shown) and this information may, e.g., be provided to the controller 362.
  • An algorithm can then be used by the controller 362 to turn this information into a droplet position, e.g., in the x and y planes as noted above.
  • the algorithm will have to, e.g., achieve measurement precision higher than the pitch of the photocells (not shown) in the array, e.g., 364, 366, as is understood in the field of utilization of such photo-diode arrays in the field of laser wavelength and bandwidth detection. Additionally, according to aspects of an embodiment of the present invention the algorithm may also be able to measure droplet size and droplet deformation, e.g., by utilizing the outputs ofthe x, y detectors, e.g., 364, 366, e.g., to detect droplet width in two axes.
  • x and y photocell arrays 364, 366, 365, 367 may be used, e.g., to determine the z plane position of the droplet prior to entering the x and y axis magnetic field electrodes in the droplet steering and acceleration mechanism 360, along with the droplet trajectory.
  • This information may then be used by the control system 362 to, e.g., adjust the sizes of the fields applied at the electrodes (not shown), e.g., for the current droplet and perhaps also for the next subsequent droplet.
  • x and y photocell arrays 364a, 366a may be used, e.g., to determine the position of the droplet after it has passed through the x and y axis magnetic fields in the droplet steering and acceleration mechanism, and, e.g., just prior to intersection with the laser beam at the desired ignition site.
  • This information may, e.g., be used to adjust the x and y magnetic fields, e.g., for successive shots, e.g., to adjust for any position error in the droplet previously arriving at the ignition site.
  • the z-axis laser plane detectors 374, 376 or the x and y axis photocell arrays, 364a and 366a, and 365a and 367a, or a combination thereof may also be used, e.g., to determine the droplet speed and trajectory, e.g., after leaving the Z-axis magnetic field in the droplet steering and acceleration mechanism 360. This can then be used, e.g., to adjust the z-axis magnetic fields for successive targets, e.g., based upon detected target position/speed error for a droplet in a prior shot arriving at the desired ignition site.
  • a pair detectors e.g., only including a single photo-diode element (pixel) may be illuminated by a respective pair of beans one passing through a point at or very near the desired target ignition site and one just above that, e.g., to detect target speed as close to the desired target ignition site as possible, e.g., by the leading edge of the droplet blocking each of these two respective detectors (not shown).
  • This may be used, e.g., to indicate speed changes occurring in the target droplets at or very near the desired target ignition site, e.g., due to influences of the creation of a plasma by ignition of a prior droplet target, magnetic field influences or the like.
  • a dither may also, e.g., be is applied to the energy setpoint for, e.g., the excimer target irradiating laser.
  • the dither signal can be either random or periodic.
  • the dither may, e .g., be correlated with the EUV output energy to determine the sensitivity of EUV output to the energy output of the plasma forming laser. This information may be used, e.g., to scale the commands in the plasma forming laser energy control loop to keep the loop gain constant.
  • This value may, e.g., be used to adjust the trigger time of each laser relative to the droplet crossing the final z-axis laser beam plane.
  • independent dither signals may be applied to the trigger times of each of the excimer lasers 22. These dithers may be correlated with the EUV output, e.g., in order to determine the sensitivity of the EUV energy to the trigger time of each excimer laser.
  • the trigger time of each excimer laser may then independently adjusted to drive the sensitivity to zero and thus maximize EUV efficiency.
  • the above mentioned sensors may be used to determine a target position and trajectory and to predict a desired ignition site in the possible paths of the laser pulse and provide feedback to control either the aiming of the laser 22 into the laser positioning and focusing optics (not shown) or the aiming of the laser positioning and focusing optics (not shown) or utilizing beam pointing as discussed above, for purposes of causing an intersection and irradiation of the respective droplet by the laser beam pulse at a predicted desired intersection point (predicted desired ignition site), which, e.g., may be different from a prior ignition site, but still within an acceptable distance from the focus of the collector 30 to not significantly detract from the collected EUV light.
  • This may also be done in a relatively slow feedback loop, i.e., not on a shot by shot basis, to correct for system indications of a slow drift of the average arrival time and position at the target ignition site.
  • the desired target ignition site may move slightly, staying within, e.g., about a ⁇ lO ⁇ m position error from the focus of the collector 30 and still generate EUV light at acceptable levels.
  • the system just described may be used to detect this change over time and to redirect the focus of the laser to the new desired target ignition point, assuming that the steering mechanism due to environmental changes is not able on average to direct the target droplets to the original target ignition point, e.g., at the focus ofthe collector 30.
  • Target delivery may also be accomplished utilizing techniques such as those disclosed in co-pending U.S. Application Ser. No. 10/409,254, EXTREME ULTRAVIOLET LIGHT SOURCE, filed on April 8, 2003, Attorney Docket No. 2002-0030-01, the disclosure of which is hereby incorporated by reference.
  • FIG. 10 there is shown aspects of an embodiment of the present invention comprising an input window 380 formed in a wall of the chamber vessel 26 and through which the laser bean 146 enters to reach the ignition point 28.
  • the window 380 may be, e.g., heated to, e.g., remove, e.g., by evaporation debris that plates onto the window, e.g., lithium, tin or xenon atoms from the plasma.
  • the window 380 may be heated, e.g., by a heating element, e.g., by use of an external heating fixture attached to the metal body of the window 380 mounting flange or a heat lamp 382, e.g., an infrared heat lamp, which may, e.g., be reflected onto the window 380 by a mirror 384.
  • the 384 as is shown in FIG. 10, may, e.g., be facing away from the laser plasma in order to avoid that mirror 384 surface being in a direct line of sight to the plasma. This can, e.g., prevent particle impact from the plasma onto this mirror 384 reflective surface.

Abstract

An EUV light source apparatus (20) comprises a pulse laser (22) providing laser pulses (55) at a selected pulse repetition rate focused at a desired target ignition site (28); a target formation system (92) providing discrete targets (94); a target steering system (350) intermediate the target formation system (92) and the ignition site (28); a target tracking system (42) providing information about movement of the target (94) between the target formation system (92) and the target steering system (350); an electrostatic plasma containment apparatus (314) providing an electric plasma confinement field (316) at or near the ignition site (28) at the time of ignition; a vessel (30) having an intermediate wall (282) for passing EUV light; and a magnetic plasma confinement mechanism (329) creating a magnetic field (320) in the vicinity of the ignition site (28).

Description

TITLE A High Repetition Rate Laser Produced Plasma EUV Light Source FIELD OF THE INVENTION The present relates to a system for the generation of EUV light using a laser produced plasma and discrete targets in the form of solid particles or droplets or solid particles imbedded in a droplet delivered to an irradiating site for irradiation by a pulsed laser beam. RELATED APPLICATIONS The present application claims priority to U.S. Patent Application Serial No. 10/803,526 filed on March 17, 2004, entitled A HIGH REPETITION RATE LASER PRODUCED PLASMA EUV LIGHT SOURCE, which is related to a co-pending application Attorney Docket No. 2003-0083-01, COLLECTOR FOR EUV LIGHT SOURCE, filed on March 10, 2004, the disclosure of which is hereby incorporated by reference. BACKGROUND OF THE INVENTION LPP EUV sources have been under discussion for some time. As the requirements for, e.g., smaller and smaller integrated circuit critical dimension lithography and the concomitant requirement for shorter and shorter wavelength light sources, in the ranges of tens of tens of nanometers (e.g., 10-30), the need for a workable EUV light source that can also meet all of the requirements for power, repetition rate, dose stability, and the like requirements the actual requirements for an EUV light source, e.g., for use as a lithography light source, are becoming more clear. By way of example, there are some indications of what the power requirements could be. One way to look at this is to compare reported performance of a laser produced plasma ("LPP") system, e.g. the TRW/CEO, system, incorporating certain lithography parameters that appear to be system requirements, with proposals for a deep plasma focus system, a variety of discharge produced plasma "(DPP") systems. Reported numbers for the TRW/CEO system are shown below in Table I. TABLE I
Figure imgf000004_0001
* According to a TRW/CEO poster paper given at the 2003 SPIE.
** According to requirements being stated by potential customers for EUV light sources. While some systems in use, e.g., in an integrated circuit fabrication facility require power in the range of a kilowatt, the likelihood is that there would be required many more scanners using EUV light sources per fab than, e.g., ion implanters or rapid thermal annealing systems, also requiring this type of projected input power. There is a clear need for improvements to proposals for EUV light source efficiencies. One area of critical importance to the overall efficiency of such an EUV light source is the collector. Many issues of collector efficiency need to be addressed, including debris management, which can interfere with the ability to deliver the required light energy to the intermediate focus and also decrease economic efficiency of the light source if debris, e.g., requires frequent replacement of the collector due to inability to control debris deposition over time. Proposals for a collector system have been discussed in the co-pending application entitled COLLECTOR FOR EUV LIGHT SOURCE, filed on March 10, 2004, Attorney Docket No. 2003-0083-01, the disclosure of which is hereby incorporated by reference. With, e.g., a 10% electrical-to-laser conversion efficiency then the required wall plug power becomes 227,000W. This value is essentially the same as for the discharge produced plasma ("DPP"). If TRW/CEO can also achieve their stated goal of doubling the laser-to-EUV efficiency, then the required wall plug power becomes 113,500W. Of course, the methods of increasing this conversion efficiency will likely apply to the DPP and thus the DPP wall plug requirements will also drop by half. One of the driving forces behind the design of an EUV lithography light source and, e.g., the selection of target material, collector strategy, discharge produced plasma ("DPP", e.g., deep plasma focus ("DPF") or laser produced plasma ("LPP") and the like is the requirement by the lithography tool manufacturers regarding the level of out-of-band radiation, e.g., produced by an LPP source, e.g., with a 248nm drive laser. Since the EUV multi-layer mirrors exhibit high reflectivity to the UV region and many of the proposed EUV photoresists are sensitive to UV/DUV, it is critical that the source does not produce a large amount of radiation, e.g., in the 130-400nm range. With a 248nm drive laser, as opposed to an infrared drive laser, even a small amount of scattered laser light may lead to high levels of UV radiation from the EUN source. The currently contemplated full specification for out-of-band radiation for a production EUV source is listed below in the wavelength ranges of interest and the allowed ratio to the in-band, e.g., at 13.5nm energy. Range Allowed Percentage (relative to 13.5nm in-band) 10-40nm 100% 40-130nm 100% 130-400nm 1% 400-800nm 100% >800nm 0.05%
Therefore all radiation, e.g., between 130nm and 400nm must be less than 1% ofthe in-band 13.5nm radiation. Thus, if one assumes, e.g., a 2% contribution into in-band EUV then one must also have only a 0.02% conversion efficiency into the 130- 400nm band. This is an incredibly tight requirement, for both LPPs and DPPs. Behavior of expanding laser produced plasma and/or the effects of magnetic fields on plasmas have been modeled and studied, as discussed, e.g., in H. Pant, "Behavior of Expanding Laser Produced Plasma in a Magnetic Field," Physica Scripta, Vol. T75 (1998), pp. 104-111; Tillmack, Magnetic Confinement of LPP, UCSD Report and Abramova, "Tornado Trap, the disclosures of which are hereby incorporated by reference.
SUMMARY OF THE INVENTION An EUV light source apparatus and method are disclosed, which may comprise a pulsed laser providing laser pulses at a selected pulse repetition rate focused at a desired target ignition site; a target formation system providing discrete targets at a selected interval coordinated with the laser pulse repetition rate; a target steering system intermediate the target formation system and the desired target ignition site; and a target tracking system providing information about the movement of target between the target formation system and the target steering system, enabling the target steering system to direct the target to the desired target ignition site. The target tracking system may provide information enabling the creation of a laser firing control signal, and may comprise a droplet detector comprising a collimated light source directed to intersect a point on a projected delivery path of the target, having a respective oppositely disposed light detector detecting the passage of the target through the respective point, or a detector comprising a linear array of a plurality of photo-sensitive elements aligned to a coordinate axis, the light from the light source intersecting a projected delivery path of the target, at least one ofthe which may comprise a plane-intercept detection device. The droplet detectors may comprise a plurality of droplet detectors each operating at a different light frequency, or a camera having a field of view and a two dimensional array of pixels imaging the field of view. The apparatus and method may comprise an electrostatic plasma containment apparatus providing an electric plasma confinement field at or near a target ignition site at the time of ignition, with the target tracking system providing a signal enabling control of the electrostatic plasma containment apparatus. The apparatus and method may comprise a vessel having and intermediate wall with a low pressure trap allowing passage of EUV light and maintaining a differential pressure across the low pressure trap. The apparatus and method may comprise a magnetic plasma confinement mechanism creating a magnetic field in the vicinity of the target ignition site to confine the plasma to the target ignition site, which may be pulsed and may be controlled using outputs from the target tracking system.
BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 shows a schematic view of an overall broad conception for a laser- produced plasma EUV light source according to an aspect ofthe present invention; FIG. 1A shows schematically the operation of the system controller according to an aspect of an embodiment ofthe present invention; FIG. 2A shows a side view of an embodiment of an EUV light collector according to an aspect of the present invention looking from an irradiation ignition point toward an embodiment of a collector according to an embodiment of the present invention; FIG. 2B shows a cross-sectional view ofthe embodiment of FIG. 2 A along the lines 2B in FIG. 2A; FIG. 3 shows in schematic form a possible embodiment of a target delivery system according to an aspect of an embodiment ofthe present invention; FIG.'s 4A and B show schematically a possible embodiment of a target tracing system according to an aspect of an embodiment ofthe present invention, with FIG. 4A being a schematic side view of an aspect ofthe embodiment and FIG. 4B being a plan view of an aspect ofthe embodiment; FIG. 5 shows a schematic perspective view of aspects of an alternative embodiment of a target tracking system according to an aspect of an embodiment of the present invention; FIG. 6 shows a cross-sectional view according to an aspect of an embodiment ofthe present invention including cold fingers for debris collection; FIG.'s 7A-C there is shown an apparatus and method for electrostatically confining a, plasma, e.g., a laser produced plasma according to an aspect of an embodiment ofthe present invention; FIG.'s 8A-G there is shown schematically aspects of an embodiment ofthe present invention; FIG. 9 there is shown a block diagram of an aspect of an embodiment ofthe present invention regarding feedback and control; and, FIG. 10 shows aspects of an embodiment ofthe present invention.
DETAILED DESCRIPTION OF PREFERRED EMBODIMENTS Turning now to FIG. 1 there is shown a schematic view of an overall broad conception for an EUV light source, e.g., a laser produced plasma EUV light source 20 according to an aspect of the present invention. The light source 20 may contain a pulsed laser system 22, e.g., a gas discharge excimer or molecular fluorine laser operating at high power and high pulse repetition rate and may be a MOPA configured laser system, e.g., as shown in United States Patents Nos. Patents Nos. 6,625,191, 6,549,551, and 6,567,450. The light source 20 may also include a target delivery system 24, e.g., delivering targets in the form of liquid droplets, solid particles or solid particles contained within liquid droplets. The targets may be delivered by the target delivery system 24, e.g., into the interior of a chamber 26 to an irradiation site 28, otherwise known as an ignition site or the sight ofthe fire ball. Embodiments ofthe target delivery system 24 are described in more detail below. Laser pulses delivered from the pulsed laser system 22 along a laser optical axis 55 through a window (not shown) in the chamber 26 to the irradiation site, suitably focused, as discussed in more detail below in coordination with the arrival of a target produced by the target delivery system 24 to create an ignition or fire ball that forms an x-ray releasing plasma, having certain characteristics, including wavelength of the x-ray light produced, type and amount of debris released from the plasma during or after ignition, according to the material ofthe target. The light source may also include a collector 30. e.g., a reflector, e.g., in the form of a truncated ellipse, with an aperture for the laser light to enter to the ignition site 28. Embodiments of the collector system are described in more detail below. The collector 30 may be, e.g., an elliptical mirror that has a first focus at the ignition site 28 and a second focus at the so-called intermediate point 40 (also called the intermediate focus 40) where the EUV light is output from the light source and input to, e.g., an integrated circuit lithography tool (not shown). The system 20 may also include a target position detection system 42. The pulsed system 22 may include, e.g., a master oscillator-power amplifier ("MOPA") configured dual chambered gas discharge laser system having, e.g., an oscillator laser system 44 and an amplifier laser system 48, with, e.g., a magnetic reactor-switched pulse compression and timing circuit 50 for the oscillator laser system 44 and a magnetic reactor-switched pulse compression and timing circuit 52 for the amplifier laser system 48, along with a pulse power timing monitoring system 54 for the oscillator laser system 44 and a pulse power timing monitoring system 56 for the amplifier laser system 48. The system 20 may also include an EUV light source controller system 60, which may also include, e.g., a target position detection feedback system 62 and a firing control system 65, along with, e.g., a laser beam positioning system 66. The target position detection system may include a plurality of droplet imagers 70, 72 and 74 that provide input relative to the position of a target droplet, e.g., relative to the ignition site and provide these inputs to the target position detection feedback system, which can, e.g., compute a target position and trajectory, from which a target error can be computed, if not on a droplet by droplet basis then on average, which is then provide as an input to the system controller 60, which can, e.g., provide a laser position and direction correction signal, e.g., to the laser beam positioning system 66 that the laser beam positioning system can use, e.g., to control the position and direction of he laser position and direction changer 68, e.g., to change the focus point ofthe laser beam to a different ignition point 28. The imager 72 may, e.g., be aimed along an imaging line 75, e.g., aligned with a desired trajectory path of a target droplet 94 from the target delivery mechanism 92 to the desired ignition site 28 and the imagers 74 and 76 may, e.g., be aimed along intersecting imaging lines 76 and 78 that intersect, e.g., alone the desired trajectory path at some point 80 along the path before the desired ignition site 28. The target delivery control system 90, in response to a signal from the system controller 60 may, e.g., modify the release point of the target droplets 94 as released by the target delivery mechanism 92 to correct for errors in the target droplets arriving at the desired ignition site 28. An EUV light source detector 100 at or near the intermediate focus 40 may also provide feedback to the system controller 60 that can be, e.g., indicative of the errors in such things as the timing and focus of the laser pulses to properly intercept the target droplets in the right place and time for effective and efficient LPP EUV light production. Turning now to FIG. 1A there is shown schematically further details of a controller system 60 and the associated monitoring and control systems, 62, 64 and 66 as shown in FIG. 1. The controller may receive, e.g., a plurality of position signal 134, 136 a trajectory signal 136 from the target position detection feedback system, e.g., correlated to a system clock signal provided by a system clock 116 to the system components over a clock bus 115. The controller 60 may have a pre- arrival tracking and timing system 110 which can, e.g., compute the actual position ofthe target at some point in system time and a target trajectory computation system 112, which can, e.g., compute the actual trajectory of a target drop at some system time, and an irradiation site temporal and spatial error computation system 114, that can, e.g., compute a temporal and a spatial error signal compared to some desired point in space and time for ignition to occur. The controller 60 may then, e.g., provide the temporal error signal 140 to the firing control system 64 and the spatial error signal 138 to the laser beam positioning system 66. The firing control system may compute and provide to a resonance charger portion 118 of the oscillator laser 44 magnetic reactor-switched pulse compression and timing circuit 50 a resonant charger initiation signal 122 and may provide, e.g., to a resonance charger portion 120 of the PA magnetic reactor- switched pulse compression and timing circuit 52 a resonant charger initiation signal, which may both be the same signal, and may provide to a compression circuit portion 126 of the oscillator laser 44 magnetic reactor-switched pulse compression and timing circuit 50 a trigger signal 130 and to a compression circuit portion 128 of the amplifier laser system 48 magnetic reactor-switched pulse compression and timing circuit 52 a trigger signal 132, which may not be the same signal and may be computed in part from the temporal error signal 140 and from inputs from the light out detection apparatus 54 and 56, respectively for the oscillator laser system and the amplifier laser system. The spatial error signal may be provided to the laser beam position and direction control system 66, which may provide, e.g., a firing point signal and a line of sight signal to the laser bean positioner which may, e.g. position the laser to change the focus point for the ignition site 28 by changing either or both of the position of the output of the laser system amplifier laser 48 at time of fire and the aiming direction ofthe laser output beam. Turning now to FIG.'s 2 A and 2B there is shown, respectively a schematic view side view of a collector 30 looking into the collector mirror 150, and a cross- sectional view of the rotationally symmetric collector mirror 150 arrangement along cross-sectional lines 2B in FIG. 2A (although the cross-sectional view would be the same along any radial axis in FIG. 2A. As shown in FIG. 2A the elliptical collection mirror 150 is circular in cross section looking at the mirror, which may be the cross-section at the greatest extension ofthe mirror, which is shown in FIHG. 1 A to be almost to the focus point 28 ofthe elliptical mirror 150, so as not to block target droplets 94 from reaching the ignition point designed to be at the focus point 28. It will be understood, however, that the mirror may extend further towards the intermediate focus, with a suitable hole in the mirror (not shown) to allow passage of the target droplets to the focus point. The elliptical mirror may also have an aperture 152, e.g., shown to be circular in FIG. 2A, to allow entry ofthe LPP laser beam 154, e.g., focused through focusing optics 156, through the mirror 150 to the ignition point 28 desired to be at the focus ofthe elliptical mirror. The aperture 152 can also be, e.g., more tailored to the beam profile, e.g., generally rectangular, within the requirements, if any of modifying the beam optical path to make corrections of the focus of the laser beam 154 on an ignition site, depending upon the type of control system employed. Also shown in FIG.'s 2A and 2B is a debris shield 180 according to an aspect of an embodiment of the present invention. The debris shield 180 may be made up of a plurality of thin plates 182, made, e.g., of thin foils of ,e.g., molybdenum, extending radially outward from the desired ignition site and defining narrow planar radially extending channels 184 through the debris shield 180. The illustration of FIG. 2A is very schematic and not to scale and in reality the channels are as thin as can possibly be made. Preferably the foil plates 182 can be made to be even thinner than the channels 184, to block as little of the x-ray light emitted from the plasma formed by ignition of a target droplet 94 by the laser beam 155 focused on the ignition site 28. Seen in cross section in FIG. 2B, the functioning of the channels 182 in the debris shield 180 can be seen. A single radial channel is seen in FIG. 2B and the same would be seen in any section of the collector 30 through the rotationally symmetric axis of rotation of the collector mirror 150 and debris shield 180 within a channel ofthe debris shield 180. Each ray 190 of EUV light (and other light energy) emitted from the ignition site 28 traveling radially outward from the ignition site 28 will pass through a respective channel 182 in the debris shield 180, which as shown in FIG. 2B may, if desired, extend all the way to the collection mirror 150 reflective surface. Upon striking the surface of the elliptical mirror 150, at any angle of incidence, the ray 190 will be reflected back within the same channel 180 as a reflected ray 192 focused on the intermediate focus 40 shown in FIG. 1. Turning now to FIG. 3 there is shown a possible embodiment of a target formation/delivery system 24 according to an aspect of an embodiment of the present invention. The target deliver system 24 may comprise, e.g., a target formation/delivery apparatus 200, which may have, e.g., a body 202 and a cap 204, with the body 202 and the cap 204, e.g., defining an interior cavity 206 which may contain target material, e.g., lithium, e.g., in a relatively pure state and, e.g., in a liquid form or even a solid form, e.g., relatively uniform radius pellets of, e.g., about 20μm in diameter. As illustrated in FIG. 3, the source is lithium in liquid form which may be fed to the cavity 206, e.g., in liquid or solid form through a source input (not shown) and may, e.g., be kept under pressure of, e.g., 10-20 psi, for, e.g., for liquid tin as a target, and likely much less for lithium, based on the difference in mass and viscosity between tin and lithium, through a source 212 for, e.g., pressurizing gas, which may be, e.g., argon. The target formation/delivery apparatus 200 may also have heaters, e.g., cartridge heaters 210, e.g., annularly surrounding the body 202 and serving to, e.g., heat the body to, e.g., maintain the liquid target material, e.g., liquid lithium in liquid form, e.g., by maintaining the material in the cavity at or above, e.g., 500°C for lithium. The cavity 206 at, e.g., its lower end may open into a nozzle 220, which may have a narrowing portion 222, wliich may serve, e.g., in the alternative embodiment of a solid target pellet source to narrow down to essentially the size of one target pellet before a nozzle opening 226 at the terminal end of the nozzle 220, and in the case of the embodiment using liquid target material, narrowing down to a size that essentially defines a stream 220 of about, e.g., 20 μm in diameter, which can serve, e.g., to separate into target droplets 94. Target droplets 94 may be formed, e.g., through the use of a perturber 226, which may, e.g., under the influence of a signal from a target delivery system controller 90, e.g., a periodic signal, e.g., a sign wave as indicated schematically in FIG. 3, squeeze the nozzle to add perturbing discontinuities into the liquid stream 224, which can, e.g., select the size and distribution of the target drops 94 that actually form eventually from the stream 224. The target delivery controller may, in turn be controlled from the overall system controller 60. The overall system controller 60 may also control a target delivery system position controller 240, e.g., based on information supplied to the overall system controller 60 regarding position error of a previously delivered target droplet or droplets in regard to, e.g., a desired ignition site. The position controller 240 may translate the target formation/delivery apparatus, e.g., in a plane orthogonal to the axis of the output stream 224 to, e.g., adjust the location of the nozzle output 226 in that plane. This may be done by servo motors or piezoelectric actuators or a combination of both, e.g., for a slow aiming control loop and a faster speed aiming control loop or, e.g., course and fine aiming control. Applicants have noted in experiments that that in delivering, e.g., a 20μm diameter droplet to a desired target simulated ignition site over a distance of, e.g., about 50μm (larger distances may also be needed for protection of, e.g., the nozzle from the plasma and its debris, an error of, e .g., about .25 mm can occur in the arrival point vis-a-vis the desired target ignition site. Applicants believe that this is due to the droplet initially leaving the nozzle ofthe target formation apparatus 200 at an angle to the correct trajectory path to the target site, normally true vertical (as shown illustratively in FIG. 3). Applicants also believe that this may be due to some effects such as lateral differences in temperature or the like across the nozzle opening, which may be relatively steady state once formed. To this effect, applicants propose that a tilting mechanism (not shown) e.g., incorporated in the target formation system 92 position controller 240, to tilt the nozzle equally and oppositely away from the droplet formation axis tilt error, based, e.g., on feedback of target position error signals measuring the effect of this droplet formation axis tilt error to remove the error in target arrival position, e.g., vis-a-vis the target ignition site. This may be done, e.g., with piezoelectric elements, which may only need to induce a tilt of, e.g., 5-10 steradians in the nozzle to counteract the droplet formation axis error at the nozzle output for a correct flight path to the target ignition site. The overall system controller 60 may also provide a signal (not shown) to the target delivery system 92 to control the pressure of the, e.g., argon pressurizing gas which may, e.g., serve to adjust the size of the ultimate droplets 94, the delivery rate of the droplets 94, the spacing of the droplets 94, or some other operating parameter of the formation/delivery of the droplets 94 to the desired ignition site 28 or to a target tracking and steering system 350, discussed in more detail below, for ultimate delivery to the ignition site 28. Turning now to FIG.'s 4A and B there are shown aspects of an embodiment of a possible target tracking system 42 according to an aspect of an embodiment of the present invention. The target tracking system 42 may comprise, e.g., a helium- neon laser (HeNe) laser250, selected, e.g., for its relatively inexpensive nature. The HeNe laser may produce a beam 256 of light at a wavelength/frequency of, e.g., 632- 38 nm, and may be delivered to an optic 252 that is, e.g., also impinged by the laser light source 22 beam 154 and may be, e.g., essentially fully transmissive of the beam 154 and may, e.g., reflect part of the beam 256, e.g., through the same focusing optics 156 as for the beam 154, i.e., focused to the desired ignition spot 28. The target tracking system 42 may also include, e.g., another focusing optic 260 that may, e.g., focus the light passing through the focus point at the ignition site 28 onto, e.g., a detector 262. The detector 262 may be, e.g., a photodiode or an array of photodiodes, e.g., a linear array of photodiodes, selected to be sensitive to light in the band of the HeNe laser and not in the band ofthe laser 22. The detector 262 may, e.g., provide an output signal, a high or a low, each time, e.g., the light from the HeNe laser 250, to which it is selectively sensitive, is cut off, e.g., to one or more photo-diodes of the detector, e.g., by the passage of a droplet 94 into the path ofthe light from the HeNe laser, e.g., at or near the ignition point 28. It will be understood that the detector may comprise, e.g., a linear array of photo-diodes sensitive to the wavelength of the HeNe laser and provide to the controller 60 or to some feedback system, e.g., position feedback system 62, a signal or signals that can be analyzed to determine some displacement in the array, e.g., in the direction toward or away from the lateral array or across the array, e.g., in the lateral axis ofthe array, indicating, e.g., the passage of a target droplet through or on either side ofthe true ignition site 28 in, e.g., some plane, e.g., a horizontal plane (so oriented as shown in FIG. 4A, assuming that the horizontal plane is oriented orthogonal to the plane ofthe paper) through the ignition site 28. It will also be understood that if the detector 262 includes another linear array of photo-diodes, e.g., oriented vertically (as shown in the figure) the some distribution of intensity signals from the array may be used, e.g., to determine a lateral displacement ofthe droplet from the ignition site, e.g., as shown illustratively at positions 94 a and 94 b in FIG. 4A. Barring the ability to discern such an error displacement horizontally or vertically from varying intensities or displacement of an intensity signal to, e.g., other than a central photo-diode in such an array (horizontal or vertical), then displacement of the droplet, e.g., as shown in FIG. 4A to either the position 94a or 94b may simply give a false indication that the droplet 94 is on target, e.g., if enough of the HeNe light is blocked from the detector, even by an erroneously located droplet, e.g., as shown schematically and not to scale by positions 94a and 94b. Then the output signal of the photodiode(s) in the detector 262 may be still interpreted to be the low (or high) signal mentioned above indicating the target droplet 94 to be at the ignition site 28. Referring to FIG 4B, there is shown another possible arrangement according to aspects of an embodiment of the present invention that may serve to alleviate this possible error in the tracking system 42 operation, by, e.g., requiring a plurality of such intersected signals, e.g., two or three to indicate the droplet 94 has intersected the ignition site 28. The embodiment of FIG. 4B may again incorporate the beam 256 as shown in FIG. 4 A passing through the irradiating laser beam 154 focusing optic 156, as explained in regard to FIG. 4A. One advantage of passing through this optic 156, is that the HeNe beam 256 is always focused to the desired ignition spot 28, assuming there is feedback, as discussed in more detail below that uses, e.g., the focusing optic 156, by either moving the optic 156 or, if possible and convenient, moving the laser 22, or using beam pointing eqμipment as discussed in more detail below, all to, e.g., focus to an ignition site 28, e.g., according to where the droplets 94 are being delivered by the target deliver system 92 as discussed above and/or target tracking and steering system 350 as discussed below. The embodiment of FIG. 4B may also comprise, e.g., at least one additional target tracking laser system, e.g., delivering a laser bean, e.g., from a HeNe laser, e.g., 256a and 256b to another focusing optic, e.g., 260 a and 260b, respectively focused on another detector, e.g., 262 a and 262 b, respectively. In this manner, two or more low (or high) signals must be received by the feedback system 62, to indicate that the droplet 94 has passed through the ignition site from, e.g., two additional angles of imaging the ignition site 28. As explained above, the respective detectors 262, 262a and 262b may have, e.g., a linear array or orthogonal linear arrays of photo-detectors that may provide intensity data in the photodiodes of such array(s) that can be used to determine position errors, horizontally or vertically or both of the droplet 94 in relation to the desired ignition site. This may even enable the intensity data to be used to detect position error of the droplet from an ignition site 28' (not shown) different from some fixed desired ignition site, e.g., if the laser 22 is focused to the new site 28' due to target delivery system error in delivering the target droplets to the fixed desired ignition site, i.e., perfectly on the focus of the collector. It will be also understood that one ofthe HeNe laser beans 256, 256a or 256b may be oriented to be above the plane of the paper as illustrated in FIG. 4B such that it may detect the passage of a target droplet through a location (not shown) prior to reaching the ignition site 28. This may be used, e.g., by the feedback controller 62 and/or the main controller 60, to compute, e.g., a time of flight from the position in the droplet path above the ignition site 28 to the ignition site 28, as detected, e.g., by the other two ofthe three detectors 262, 262a and 262b. Due to, e.g., limitations in the time of response, sensitivity of response or the like, ofthe detectors, e.g., 262, 262a and 262b, the above referenced tracking system may not be responsive enough or provide enough data or data that can be processed quickly enough for purposes of accomplishing some or all of the desired functionalities of the target tracking system 42 according to aspects of embodiments ofthe present invention, at least on a droplet by droplet basis. One of the imaging devices and detector 256, 256a and 256b and 262, 262a and 262b may be formed with, e.g., an elongated cylindrical lens to form, e.g., a planar detection plane above the plane of the ignition site, e.g., as viewed in FIG. 4A, e.g., to detect the passage of the droplet target 94 through the plane. In such an event, a system illustrated schematically in FIG. 5 may be used to supplement or replace some or all aspects of the target tracking system described in relation to FIG.'s 4A and B. The laser beams 256, 256a and 256b may be generated by different lasers than a HeNe, or, e.g., they may be, e.g., frequency doubled and added to obtain, e.g., harmonics in order to be able at the detectors 262, 262a and 262b to discriminate between the detected image light, e.g., by using photo-diodes sensitive only to the specific frequency to, e.g., eliminate cross-illumination of the detectors 262, 262a and 262b. In FIG. 5 there is shown schematically a possible high resolution target tracking system 42. FIG. 5 illustrates schematically the intersection in the vicinity of the ignition site the fields of view 270a, 272a and 274a of, e.g., three imaging cameras, e.g., 70, 72 and 74 shown illustratively in FIG. 1, except for the modification that in FIG. 5 all of the camera fields of view intersect each other and may, e.g., all intersect at the ignition site 28. As in the example shown in FIG. 5, each of the fields of view may be mutually orthogonal to the others. FIG. 5 also illustrates one of the fields of view, e.g., 270 extending back to, e.g., a square array of detector pixels 270, e.g., in an imaging camera 72, which may, e.g., be a digital camera, e.g., employing a square array 270 of pixels, e.g., each formed by charge coupled devices or CMOS imaging integrated circuits or a single chip CCD or CMOS imager or the like as are well known in the digital camera art. It will be understood, that the imaging cameras 70, 72 and 74 may, e.g., be supplemented with a plane crossing detector as discussed above in regard to FIG.'s 4A and B or in regard to FIG. 9, or another camera aimed to have a field of view above the ignition point 28 to, e.g., get time of flight information and positioning information for above the ignition site 28, e.g., for calculations of, e.g., trajectory of the target droplet, e.g., near the ignition site 28. With such an apparatus, e.g., one may be able to form an image of the droplet 94, e.g., formed by a generally circular group of pixels from the array 270 and using suitable image processing software track the "blob" image of the droplet across the array. One skilled in the art of image processing and object tracking will understand that such tracking across three intersecting fields of view, e.g., 270a, 272a and 274a can provide tracking of the droplet 94 before it reaches the ignition site 28, and provide, e.g., information from which an error signal can be generated, e.g., by the feedback controller 62 indicating a position error between the actual position of the target droplet and the target ignition site 28, which may for the given droplet be based on an aiming point for the laser beam 154 for that particular target droplet 94, which may or may not be at some preselected desired ignition point, e.g., at the collector focus, e.g., due to feedback controls, e.g., to the laser aiming system 68, as explained in this application. It will also be understood that only two cameras could be aimed at the ignition point 28. Further the sensitivity ofthe cameras, e.g., 70, 72 and 74 could be such that only one pixel at a time is illuminated by the image of the target droplet and/or that the fields of view 270a, 272a and 274a could be very high resolution (low pixel pitch) in order to see the target droplet, and also relatively small in field of view, thus, e.g., decreasing the ability to significantly track the flight of the target droplet, e.g., in the vicinity ofthe ignition site 28, making the use of detection of the target droplet above the ignition site more important to the overall functioning ofthe target tracking system 42. The output ofthe target tracking system 42 is desired to be information about the target droplet 94, especially at or near the ignition site 28, from which, e.g., the target tracking feedback control system 62 can provide information to the main controller 60 that indicates, e.g., a target droplet position and trajectory at some time prior to reaching the ignition site 28, and e.g., a predicted time of arrival of the detected target droplet 94 at the ignition site 28 and the location at that arrival time in relation to the currently selected aim point for the laser beam 154, so that, e.g., the currently selected aim point may be moved to the predicted point. Also needed may be, e.g., the actual observation of the target droplet arrival at the ignition site and, e.g., the interaction of the laser beam 154 and the particular target droplet 98 at the ignition site 28, and perhaps, also, imaging of any debris departing the ignition site 28. All of the above may then be used by the system, e.g., to generate feedback to, e.g., the main controller 60, such that the main controller 60 may serve to generate control signals to, e.g., modify the target droplet delivery by the target formation/delivery system 24 and/or the positioning of the aim point of the laser beam 154, e.g., by controlling the focusing optics 156, and also, e.g., the timing of the firing of the laser beam 154 at the aim point 28, e.g., by triggering the initial charging of the pulse power system resonant chargers in the magnetic reactor- switched pulse compression and timing circuits 50, 52, for, e.g., the MO and PA laser chambers, and the triggering of the respective firing of the MO and PA chambers, e.g., to deliver the pulse of laser light in beam 154 at the ignition site 28 timed to the arrival also ofthe target droplet 94. The target droplet 94 and the pulse of laser light 156 must arrive at the particularly designated ignition site 28 for that droplet 94 and that beam 156, with a combined position error of less than about lOμm, so that the focused pulse 156 of laser light irradiates the entire target droplet 94 without any of the droplet being outside of a spatial distribution of the energy in the pulse 156 that is below some selected level of intensity, in order to avoid, e.g., chunks of metallic debris that will, e.g., pit or coat and optically degrade and/or damage, e.g., reflective surfaces in the EUV light source system 20. The system 20 may, e.g., need to provide a 50 microsecond lead time for triggering the proper firing of the laser 22, particularly if it is a MOPA configuration, e.g., a KrF MOPA, with an accuracy of about 1 microsecond, once every 250 microseconds, e.g., at a 4KHz repetition rate and once every 100 microseconds for a 10 kHz pulse repetition rate. The droplets 94 will be arriving, e.g., a speed of about 20 meters per second and separated by about 1mm at a 6Khz pulse repetition rate. Since it takes some finite time to generate the laser pulse beam 154 from some occurrence of a triggering signal of some kind, and due to the length of that time, and other factors, e.g., computing time, tracking device and circuitry time, etc. current technologies may not allow for such triggering on a droplet by droplet basis, particularly at higher repetition rates, e.g., at or above 4kHz. In such event, the detections system 42 and the feedback controllers, e.g., 60, 62 may have to rely, e.g., on timing and position control and the like, e.g., based upon averaging, e.g., droplet positioning and timing information over a series of successive droplets, e.g., the last x number of droplets, and make assumptions about the succeeding droplets continuing to be within, e.g., some relatively slowly varying deviation from the averaged positions so determined. In such a case, the system may still require, e.g., position/timing detection of a given droplet above the ignition site, e.g., for firing control ofthe laser system 22. Turning now to FIG. 6, there are shown schematically a number of other aspects of embodiments ofthe present invention, e.g., features including cold fingers 280, pressure interface including a pressure shield 290 and a vacuum pump 300. The cold fingers 280, only some of which are shown, may be comprised of, e.g., magnesium coated copper plates, that may be curved, as shown and may be separated by larger distances more toward the intermediate focus 40 can be water cooled, e.g., with a heat exchanger system (not shown) and also, e.g., with micro- channels inside of the cold fingers 280 (also not shown), e.g., as is done by fusion bonding two pieces together to form each cold finger 280, e.g., as illustrated in co- pending United States Patent Application Ser. No. 10/607,407, entitled METHOD AND APPARATUS FOR COOLING MAGNETIC CIRCUIT ELEMENTS, filed on June 25, 2003, Attorney docket No. 2003-0051-01, assigned to the common assignee ofthe present application, the disclosure of which is hereby incorporated by reference. These cold fingers, which as partly illustrated schematically in FIG. 6 may extend throughout the vessel 26 except in the cone of the EUV light focused to the intermediate focus all the way or part of the way back to an intermediate wall 282 in the vessel 26. They serve to plate out source atoms that were formed in the plasma or carried with the plasma as it expands from the buffer gas, e.g., argon in the vessel 26, so that these atoms to not plate out on optical surfaces in the EUV light source. Also shown in FIG. 6 is a possible interface to the exterior of the EUV light source, e.g., outside of the intermediate focus, which may be maintained in a vacuum to limit absorption of EUV light. However, the vacuum in the other portion of the chamber where the EUV light is produced may, for various reasons, need to be maintained at a higher vacuum. The interface may comprise, e.g., an intervening wall 282 and a pressure shield, i.e., a differential pumping trap 290, which may be designed, e.g., to permit the transmittance of the EUV beam to the intermediate focus while maintaining a pressure drop from the portion ofthe vessel 26 on the one side of the intervening wall 282 that is under pressure, to the other side being maintained at or near a the vacuum by of the enclosure beyond the intermediate focus, e.g., a vacuum pump 300. The differential pressure trap may be constructed similarly to a form of debris shield disclosed in co-pending United States Patent Application Ser. No. 10/742,233, filed on December 18, 2003, entitled DISCHARGE PRODUCED PLASMA EUV LIGHT SOURCE, Attorney Docket No. 2003-0099-01 assigned to the common assignee of the present application, the disclosure of which is hereby incorporated by reference. This may be constructed to have channels for the passage of focused EUV light to the intermediate focus, but of sufficiently small size for each channel that the pressure drop across the differential pumping trap can be sustained. To this effect, the differential pumping trap 290 may also be constructed, e.g., by using a section of a sphere of material, e.g., ceramic material and, e.g., focusing a laser through a lens and a meshed screen to drill, e.g., focused passageways through the portion of the sphere to allow the EUV light through, while sustaining the pressure drop, also as disclosed in the above referenced United States Patent Application Ser. No. 10/742,233. Turning now to FIG. 6 there is shown in more detail aspects of a feedback and control system according to an embodiment ofthe present invention. With a full 2π steradian multi-layer collector, the required electrical input power to the laser for generating the laser to create the plasma can be reduced by 25%> due to increasing the geometric collection area from 5 steradians to 2π steradians. For example, for a KrF excimer based LPP source assuming, e.g., 2.0% laser-to-EUV conversion (based on, e.g., double efficiency with short wavelength), 4% electrical-to-laser conversion, 2π steradian collection and the same EUV transmission as the TRW/CEO system, the resulting electrical power is 227,272W, which compares well to an alternative approach using discharge produced plasma ("DPP"). For example, (2.0%/1.0%) • (4.0%/3.0%) • (2π str/5 str) = 3.3 leads to this amount of improvement over, e.g., the values shown in the present published TRW/CEO LPP results. With such possible CE results, one can also estimate the laser power required to meet, e.g., 100W of EUV light power at the intermediate focus as follows: Single elliptical Second Spherical Second Spherical Collector Collector1 Collector'
In-band Power at IF 100W 29W 60W
Buffer gas transmission 0.90 .90 0.90 Power reflected from collector 111W 32W 67W
Ave. reflectivity of collector .50 0.60*0.50=0.302 0.60*0.50=0.30^
Power incident on collector 222W 107W 222W
Fraction of 2π sr subtended by collector
(5sr collector) 0.795 0.795 0.795
Power emitted into 2π sr 279W 135W 279W
In-band CE for lithium 0.031 0.0153 0.0314
Input laser power 9,017W 9,017W 9,017W
Notes:
1. The calculations for the second spherical mirror (columns 2 and 3) start with the input laser power and work upward to see what additional EUV power would be available if a second spherical mirror was added.
2. Since the radiation reflected by the second spherical mirror must then bounce off the primary elliptical mirror, the effective reflectivity is the product ofthe two mirrors. The second spherical mirror, since all rays are reflected at normal incidence, was a higher assumed average reflectivity of 60%.
3. This column assumes that only half as much radiation is emitted in the "backward" direction as compared to the direction toward the incident laser beam.
4. This column assumes that the emission in the "backward" direction is equal to that in the direction toward the incident laser beam. Summing the first and second columns one gets 129W of EUV at the IF for 9,017W of laser power, which means, e.g., that one only needs 6,989W of laser power. Doing the same for columns 1 and 3, leads to a conclusion of a requirement for only 5,636W of laser power to reach 100 W at the IF. Its still a lot of laser power, but not in the 20,000-40,000W range, e.g., as described in the results from, e.g., TRW. There is presented a possible economic trade-off between the second spherical mirror and otherwise increased laser power. Applicants have considered the situation for, e.g., a lithium target/KrF driven LPP, and initially concluded that essentially all of the radiation passed on to the intermediate focus point (simulated in an experiment by a photodiode detector) is either in-band 13.5nm radiation or UV-Vis radiation. There is no out-of-band EUV, thanks to the use of a multi-layer mirror ("MLM") collector arrangement (also simulated by just a flat MLM). There also appears to be no significant radiation in the region between 40-130nm. In addition, the conversion efficiency into in-band 13.5nm radiation appeared to be 4.3 times higher than into the UV-Vis region. However, the requirement seems to be for only 1/lOOth (1%) as much energy in the 130-400nm range as the in-band at 13.5nm, whereas according to applicants' initial experimental measurements the UV-Vis range contains 22%) as much energy as the in-band 13.5nm radiation. However a large portion of this appears to be UV-Vis range to be a strong red line at 670 from neutral lithium, along with other light in the 120nm-9000nm range. In addition what was experimentally measured by applicants was radiation from all points around the EUV source point, whereas the true source, e.g., in the configuration contemplated by applicants would have an elliptical imaging mirror and an aperture at the intermediate focus, the latter of which can, e.g., block all radiation from regions away from the EUV source point. For all LPP systems, e.g., with an MLM primary collector, the 10-40nm range can be dealt with by the narrow-band reflectivity of the MLM, unlike, e.g., DPP systems, with a grazing incidence collector, where, e.g., all EUV radiation is re-imaged to the intermediate focus and thus this range may be a problem in regard to out of band radiation, without, e.g., a spectral filter, which can, e.g., decrease CE further than operating a system without one, especially for tin and xenon plasma source emission element materials. This may not be true, however for lithium. The same can be said for the 40-13 Onm range, because the MLM primary collector in the LPP system also exhibits low reflectivity in this region, but the grazing incidence collector in a DPP could have relativity high reflectivity in the region 40-130nm. Between 130nm and 400nm, the MLM primary collector is just as reflective as for in-band 13.5nm radiation, and thus, e.g., the source must emit 100 times less energy in this wavelength range as in-band energy. This restriction is primarily due to the fact that most EUV photoresists are sensitive to this wavelength range as well as 13.5nm. Though the MLM's in the exposure tool reflect the 400-800nm range just as well as in-band 13.5nm, the photoresist is not sensitive and thus only mirror heating is an issue. Thus, the system can tolerate an equal amount in this range as in-band at 13.5nm. Since MLM's are highly reflective for wavelengths, above 800nm, but the photoresists are not sensitive to these wavelengths, it would appear that the range above 800nm would have the same restrictions as the 400-800nm range. In terms of a YAG-based LPP 1064nm is included in this last range, and, therefore, 2%> conversion efficiency into in-band 13.5nm can be accompanied by only having 0.001% scattering of the pump laser if that were a requirement for the above 800 nm range. It is apparent from the above, why CE and in-band CE are so important. Applicants' experiments have given the following results for solid tin and lithium targets for comparison purposes: Tin Lithium Laser input energy 165mj 165mj Total 4π emission from plasma as a percent of input energy (all wavelengths) 80-88% 15-20% UV- Visible 4π emission from plasma as a percent of input energy (150nm to 9000nm) 3% 0.8% EUV 4π emission from plasma as a percent of input energy (Zr filter band, 6.5nm to 17nm) 20-25% 5-7% Turning now to FIG.'s 7A-C there is shown an apparatus and method for electrostatically confining a, plasma, e.g., a laser produced plasma according to an aspect of an embodiment of the present invention. As shown in FIG. 7A, a thin needle 310 may be provided extending into the vicinity of the ignition site 28. The needle 310 is shown in FIG.'s 7A-C to extend from a direction opposite to that of the incoming pulse of laser light 154 passing through the laser beam 154 focusing optic 156, but those skilled in the art will appreciate that this particular orientation is exemplary only and the needle can extend to the illustrated proximity to the ignition site from other orientations as well. The needle 310 may, e.g., be provided with a source of high voltage, e.g., negative high voltage, 312 and be controlled, e.g., by the overall system controller 60 or, e.g., as part of the laser triggering control, to coordinate the provision of a high negative voltage pulse to the arrival of a target droplet 94 and the laser pulse 154 to the ignition site 28, such that at or just after ignition of the target droplet at the ignition site by irradiation from the laser beam 154, an electrostatic field 314 is formed to confine or assist in confining the plasma 316 produced by the irradiation of the target droplet 94. This may have several beneficial results, e.g., limiting or essentially eliminating plasma produced debris from reaching, e.g., the collector optics, maintaining the plasma sufficiently small to increase ionization of the material ofthe target droplet thus improving the CE, i.e., helping to maintain plasma density ofthe plasma 316 all during the irradiation by the laser pulse 154. The voltage may be, about, e.g., 1000, which should be sufficient for the creation of an electric field capable of keeping ions of an energy of up to about IkeV, which is in the range of the plasma ions. In addition as the field begins to form, e.g., by the introduction of electrons with negative charge into the needle 310, the positive charges in the plasma due to ionization of the target material may be attracted to the needle to a large enough extent to keep the electrostatic field 314 from ever forming or relatively quickly smothering the electrostatic field 314. To counteract this, applicants propose to provide the voltage supply 312 with a relatively large capacitor, e.g., a bank of capacitors, e.g., in parallel to combine the capacitance to, e.g., e.g., 100 μF or even larger as is possible, so as to relatively quickly dump into the needle 310 enough negative charge to prevent the positively charge ions forming in the plasma from preventing the electrostatic field from performing the intended confinement ofthe plasma at and after ignition. The above description of aspects of an embodiment of the present invention are illustrative only and the claims should not be considered to be limited to the disclosed embodiment(s). Many changes and modification may be made to the disclosed embodiments without departing from the scope and intent of the appended claims. FIG. 8A shows schematically a magnetic apparatus and method to confine the plasma in the vicinity of the ignition site 28 after ignition. Fig. 8A shows the magnetic field 320 set up by, e.g., a pair of bar magnets, 326, 328. FIG. 8B shows magnetic field lines 320 schematically illustrating the magnetic field of a ring magnet 322, which serve to confine a plasma formed at the ignition site 28 when a target is irradiated by a laser bean, e.g., 154 shown in FIG. 8C. FIG. 8B also shows a the use of cooling for the permanent magnet, e.g., a neodymium iron boron magnet or a samarium cobalt magnet, both manufactured, e.g., by Dexter Corporation, under the name of Permag type NdFeB40, and Permag type SmCo22, e.g., a in the form of a ring magnet 322, e.g., using cooling coils 324, e.g., containing flowing cooling fluid, e.g., water. FIG. 8C shows schematically the field 320 set up by a quadrapole arrangement 329. Turning now to FIG.'s 8A-G there is shown schematically aspects of an embodiment of the present invention. The magnetic field 320 may also be set up by pulsed current, e.g., as shown in the embodiments of FIG.'s 8D-G. In FIG. 8D there is shown a schematic view ofthe electrical equivalent ofthe ring magnet of FIG. 8B, e.g., with a magnetic field 320 set up by pulsed current flowing, e.g., through coils of wire indicated by current flowing into the plane ofthe paper at 330 and out of the plane of the paper at 331. Similarly, FIG. 8E shows an embodiment where a generally bottle shaped magnetic field is set up by distributing the coils along the length of the magnetic field generator so that there are more windings at either end. Similarly in FIG. 8E, this same shaped field 320 can be established, e.g., by alternating the direction of current flow in the coils from one end to the other, i.e., having current flow propagate in one direction through the coil at one end and in the other at the other end, and for a similar purpose a generally spherically shaped coil arrangement can be used, e.g., as shown schematically in FIG. 8G. By applying a magnetic field in the neighborhood ofthe ignition site, e.g., of about 1 Tesla in a fashion to create a field in the region ofthe laser produced plasma the plasma may be at least partially confined, e.g., because plasma expansion can be slowed down, at least in some directions, depending on the magnetic field shape and strength in the vicinity ofthe respective part ofthe plasma. This assist in confinement can have several benefits, especially for a moving target ofthe laser irradiation. For example, the radiating ions will then tend to undergo more radiation cycles and, therefore, emit more radiation. More laser energy can then be converted to radiation rather than, e.g., ion expansion energy resulting in a higher CE of incident laser energy into EUV light. The magnetic field and the mechanism 318 used to create it can be conveniently arranged to encompass within an appropriate part of the field the ignition site and to allow the target, e.g., a droplet and the irradiation laser beam access to the ignition site. The laser plasma region formed when the laser beam irradiates and ignites the target droplet being in the magnetic field according to an embodiment ofthe present invention. While typically the field may be about 1 Tesla a range of between about 0.2 and 10 Tesla is contemplated by applicants. The field may be generated using the above noted permanent magnets or in the above described pulsed fashion using, e.g., a high (kilo-ampere) pulsed current through a conducting coil as discussed above. Such a pulse generated magnetic field may be generated, e.g., on a microsecond scale of time and be made to remain essentially constant throughout the time of the irradiation of the target droplet by the incoming laser pulse, e.g., on the order of e.g., several tens of ns. During that time, e.g., the plasma expansion across magnetic field lines is slowed and motion along the field lines is not substantially slowed, the net effect perhaps inducing plasma instabilities which are outweighed, e.g., by increases in CE. Higher magnetic pressure, e.g., increases the collision frequency in the plasma, which can cause, e.g., a smaller volume hotter plasma than without the maignetic field. Consequently more radiation in the EUV and otherwise is emitted according to the target material and plasma characteristics. One possible embodiment is to use a transverse magnetic, field, e.g., as shown in FIG. 8 A. Another is to used a strong ring magnet or magnetic coil around and near the ignition site which can generate, e.g., magnetic field lines along the target droplet propagation path and, e.g., lead to axial confinement in the vicinity of the ignition site 28. A preferred embodiment is a configuration, e.g., as shown in FIG.'s 8C, E, F and G, e.g., in which is formed a magnetic trap, e.g., where target ions with traverse (to the target droplet path, illustrated, e.g., in FIG. 8C) are confined.
According to an aspect of an embodiment of the present invention, the magnetic field creation mechanism, e.g., the poles of a permanent magnet, e.g., 326, 328 may have to be relatively close to the LPP, with, e.g., about a 10mm gap between poles. It may be, e.g., difficult, if not impossible, to create a high enough magnetic field strength, e.g., through long distances between the poles. This need for a close approach component, can, e.g., detract from one of the greatest advantages of the LPP, an absence of electrode erosion, or in this case, e.g., permanent magnet erosion. Applicants have examined, e.g., the nozzle distance for assured positional stability which, appears to be on the order of about 50mm. To mitigate erosion problems with these components, e.g., nozzle and permanent magnets, applicants propose to, e.g., accept erosion but to cause the erosion to be of an acceptable material, e.g., by coating all close-approach elements with, e.g., molybdenum or ruthenium. In this manner, e.g., the eroded material from these components, which might fall on the collector mirror, will not rapidly degrade the mirror reflectivity. Also, e.g., these two materials are expected to have high resistance to sputter by lithium ions.
Turning now to FIG. 9 there is shown a block diagram of an aspect of an embodiment ofthe present invention regarding feedback and control, providing, e.g., six degrees of feedback and control, i.e., three axis control for steering the target droplets and three axis control for steering the laser. It will be understood that the laser beam may be steered, e.g., utilizing beam pointing and positioning controls, e.g., those used in laser bean delivery units, e.g., as described in co-pending applications Ser. No. 10/739,961, GAS DISCHARGE LASER LIGHT SOURCE BEAM DELIVERY UNIT, filed on December 17, 2003, Attorney Docket No. 2003- 0082-01, Ser. No. 10/712,688, LASER LITHOGRAPHY SOURCE WITH BEAM DELIVERY, filed on November 12, 2003, Attorney docket No. 2002-0039-06, and 10/425,361, LITHOGRAPHY LASER WITH BEAM DELIVERY AND BEAM POINTING CONTROL, filed on April 29, 2003, Attorney Docket No. 2003-0040- 01. FIG. 9 is an illustration schematically and in block diagram format various control loops employed according to aspects of an embodiment of the present invention. There are, e.g., several different actuators that can be utilized in an EUV light source according to aspects of an embodiment ofthe present invention that can, e.g., be used in a control system configuration. At repetition rates of, e.g., lOKHz, the droplets will be arriving at one every 100 microseconds, and traveling at about 10-30 m/s, so that the laser beam will have to be time to irradiate a desired target ignition point at the same rate. The laser beam may be focused to be slightly larger than the target droplet, which droplet may be about 10-50 μm in diameter, with some degree of aiming tolerance, e.g., ±lOμm, however the higher the degree of error tolerance embodied in the beam focus size, the lower the power irradiating the droplet target, which decreases in a square function. The droplet, to the extent it continues to move at all during the irradiation period, however, will only move several tenths of a nanometer. One set of actuators may include, e.g., x and y axis magnetic fields, which may be generated, e.g., by sets of electrodes or coils (not shown), e.g., contained in a target steering and acceleration mechanism 360 used to create magnetic fields which can, e.g., steer a target, e.g., a lithium droplet 94 to the correct intersection point with the laser beam (the desired ignition point). This could be implemented, e.g., with one set of electrodes (not shown), but other implementations might use multiple sets of these to give better trajectory control. In addition there may be a set of electrodes (not shown) that create, e.g., a z axis magnetic field, e.g., used to accelerate the target, e.g., a lithium droplet along the z-axis. It will be understood that this aiming and acceleration function may also be implemented with electric coils arranged in the path ofthe droplet to deflect the droplet, e.g., towards or away from a respective coil and/or accelerate along the length of a coil. The acceleration and deflection may be magnetic in initiation or electrostatic, as is understood in the art. Target steering may employ techniques such as discussed in M. Orme et al., "Charged molten metal droplet deposition as a direct write technology," MRS Spring Meeting, San Francisco (2001) and Orme et al., "Electrostatic charging and deflection of nonconventional droplet streams formed from capillary stream breakup," Physics of Fluids, Vol. 12, No. 9 (September 2000), pp. 2224-2235, the disclosures of which are hereby incorporated by reference.
The droplets may, e.g., be charged, e.g., by placing a charge ring around the nozzle 220 of the target delivery system 24. Since the droplets are small, the charge distribution over the droplet may be considered to be relatively uniform, however charge will tend to accumulate at points of higher curvature, so that droplet distortions, if any may alter the charge distribution. To account for this, according to an aspect of an embodiment of the present invention, the droplets may be passed through a differential charge analyzer (not shown) intermediate the target delivery system 24 and the steering and acceleration mechanism 360, which may comprise, e.g., a pair of electrodes that deflect the droplet in opposite directions, which the differential in deflection being a measure of charge non-uniformity. This differential in displacement may be detected using detectors (not shown) as discussed in the present application. The amount of charge non-uniformity may be used by the system 350 to control the x and y deflection ofthe droplet in the steering and acceleration mechanism 360 and z-axis acceleration as well.
According to aspects of an embodiment of the present invention the laser beam system 22, the two chamber excimer laser source containing an MO 44 and a PA 48, could be operated, e.g., in voltage control mode, with the control system 350 controller 362 can, e.g., provide voltage commands to the MO and PA acting as actuators, to regulate the energy out of the EUV source 20. Alternatively, the MOPA 22 could be operated, e.g., in a constant energy mode, in which case, the control system could provide energy commands to the MOPA that could, e.g., be actuators used to regulate the energy out of the EUV source 20. The control system could provide a laser trigger signal to the laser system 22 to act as an actuator providing the laser pulse, in order, e.g., to control the arrival time of the laser pulses at the desired droplet ignition site. As a further alternative the laser may be controlled from the controller 362 by sending, e.g., firing control signals directly to the MOPA TEM utilized in laser timing control systems in MOPA laser products sold by applicants' assignee, e.g., XLA laser models. The voltage control and output energy control signals may be commanded in unison or separately.
Along with and/or in addition to the tracking an position detection sensors discussed above, according to aspects of an embodiment of the present invention, e.g., several different sensors can be made available in the EUV source 20 control system 350 illustrated in FIG. 9, e.g., to be used in a control configuration. By way of example, sets of photo cells, e.g., a first x axis photocell array 364 and a second x axis photocell array 365 and a first y axis photocell array 366 and a second y axis photocell array 367, e.g., may be arranged perpendicular to the droplet path and may be used, e.g., to determine the droplet trajectory, e.g., by determining x and y position of the droplet, e.g., as compared to a predicted x and y axis position at the point of the x and y photocell arrays 364, 366 after leaving the target delivery system 24, or, alternatively, by detecting the x and y positions of the droplet at the arrays 364, 366 and at the arrays 365, 367, and comparing the two, knowing the distance between the two. Neither the x and y photo-arrays 364, 366 not the x and y photocell arrays 365, 367 need to be co-planar, but they may conveniently be so. Another alternative is to use respective arrays to determine droplet arrival time at the sensor 364, 365, 366 and/or 367, which may be used, e.g., as a z plane crossing indication . These detectors 364-367 could be implemented, e.g., by side imaging lasers, as explained above. They may be read, e.g., once per droplet passage, and can provide as an output, e.g., an integrated value of the light detected by each photocell in the array, e.g., over a selected time period, with, e.g., the peak of the inverse of these illumination intensities indicating a location on the photodiode array, which may only be twenty or so photodiodes (pixels) in length, indicative of the position ofthe center ofthe droplet in the axis along which the photodiode array is oriented. It will be understood that this peak in the time domain, or perhaps the leading or trailing edge of the spectrum of the integrated signals from the photodiode array may also indicate a z plane crossing time.
In addition, alternatively, e.g., one or more z axis lasers, e.g., a HeNe laser as discussed above can be used, e.g., to measure the time when a droplet crosses the beam, e.g., 370, 372, 374 or 376 which may comprise a planar beam oriented in the plane of the z-axis, i.e., in the direction of transverse to travel of the target, e.g., a droplet of lithium, from the target dispensing system 24 to the ignition site 28. There may, according to aspects of an embodiment of the present invention be multiple such z-axis detection planes, e.g., 370, 372, 374 and 376, e.g., used to control the timing of pulses applied to the magnetic fields, e.g., contained in the droplet steering and acceleration mechanism 360. The magnetic fields could, e.g., be pulsed a fixed interval after the droplet crosses the plane of a respective beam, e.g., 370, 372. Additionally, there could be beams, e.g., 374, 376 positioned closer to the desired ignition point. Crossing this beam 374 could be used, e.g., to cause the lasers system 22 to be triggered at a programmed interval or intervals after beam crossing. The crossings of a plurality of z axis laser planes, e.g., 370, 372 and 374 can also be used, e.g., to determine droplet speed. Detectors 364a, 366a and 365a, 367a may be used, e.g., for trajectory and/or speed detection below the steering and acceleration mechanism 360. It will also be understood that, e.g., only one plane crossing may need to be used for laser triggering and, e.g., the laser control system 64 itself can be used to control the timing between the MO and the PA to effectively deliver a laser pulse to the ignition site 28 timed to arrive concurrently with the target 94, at some defined time interval after such trigger signal, as is well understood in the art of MOPA laser timing control systems.
According to aspects of an embodiment ofthe present invention, e.g., when a droplet moves through the plane of an x or y axis photocell array, e.g., 364, 366, the voltage cell will produce a voltage pattern on the outputs of the photocells, e.g., indicating a level of light intensity at each individual photocell (not shown) and this information may, e.g., be provided to the controller 362. An algorithm can then be used by the controller 362 to turn this information into a droplet position, e.g., in the x and y planes as noted above. Given state of the art available photocell arrays of affordable cost and acceptable resolution, the algorithm will have to, e.g., achieve measurement precision higher than the pitch of the photocells (not shown) in the array, e.g., 364, 366, as is understood in the field of utilization of such photo-diode arrays in the field of laser wavelength and bandwidth detection. Additionally, according to aspects of an embodiment of the present invention the algorithm may also be able to measure droplet size and droplet deformation, e.g., by utilizing the outputs ofthe x, y detectors, e.g., 364, 366, e.g., to detect droplet width in two axes.
According to an aspect of an embodiment of the present invention there may be, e.g., two possible stages of position control. In the first stage, x and y photocell arrays 364, 366, 365, 367, may be used, e.g., to determine the z plane position of the droplet prior to entering the x and y axis magnetic field electrodes in the droplet steering and acceleration mechanism 360, along with the droplet trajectory. This information may then be used by the control system 362 to, e.g., adjust the sizes of the fields applied at the electrodes (not shown), e.g., for the current droplet and perhaps also for the next subsequent droplet. In a second stage, e.g., x and y photocell arrays 364a, 366a may be used, e.g., to determine the position of the droplet after it has passed through the x and y axis magnetic fields in the droplet steering and acceleration mechanism, and, e.g., just prior to intersection with the laser beam at the desired ignition site. This information may, e.g., be used to adjust the x and y magnetic fields, e.g., for successive shots, e.g., to adjust for any position error in the droplet previously arriving at the ignition site.
The z-axis laser plane detectors 374, 376 or the x and y axis photocell arrays, 364a and 366a, and 365a and 367a, or a combination thereof may also be used, e.g., to determine the droplet speed and trajectory, e.g., after leaving the Z-axis magnetic field in the droplet steering and acceleration mechanism 360. This can then be used, e.g., to adjust the z-axis magnetic fields for successive targets, e.g., based upon detected target position/speed error for a droplet in a prior shot arriving at the desired ignition site. In addition, e.g., a pair detectors (not shown), e.g., only including a single photo-diode element (pixel) may be illuminated by a respective pair of beans one passing through a point at or very near the desired target ignition site and one just above that, e.g., to detect target speed as close to the desired target ignition site as possible, e.g., by the leading edge of the droplet blocking each of these two respective detectors (not shown). This may be used, e.g., to indicate speed changes occurring in the target droplets at or very near the desired target ignition site, e.g., due to influences of the creation of a plasma by ignition of a prior droplet target, magnetic field influences or the like.
A dither may also, e.g., be is applied to the energy setpoint for, e.g., the excimer target irradiating laser. The dither signal can be either random or periodic. The dither may, e .g., be correlated with the EUV output energy to determine the sensitivity of EUV output to the energy output of the plasma forming laser. This information may be used, e.g., to scale the commands in the plasma forming laser energy control loop to keep the loop gain constant.
According to an aspect of an embodiment of the present invention there may be two laser systems 22 each providing a laser pulse to the desired ignition site, time to arrive simultaneously, in which event, arrival time of each laser pulse to a point just prior to droplet intersection may be measured. This value may, e.g., be used to adjust the trigger time of each laser relative to the droplet crossing the final z-axis laser beam plane. Also in the case of the use of two lasers 22, independent dither signals may be applied to the trigger times of each of the excimer lasers 22. These dithers may be correlated with the EUV output, e.g., in order to determine the sensitivity of the EUV energy to the trigger time of each excimer laser. The trigger time of each excimer laser may then independently adjusted to drive the sensitivity to zero and thus maximize EUV efficiency.
According to another aspect of an embodiment of the present invention the above mentioned sensors may be used to determine a target position and trajectory and to predict a desired ignition site in the possible paths of the laser pulse and provide feedback to control either the aiming of the laser 22 into the laser positioning and focusing optics (not shown) or the aiming of the laser positioning and focusing optics (not shown) or utilizing beam pointing as discussed above, for purposes of causing an intersection and irradiation of the respective droplet by the laser beam pulse at a predicted desired intersection point (predicted desired ignition site), which, e.g., may be different from a prior ignition site, but still within an acceptable distance from the focus of the collector 30 to not significantly detract from the collected EUV light. This may also be done in a relatively slow feedback loop, i.e., not on a shot by shot basis, to correct for system indications of a slow drift of the average arrival time and position at the target ignition site. Thus over time, e.g., due to changes in the operating environment within the vessel, e.g., buffer gas pressure, the desired target ignition site may move slightly, staying within, e.g., about a ±lOμm position error from the focus of the collector 30 and still generate EUV light at acceptable levels. The system just described may be used to detect this change over time and to redirect the focus of the laser to the new desired target ignition point, assuming that the steering mechanism due to environmental changes is not able on average to direct the target droplets to the original target ignition point, e.g., at the focus ofthe collector 30.
Target delivery may also be accomplished utilizing techniques such as those disclosed in co-pending U.S. Application Ser. No. 10/409,254, EXTREME ULTRAVIOLET LIGHT SOURCE, filed on April 8, 2003, Attorney Docket No. 2002-0030-01, the disclosure of which is hereby incorporated by reference.
Turning now to FIG. 10 there is shown aspects of an embodiment of the present invention comprising an input window 380 formed in a wall of the chamber vessel 26 and through which the laser bean 146 enters to reach the ignition point 28. The window 380 may be, e.g., heated to, e.g., remove, e.g., by evaporation debris that plates onto the window, e.g., lithium, tin or xenon atoms from the plasma. The window 380 may be heated, e.g., by a heating element, e.g., by use of an external heating fixture attached to the metal body of the window 380 mounting flange or a heat lamp 382, e.g., an infrared heat lamp, which may, e.g., be reflected onto the window 380 by a mirror 384. The 384, as is shown in FIG. 10, may, e.g., be facing away from the laser plasma in order to avoid that mirror 384 surface being in a direct line of sight to the plasma. This can, e.g., prevent particle impact from the plasma onto this mirror 384 reflective surface.
Those skilled in the art will appreciate that many modifications and changes may be made to the above described aspects of embodiments of the present invention and the appended claims should not be interpreted to be limited only to the disclosed embodiments, but to include such embodiments and equivalents thereof.

Claims

CLAIMS WE CLAIM:
1. An EUV light source comprising: a pulsed laser providing laser pulses at a selected pulse repetition rate focused at a desired target ignition site; a target formation system providing discrete targets at a selected interval coordinated with the laser pulse repetition rate; a target steering system intermediate the target formation system and the desired target ignition site; a target tracking system providing information about the movement of target between the target formation system and the target steering system, enabling the target steering system to direct the target to the desired target ignition site.
2. The apparatus of claim 1 further comprising: the target tracking system providing information enabling the creation of a laser firing control signal.
3. The apparatus of claim 1 further comprising: the target tracking system comprising: a droplet detector comprising a collimated light source directed to intersect a point on a projected delivery path ofthe target, having a respective oppositely disposed light detector detecting the passage ofthe target through the respective point.
4. The apparatus of claim 2 further comprising: the target tracking system comprising: a droplet detector comprising a collimated light source directed to intersect a point on a projected delivery path ofthe target, having a respective oppositely disposed light detector detecting the passage ofthe target through the respective point.
5. The apparatus of claim 1 further comprising: the target tracking system comprising: a droplet detector comprising a collimated light source and a detector comprising a linear array of a plurality of photo-sensitive elements aligned to a coordinate axis, the light from the light source intersecting a projected delivery path ofthe target.
6. The apparatus of claim 2 further comprising: the target tracking system comprising: a droplet detector comprising a collimated light source and a detector comprising a linear array of a plurality of photo-sensitive elements aligned to a coordinate axis, the light from the light source intersecting a projected delivery path ofthe target.
7. The apparatus of claim 3 further comprising: at least one ofthe droplet detectors comprises a plane-intercept detection device.
8. The apparatus of claim 4 further comprising: at least one ofthe droplet detectors comprises a plane-intercept detection device.
9. The apparatus of claim 5 further comprising: at least one ofthe droplet detectors comprises a plane-intercept detection device.
10. The apparatus of claim 6 further comprising: at least one ofthe droplet detectors comprises a plane-intercept detection device.
11. The apparatus of claim 3 further comprising: the droplet detectors comprise a plurality of droplet detectors each operating at a different light frequency.
12. The apparatus of claim 4 further comprising: the droplet detector comprises a plurality of droplet detectors each operating at a different light frequency.
13. The apparatus of claim 1, further comprising: the target tracking system comprising: a droplet detector comprising a camera having a field of view and a two dimensional array of pixels imaging the field of view.
14. The apparatus of claim 2, further comprising: the target tracking system comprising: a droplet detector comprising a camera having a field of view and a two dimensional array of pixels imaging the field of view.
15. A laser produced plasma EUV source comprising: an electrostatic plasma containment apparatus providing an electric plasma confinement field at or near a target ignition site at the time of ignition.
16. The apparatus of claim 15 further comprising: a target tracking system providing a signal enabling control ofthe electrostatic plasma containment apparatus.
17. An EUV light source comprising: a vessel; an EUV producing plasma generator; a collector focusing produced EUV light to an intermediate focus at one end ofthe vessel; an intermediate wall within the vessel between the plasma generator and the intermediate focus, the intermediate wall having an EUV light passage therein and separating the vessel into a zone of a first pressure and a zone of a second pressure; the EUV opening having therein a low pressure trap comprising passages for focused EUV light and constructed to maintain the pressure drop across the low pressure trap due to the difference between the first pressure and the second pressure.
18. The apparatus of claim 17 further comprising: the low pressure trap comprises a section of a solid sphere having focused fine light passages formed therein.
19. An EUV light source having a discrete target formation system providing targets at regular intervals, comprising: a first target tracking system providing outputs indicative ofthe tracking of a target from the target formation system, the target tracking system outputs comprising a target position and trajectory; a target steering system; a feedback and control system utilizing target position and trajectory outputs to provide inputs to the target steering system to enable the target steering system to steer the target to a desired target ignition site.
20. The apparatus of claim 19 further comprising: a second target tracking system providing outputs indicative ofthe tracking of a target from the target steering system; the feedback and control system utilizing the outputs ofthe second target tracking system to generate a laser firing control signal.
21. The apparatus of claim 19 further comprising: the target steering system comprises a target aiming mechanism and a target acceleration mechanism.
22. The apparatus of claim 20 further comprising: the target steering system comprises a target aiming mechanism and a target acceleration mechanism.
23. The apparatus of claim 19 further comprising: the first and second target tracking systems comprising an x and a y axis position detector and a z plane passage detector respectively intermediate the target delivery system and the target steering system and the target steering system and the desired target ignition site.
24. The apparatus of claim 20 further comprising: the first and second target tracking systems comprising an x and a y axis position detector and a z plane passage detector respectively intermediate the target delivery system and the target steering system and the target steering system and the desired target ignition site.
25. The apparatus of claim 21 further comprising: the first and second target tracking systems comprising an x and a y axis position detector and a z plane passage detector respectively intermediate the target delivery system and the target steering system and the target steering system and the desired target ignition site.
26. An EUV light source comprising a moving target plasma source and a pulsed laser plasma beam formation mechanism, wherein the respective target and the pulsed laser beam intersect at a desired target ignition site with an accuracy of about ±10 μm, to create a plasma comprising: a magnetic plasma confinement mechanism creating a magnetic field in the vicinity ofthe target ignition site to confine the plasma to the target ignition site.
27. An EUV light source comprising a moving target plasma source and a pulsed laser plasma beam formation mechanism, wherein the respective target and the pulsed laser beam must intersect at a desired target ignition site with an accuracy of about ±10 μm, to create a plasma, comprising: a pulsed magnetic plasma containment mechanism creating a magnetic field in the vicinity ofthe target ignition site substantially coinciding with the existence of the plasma to contain the plasma to the target ignition site during the existence ofthe plasma.
28. The apparatus of claim 26 further comprising: a target tracking system providing information enabling the control ofthe magnetic plasma confinement mechanism.
29. The apparatus of claim 27 further comprising: a target tracking system providing information enabling the control ofthe magnetic plasma confinement mechanism.
30. An EUV light source comprising: a pulsed laser means for providing laser pulses at a selected pulse repetition rate focused at a desired target ignition site; a target formation means for forming discrete targets at a selected interval coordinated with the laser pulse repetition rate; a target steering means intermediate the target formation means and the desired target ignition site; a target tracking means for providing information about the movement of target between the target formation means and the target steering means, and for enabling the target steering means to direct the target to the desired target ignition site.
31. The apparatus of claim 30 further comprising: the target tracking means including means for providing information enabling the creation of a laser firing control signal.
32. The apparatus of claim 30 further comprising: the target tracking means comprising: a droplet detector comprising a collimated light source directed to intersect a point on a projected delivery path ofthe target, having a respective oppositely disposed light detector detecting the passage ofthe target through the respective point.
33. The apparatus of claim 31 further comprising: the target tracking means comprising: a droplet detector comprising a collimated light source directed to intersect a point on a projected delivery path ofthe target, having a respective oppositely disposed light detector detecting the passage ofthe target through the respective point.
34. The apparatus of claim 30 further comprising: the target tracking means comprising: a droplet detector comprising a collimated light source and a detector comprising a linear array of a plurality of photo-sensitive elements aligned to a coordinate axis, the light from the light source intersecting a projected delivery path ofthe target.
35. The apparatus of claim 31 further comprising: the target tracking means comprising: a droplet detector comprising a collimated light source and a detector comprising a linear array of a plurality of photo-sensitive elements aligned to a coordinate axis, the light from the light source intersecting a projected delivery path ofthe target.
36. The apparatus of claim 32 further comprising: at least one ofthe droplet detectors comprises a plane-intercept detection device.
37. The apparatus of claim 33 further comprising: at least one ofthe droplet detectors comprises a plane-intercept detection device.
38. The apparatus of claim 34 further comprising: at least one ofthe droplet detectors comprises a plane-intercept detection device.
39. The apparatus of claim 35 further comprising: at least one ofthe droplet detectors comprises a plane-intercept detection device.
40. The apparatus of claim 32 further comprising: the droplet detectors comprise a plurality of droplet detectors each operating at a different light frequency.
41. The apparatus of claim 33 further comprising: the droplet detector comprises a plurality of droplet detectors each operating at a different light frequency.
42. The apparatus of claim 30, further comprising: the target tracking means comprising: a droplet detector comprising a camera having a field of view and a two dimensional array of pixels imaging the field of view.
43. The apparatus of claim 31, further comprising: the target tracking means comprising: a droplet detector comprising a camera having a field of view and a two dimensional array of pixels imaging the field of view.
44. A laser produced plasma EUV source comprising: an electrostatic plasma containment apparatus providing an electric plasma confinement field at or near a target ignition site at the time of ignition.
45. The apparatus of claim 44 further comprising: a target tracking means including a means for providing a signal enabling control ofthe electrostatic plasma containment apparatus.
46. An EUV light source comprising: a vessel; an EUV producing plasma generating means; a collector focusing produced EUV light to an intermediate focus at one end of the vessel; an intermediate wall within the vessel between the plasma generator and the intermediate focus, the intermediate wall having an EUV light passage therein and separating the vessel into a zone of a first pressure and a zone of a second pressure; the EUV opening having therein a low pressure trap means comprising passages for focused EUV light and means for maintaining the pressure drop across the low pressure trap due to the difference between the first pressure and the second pressure.
47. The apparatus of claim 46 further comprising: the low pressure trap means comprises a section of a solid sphere having focused fine light passages formed therein.
48. An EUV light source having a discrete target formation means for forming targets at regular intervals, comprising: a first target tracking means for providing outputs indicative ofthe tracking of a target from the target formation means, the target tracking means outputs comprising a target position and trajectory; a target steering means; a feedback and control means for utilizing target position and trajectory outputs to provide inputs to the target steering means to enable the target steering means to steer the target to a desired target ignition site.
49. The apparatus of claim 48 further comprising: a second target tracking means providing outputs indicative ofthe tracking of a target from the target steering system; the feedback and control means utilizing the outputs ofthe second target tracking system for generating a laser firing control signal.
50. The apparatus of claim 48 further comprising: the target steering means comprises a target aiming means and a target acceleration means.
51. The apparatus of claim 49 further comprising: the target steering means comprises a target aiming means and a target acceleration means.
52. The apparatus of claim 48 further comprising: the first and second target tracking means comprising an x and a y axis position detector and a z plane passage detector respectively intermediate the target delivery system and the target steering mechanism and the target steering mechanism and the desired target ignition site.
53. The apparatus of claim 49 further comprising: the first and second target tracking means comprising an x and a y axis position detector and a z plane passage detector respectively intermediate the target delivery system and the target steering mechanism and the target steering mechanism and the desired target ignition site.
54. The apparatus of claim 50 further comprising: the first and second target tracking means comprising an x and a y axis position detector and a z plane passage detector respectively intermediate the target delivery system and the target steering mechanism and the target steering mechanism and the desired target ignition site.
55. An EUV light source comprising a moving target plasma source and a pulsed laser plasma beam formation mechanism, wherein the respective target and the pulsed laser beam intersect at a desired target ignition site with an accuracy of about ±10 μm, to create a plasma comprising: a magnetic plasma confinement means for creating a magnetic field in the vicinity ofthe target ignition site to confine the plasma to the target ignition site.
56. An EUV light source comprising a moving target plasma source and a pulsed laser plasma beam formation mechanism, wherein the respective target and the pulsed laser beam must intersect at a desired target ignition site with an accuracy of about ±10 μm, to create a plasma, comprising: a pulsed magnetic plasma containment means for creating a magnetic field in the vicinity ofthe target ignition site substantially coinciding with the existence of the plasma to contain the plasma to the target ignition site during the existence ofthe plasma.
57. The apparatus of claim 55 further comprising: a target tracking system providing information enabling the control ofthe magnetic plasma confinement mechanism.
58. The apparatus of claim 56 further comprising: a target tracking system providing information enabling the control ofthe magnetic plasma confinement mechanism.
59. An EUV light producing method comprising: utilizing a pulsed laser, providing laser pulses at a selected pulse repetition rate focused at a desired target ignition site; forming discrete targets at a selected interval coordinated with the laser pulse repetition rate; utilizing a target steering system intermediate the formation ofthe target and the desired target ignition site; utilizing a target tracking system providing information about the movement of target between the target formation and the target steering system, and for enabling the target steering system to direct the target to the desired target ignition site.
60. The method of claim 59 further comprising: utilizing the target tracking system, providing information enabling the creation of a laser firing control signal.
61. The method of claim 59 further comprising: the target tracking system comprising: a droplet detector comprising a collimated light source directed to intersect a point on a projected delivery path ofthe target, having a respective oppositely disposed light detector detecting the passage ofthe target through the respective point.
62. The method of claim 60 further comprising: the target tracking system comprising: a droplet detector comprising a collimated light source directed to intersect a point on a projected delivery path ofthe target, having a respective oppositely disposed light detector detecting the passage ofthe target through the respective point.
63. The method of claim 59 further comprising: the target tracking system comprising: a droplet detector comprising a collimated light source and a detector comprising a linear array of a plurality of photo-sensitive elements aligned to a coordinate axis, the light from the light source intersecting a projected delivery path ofthe target.
64. The method of claim 60 further comprising: the target tracking system comprising: a droplet detector comprising a collimated light source and a detector comprising a linear array of a plurality of photo-sensitive elements aligned to a coordinate axis, the light from the light source intersecting a projected delivery path of the target.
65. The method of claim 61 further comprising: at least one of the droplet detectors comprises a plane-intercept detection device.
66. The method of claim 62 further comprising: at least one ofthe droplet detectors comprises a plane-intercept detection device.
67. The method of claim 63 further comprising: at least one ofthe droplet detectors comprises a plane-intercept detection device.
68. The method of claim 64 further comprising: at least one ofthe droplet detectors comprises a plane-intercept detection device.
69. The method of claim 61 further comprising: the droplet detectors comprise a plurality of droplet detectors each operating at a different light frequency.
70. The method of claim 62 further comprising: the droplet detector comprises a plurality of droplet detectors each operating at a different light frequency.
71. The method of claim 59, further comprising: the target tracking system comprising: a droplet detector comprising a camera having a field of view and a two dimensional array of pixels imaging the field of view.
72. The method of claim 60, further comprising: the target tracking system comprising: a droplet detector comprising a camera having a field of view and a two dimensional array of pixels imaging the field of view.
73. A laser produced plasma EUV light producing method comprising: utilizing an electrostatic plasma containment apparatus, providing an electric plasma confinement field at or near a target ignition site at the time of ignition.
74. The method of claim 73 further comprising: utilizing a target tracking system, providing a signal enabling control ofthe electrostatic plasma containment apparatus.
75. An EUV light producing method comprising: utilizing a plasma producing vessel having an intermediate wall within the vessel between having an EUV light passage therein and separating the vessel into a zone of a first pressure and a zone of a second pressure; providing in the wall a low pressure trap comprising passages for focused EUV light and maintaining the pressure drop across the low pressure trap due to the difference between the first pressure and the second pressure.
76. The method of claim 75 further comprising: the low pressure trap comprises a section of a solid sphere having focused fine light passages formed therein.
77. An EUV light producing means utilizing a discrete target formation system forming targets at regular intervals, comprising: utilizing a first target tracking system, providing outputs indicative ofthe tracking of a target from the target formation system, the target tracking system outputs comprising a target position and trajectory; utilizing a target steering system; utilizing a feedback and control system, utilizing the target position and trajectory outputs to provide inputs to the target steering system to enable the target steering system to steer the target to a desired target ignition site.
78. The method of claim 77 further comprising: utilizing a second target tracking system, providing outputs indicative ofthe tracking of a target from the target steering system; utilizing the feedback and control system, utilizing the outputs ofthe second target tracking system for generating a laser firing control signal.
79. The method of claim 77 further comprising: the target steering system comprises a target aiming mechanism and a target acceleration mechanism.
80. The method of claim 78 further comprising: the target steering system comprises a target aiming means and a target acceleration means.
81. The method of claim 77 further comprising: the first and second target tracking systems comprising an x and a y axis position detector and a z plane passage detector respectively intermediate the target delivery system and the target steering mechanism and the target steering mechanism and the desired target ignition site.
82. The method of claim 78 further comprising: the first and second target tracking systems comprising an x and a y axis position detector and a z plane passage detector respectively intermediate the target delivery system and the target steering mechanism and the target steering mechanism and the desired target ignition site.
83. The apparatus of claim 79 further comprising: the first and second target tracking systems comprising an x and a y axis position detector and a z plane passage detector respectively intermediate the target delivery system and the target steering mechanism and the target steering mechanism and the desired target ignition site.
84. An EUV light producing method comprising using a moving target plasma source and a pulsed laser plasma beam formation mechanism, wherein the respective target and the pulsed laser beam intersect at a desired target ignition site with an accuracy of about ±10 μm, to create a plasma comprising: utilizing a magnetic plasma confinement mechanism, creating a magnetic field in the vicinity ofthe target ignition site to confine the plasma to the target ignition site.
85. An EUV light producing method comprising using a moving target plasma source and a pulsed laser plasma beam formation mechanism, wherein the respective target and the pulsed laser beam must intersect at a desired target ignition site with an accuracy of about ±10 μm, to create a plasma, comprising: utilizing a pulsed magnetic plasma containment mechanism, creating a magnetic field in the vicinity ofthe target ignition site substantially coinciding with the existence ofthe plasma to contain the plasma to the target ignition site during the existence ofthe plasma.
86. The method of claim 84 further comprising: utilizing a target tracking system, providing information enabling the control ofthe magnetic plasma confinement mechanism.
87. The apparatus of claim 85 further comprising: utilizing a target tracking system providing information enabling the control ofthe magnetic plasma confinement mechanism.
88. An LPP EUV light source comprising: a collector mirror comprising a multi-layer reflecting surface; at least one component in closed enough proximity to the plasma produced in the LPP EUV light source to be eroded by the effects ofthe plasma; a coating on the at least one component that is not damaging to the multilayer reflecting surface if sputtered onto the multi-layer reflective surface.
89. The apparatus of claim 88 further comprising: the multi-layer reflecting surface is coated with the same coating as the at least one component.
90. The apparatus of claim 88 further comprising: the multi -layer reflecting surface includes layers ofthe same material as the coating ofthe at least one component.
91. An LPP EUV light source comprising: an LPP EUV chamber; a driving laser producing a driving laser beam directed at a target to produce within the chamber the plasma for the LPP EUV light source; an input window through which the driving laser beam enters the chamber; a heater element heating the input window.
92. An LPP EUV light source comprising: a target formation system comprising a nozzle from which a target droplet or a liquid stream that eventually forms a target droplet is ejected along a target formation axis; a target tracking system detecting the position ofthe target droplet at one or more positions in the target flight path intermediate the nozzle and the vicinity of a a desired target ignition site coordinated with the arrival of an irradiating beam at the desired target ignition site and detecting an error in that flight path and/or an error in the position ofthe target droplet vis-a-vis the desired target ignition site at the arrival time ofthe irradiating beam; a target formation system tilting mechanism tilting the target formation axis based upon the detected error to decrease the error in a subsequent target droplet position vis-a-vis the desired target ignition site at the arrival time ofthe irradiating beam.
PCT/US2005/007056 2004-03-17 2005-03-03 A high repetition rate laser produced plasma euv light source WO2005089130A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
EP05724572.2A EP1726028B1 (en) 2004-03-17 2005-03-03 A high repetition rate laser produced plasma euv light source
JP2007503939A JP5139055B2 (en) 2004-03-17 2005-03-03 Plasma EUV light source generating high repetition rate laser
KR1020067019050A KR101118995B1 (en) 2004-03-17 2005-03-03 A high repetition rate laser produced plasma euv light source

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/803,526 2004-03-17
US10/803,526 US7087914B2 (en) 2004-03-17 2004-03-17 High repetition rate laser produced plasma EUV light source

Publications (2)

Publication Number Publication Date
WO2005089130A2 true WO2005089130A2 (en) 2005-09-29
WO2005089130A3 WO2005089130A3 (en) 2006-02-09

Family

ID=34985273

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/007056 WO2005089130A2 (en) 2004-03-17 2005-03-03 A high repetition rate laser produced plasma euv light source

Country Status (6)

Country Link
US (4) US7087914B2 (en)
EP (1) EP1726028B1 (en)
JP (1) JP5139055B2 (en)
KR (1) KR101118995B1 (en)
TW (2) TWI276270B (en)
WO (1) WO2005089130A2 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007207574A (en) * 2006-02-01 2007-08-16 Komatsu Ltd Extreme ultraviolet-ray light source device
JP2007266234A (en) * 2006-03-28 2007-10-11 Komatsu Ltd Target supply device
JP2007273239A (en) * 2006-03-31 2007-10-18 Komatsu Ltd Extreme ultraviolet light source device
EP1867218A2 (en) * 2005-02-25 2007-12-19 Cymer, Inc. (A Nevada Corporation) Method and apparatus for euv plasma source target delivery
JP2008016753A (en) * 2006-07-10 2008-01-24 Komatsu Ltd Extreme ultraviolet optical source equipment
JP2010541022A (en) * 2007-10-08 2010-12-24 アプライド マテリアルズ インコーポレイテッド Fast phase scrambling of coherent beams using plasma.
EP2202779A3 (en) * 2008-12-27 2011-11-23 Ushio Denki Kabushiki Kaisha Light source
JP2013033757A (en) * 2012-11-05 2013-02-14 Gigaphoton Inc Extreme ultraviolet light source device
US9480136B2 (en) 2013-04-30 2016-10-25 Ushio Denki Kabushiki Kaisha Extreme UV radiation light source device
US10588211B2 (en) 2013-11-15 2020-03-10 Asml Netherlands B.V. Radiation source having debris control
US11226565B2 (en) 2018-03-28 2022-01-18 Gigaphoton Inc. Extreme ultraviolet light generating system and electronic device manufacturing method

Families Citing this family (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7856044B2 (en) 1999-05-10 2010-12-21 Cymer, Inc. Extendable electrode for gas discharge laser
US7897947B2 (en) 2007-07-13 2011-03-01 Cymer, Inc. Laser produced plasma EUV light source having a droplet stream produced using a modulated disturbance wave
US7916388B2 (en) 2007-12-20 2011-03-29 Cymer, Inc. Drive laser for EUV light source
US7491954B2 (en) * 2006-10-13 2009-02-17 Cymer, Inc. Drive laser delivery systems for EUV light source
US7372056B2 (en) * 2005-06-29 2008-05-13 Cymer, Inc. LPP EUV plasma source material target delivery system
US7439530B2 (en) * 2005-06-29 2008-10-21 Cymer, Inc. LPP EUV light source drive laser system
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
US7928416B2 (en) * 2006-12-22 2011-04-19 Cymer, Inc. Laser produced plasma EUV light source
US8653437B2 (en) 2010-10-04 2014-02-18 Cymer, Llc EUV light source with subsystem(s) for maintaining LPP drive laser output during EUV non-output periods
US7671349B2 (en) * 2003-04-08 2010-03-02 Cymer, Inc. Laser produced plasma EUV light source
US8654438B2 (en) 2010-06-24 2014-02-18 Cymer, Llc Master oscillator-power amplifier drive laser with pre-pulse for EUV light source
US7217940B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Collector for EUV light source
US20060146906A1 (en) * 2004-02-18 2006-07-06 Cymer, Inc. LLP EUV drive laser
JP2005235959A (en) * 2004-02-18 2005-09-02 Canon Inc Light emitting device and aligner
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
US7164144B2 (en) * 2004-03-10 2007-01-16 Cymer Inc. EUV light source
JP4574211B2 (en) * 2004-04-19 2010-11-04 キヤノン株式会社 Light source device and exposure apparatus having the light source device
US20060020634A1 (en) * 2004-07-20 2006-01-26 International Business Machines Corporation Method, system and program for recording changes made to a database
JP4578901B2 (en) * 2004-09-09 2010-11-10 株式会社小松製作所 Extreme ultraviolet light source device
JP5100990B2 (en) * 2004-10-07 2012-12-19 ギガフォトン株式会社 Driver laser for extreme ultraviolet light source device and LPP type extreme ultraviolet light source device
JP2006128342A (en) * 2004-10-28 2006-05-18 Canon Inc Exposure device, light source device and manufacturing method for device
US7109503B1 (en) * 2005-02-25 2006-09-19 Cymer, Inc. Systems for protecting internal components of an EUV light source from plasma-generated debris
US7329884B2 (en) * 2004-11-08 2008-02-12 Nikon Corporation Exposure apparatus and exposure method
US8093530B2 (en) * 2004-11-19 2012-01-10 Canon Kabushiki Kaisha Laser cutting apparatus and laser cutting method
US7885387B2 (en) * 2004-12-17 2011-02-08 Osaka University Extreme ultraviolet light and X-ray source target and manufacturing method thereof
US7196343B2 (en) * 2004-12-30 2007-03-27 Asml Netherlands B.V. Optical element, lithographic apparatus including such an optical element, device manufacturing method, and device manufactured thereby
CN101002305A (en) * 2005-01-12 2007-07-18 株式会社尼康 Laser plasma EUV light source, target material, tape material, a method of producing target material, a method of providing targets, and an EUV exposure device
US7445319B2 (en) * 2005-02-22 2008-11-04 Synergy Innovations, Inc. System and method for creating liquid droplet impact forced collapse of laser nanoparticle nucleated cavities for controlled nuclear reactions
DE102005014433B3 (en) * 2005-03-24 2006-10-05 Xtreme Technologies Gmbh Method and device for the efficient generation of short-wave radiation based on a laser-generated plasma
US20090296755A1 (en) * 2005-11-01 2009-12-03 Cymer, Inc. Laser system
US7920616B2 (en) * 2005-11-01 2011-04-05 Cymer, Inc. Laser system
US7746913B2 (en) * 2005-11-01 2010-06-29 Cymer, Inc. Laser system
US7999915B2 (en) * 2005-11-01 2011-08-16 Cymer, Inc. Laser system
US7715459B2 (en) * 2005-11-01 2010-05-11 Cymer, Inc. Laser system
US7643529B2 (en) 2005-11-01 2010-01-05 Cymer, Inc. Laser system
US20090296758A1 (en) * 2005-11-01 2009-12-03 Cymer, Inc. Laser system
US7778302B2 (en) * 2005-11-01 2010-08-17 Cymer, Inc. Laser system
JP5506194B2 (en) * 2005-11-01 2014-05-28 サイマー インコーポレイテッド Laser system
US7885309B2 (en) 2005-11-01 2011-02-08 Cymer, Inc. Laser system
US8513629B2 (en) 2011-05-13 2013-08-20 Cymer, Llc Droplet generator with actuator induced nozzle cleaning
US20070215575A1 (en) * 2006-03-15 2007-09-20 Bo Gu Method and system for high-speed, precise, laser-based modification of one or more electrical elements
US8536549B2 (en) * 2006-04-12 2013-09-17 The Regents Of The University Of California Light source employing laser-produced plasma
JP5162113B2 (en) * 2006-08-07 2013-03-13 ギガフォトン株式会社 Extreme ultraviolet light source device
KR101312625B1 (en) * 2006-11-03 2013-10-01 삼성전자주식회사 Apparatus and method for tracking gesture
US8071963B2 (en) * 2006-12-27 2011-12-06 Asml Netherlands B.V. Debris mitigation system and lithographic apparatus
JP5358060B2 (en) * 2007-02-20 2013-12-04 ギガフォトン株式会社 Extreme ultraviolet light source device
JP4867712B2 (en) * 2007-02-27 2012-02-01 株式会社ニコン Exposure apparatus, device manufacturing method, and exposure method
US8198611B2 (en) * 2007-04-02 2012-06-12 Globalfoundries Inc. Laser beam formatting module and method for fabricating semiconductor dies using same
US7763871B2 (en) * 2008-04-02 2010-07-27 Asml Netherlands B.V. Radiation source
US7655925B2 (en) * 2007-08-31 2010-02-02 Cymer, Inc. Gas management system for a laser-produced-plasma EUV light source
US7812329B2 (en) * 2007-12-14 2010-10-12 Cymer, Inc. System managing gas flow between chambers of an extreme ultraviolet (EUV) photolithography apparatus
US20090095924A1 (en) * 2007-10-12 2009-04-16 International Business Machines Corporation Electrode design for euv discharge plasma source
NL1036768A1 (en) * 2008-04-29 2009-10-30 Asml Netherlands Bv Radiation source.
WO2009140270A2 (en) * 2008-05-13 2009-11-19 The Regents Of The University Of California System and method for light source employing laser-produced plasma
JP5758569B2 (en) * 2008-06-12 2015-08-05 ギガフォトン株式会社 Slab type laser equipment
JP5335298B2 (en) * 2008-06-20 2013-11-06 ギガフォトン株式会社 Extreme ultraviolet light source device and method of generating extreme ultraviolet light
JP2010062141A (en) * 2008-08-04 2010-03-18 Komatsu Ltd Extreme ultraviolet light source device
US8519366B2 (en) * 2008-08-06 2013-08-27 Cymer, Inc. Debris protection system having a magnetic field for an EUV light source
US9052615B2 (en) 2008-08-29 2015-06-09 Gigaphoton Inc. Extreme ultraviolet light source apparatus
NL1036803A (en) 2008-09-09 2010-03-15 Asml Netherlands Bv RADIATION SYSTEM AND LITHOGRAPHIC EQUIPMENT.
JP5587578B2 (en) 2008-09-26 2014-09-10 ギガフォトン株式会社 Extreme ultraviolet light source device and pulse laser device
JP5536401B2 (en) * 2008-10-16 2014-07-02 ギガフォトン株式会社 Laser device and extreme ultraviolet light source device
JP2010123929A (en) * 2008-10-24 2010-06-03 Gigaphoton Inc Extreme ultraviolet light source apparatus
JP5448775B2 (en) * 2008-12-16 2014-03-19 ギガフォトン株式会社 Extreme ultraviolet light source device
EP2380411B1 (en) 2008-12-16 2015-04-15 Philips Deutschland GmbH Method and device for generating euv radiation or soft x-rays with enhanced efficiency
US8232537B2 (en) * 2008-12-18 2012-07-31 Asml Netherlands, B.V. Radiation source, lithographic apparatus and device manufacturing method
NL2003777A (en) * 2009-01-08 2010-07-13 Asml Netherlands Bv Laser device.
JP5474522B2 (en) * 2009-01-14 2014-04-16 ギガフォトン株式会社 Extreme ultraviolet light source system
USRE45957E1 (en) 2009-03-27 2016-03-29 Cymer, Llc Regenerative ring resonator
US8014432B2 (en) * 2009-03-27 2011-09-06 Cymer, Inc. Regenerative ring resonator
US8138487B2 (en) * 2009-04-09 2012-03-20 Cymer, Inc. System, method and apparatus for droplet catcher for prevention of backsplash in a EUV generation chamber
US8304752B2 (en) * 2009-04-10 2012-11-06 Cymer, Inc. EUV light producing system and method utilizing an alignment laser
JP5603135B2 (en) * 2009-05-21 2014-10-08 ギガフォトン株式会社 Apparatus and method for measuring and controlling target trajectory in chamber apparatus
JPWO2010137625A1 (en) 2009-05-27 2012-11-15 ギガフォトン株式会社 Target output device and extreme ultraviolet light source device
JP2011023712A (en) 2009-06-19 2011-02-03 Gigaphoton Inc Euv light source device
NL2004837A (en) * 2009-07-09 2011-01-10 Asml Netherlands Bv Radiation system and lithographic apparatus.
WO2011013779A1 (en) * 2009-07-29 2011-02-03 株式会社小松製作所 Extreme ultraviolet light source, method for controlling extreme ultraviolet light source, and recording medium in which program therefor is recorded
JP2011054376A (en) * 2009-09-01 2011-03-17 Ihi Corp Lpp type euv light source and generation method of the same
EP2475229A4 (en) * 2009-09-01 2015-03-18 Ihi Corp Plasma light source
US8173985B2 (en) * 2009-12-15 2012-05-08 Cymer, Inc. Beam transport system for extreme ultraviolet light source
US8000212B2 (en) * 2009-12-15 2011-08-16 Cymer, Inc. Metrology for extreme ultraviolet light source
KR101790074B1 (en) * 2010-02-09 2017-10-25 에이에스엠엘 네델란즈 비.브이. Radiation source, lithographic apparatus and device manufacturing method
KR101748461B1 (en) 2010-02-09 2017-06-16 에너제틱 테크놀로지 아이엔씨. Laser-driven light source
JP2013004258A (en) * 2011-06-15 2013-01-07 Gigaphoton Inc Extreme ultraviolet light generation device and extreme ultraviolet light generation method
US9265136B2 (en) 2010-02-19 2016-02-16 Gigaphoton Inc. System and method for generating extreme ultraviolet light
US9113540B2 (en) 2010-02-19 2015-08-18 Gigaphoton Inc. System and method for generating extreme ultraviolet light
JP2011192965A (en) 2010-02-22 2011-09-29 Komatsu Ltd Chamber apparatus and extreme ultraviolet light generating device
JP5687488B2 (en) 2010-02-22 2015-03-18 ギガフォトン株式会社 Extreme ultraviolet light generator
JP5701618B2 (en) * 2010-03-04 2015-04-15 ギガフォトン株式会社 Extreme ultraviolet light generator
WO2011116898A1 (en) * 2010-03-25 2011-09-29 Eth Zurich Steering device for controlling the direction and/or velocity of droplets of a target material and extreme euv source with such a steering device
EP2550564B1 (en) * 2010-03-25 2015-03-04 ETH Zurich A beam line for a source of extreme ultraviolet (euv) radiation
JP5765759B2 (en) 2010-03-29 2015-08-19 ギガフォトン株式会社 Extreme ultraviolet light generation apparatus and method
US9072153B2 (en) * 2010-03-29 2015-06-30 Gigaphoton Inc. Extreme ultraviolet light generation system utilizing a pre-pulse to create a diffused dome shaped target
US9072152B2 (en) 2010-03-29 2015-06-30 Gigaphoton Inc. Extreme ultraviolet light generation system utilizing a variation value formula for the intensity
US8263953B2 (en) 2010-04-09 2012-09-11 Cymer, Inc. Systems and methods for target material delivery protection in a laser produced plasma EUV light source
US9066412B2 (en) 2010-04-15 2015-06-23 Asml Netherlands B.V. Systems and methods for cooling an optic
US9110377B2 (en) * 2010-09-08 2015-08-18 Asml Netherlands B.V. Lithographic apparatus, EUV radiation generation apparatus and device manufacturing method
US8462425B2 (en) 2010-10-18 2013-06-11 Cymer, Inc. Oscillator-amplifier drive laser with seed protection for an EUV light source
JP5802465B2 (en) 2010-10-29 2015-10-28 ギガフォトン株式会社 Droplet generation and detection device, and droplet control device
US8810902B2 (en) 2010-12-29 2014-08-19 Asml Netherlands B.V. Multi-pass optical apparatus
EP2668652A2 (en) * 2011-01-28 2013-12-04 Lawrence Livermore National Security, LLC Final beam transport system
US8399868B2 (en) * 2011-02-15 2013-03-19 Sematech Inc. Tools, methods and devices for mitigating extreme ultraviolet optics contamination
US8633459B2 (en) 2011-03-02 2014-01-21 Cymer, Llc Systems and methods for optics cleaning in an EUV light source
JP2012199512A (en) * 2011-03-10 2012-10-18 Gigaphoton Inc Extreme ultraviolet light generation apparatus and extreme ultraviolet light generation method
US8604452B2 (en) 2011-03-17 2013-12-10 Cymer, Llc Drive laser delivery systems for EUV light source
US9516730B2 (en) 2011-06-08 2016-12-06 Asml Netherlands B.V. Systems and methods for buffer gas flow stabilization in a laser produced plasma light source
KR20130015144A (en) * 2011-08-02 2013-02-13 삼성디스플레이 주식회사 Deposition source, apparatus for organic layer deposition and method for manufacturing of organic light emitting display apparatus using the same
JP6047573B2 (en) 2011-09-02 2016-12-21 エーエスエムエル ネザーランズ ビー.ブイ. Radiation source
US9335637B2 (en) 2011-09-08 2016-05-10 Kla-Tencor Corporation Laser-produced plasma EUV source with reduced debris generation utilizing predetermined non-thermal laser ablation
JP5881345B2 (en) * 2011-09-13 2016-03-09 ギガフォトン株式会社 Extreme ultraviolet light generator
JP6081711B2 (en) * 2011-09-23 2017-02-15 エーエスエムエル ネザーランズ ビー.ブイ. Radiation source
JP6021454B2 (en) * 2011-10-05 2016-11-09 ギガフォトン株式会社 Extreme ultraviolet light generation apparatus and extreme ultraviolet light generation method
JP6125525B2 (en) 2011-12-06 2017-05-10 エーエスエムエル ネザーランズ ビー.ブイ. Radiation source
US9279445B2 (en) 2011-12-16 2016-03-08 Asml Netherlands B.V. Droplet generator steering system
WO2013124101A2 (en) * 2012-02-22 2013-08-29 Asml Netherlands B.V. Fuel stream generator, source collector apparatus and lithographic apparatus
US8598552B1 (en) * 2012-05-31 2013-12-03 Cymer, Inc. System and method to optimize extreme ultraviolet light generation
EP2870834B1 (en) * 2012-07-06 2017-02-01 ETH Zürich Method for controlling an interaction between droplet targets and a laser and apparatus for conducting said method
JP6087105B2 (en) * 2012-10-23 2017-03-01 ギガフォトン株式会社 Extreme ultraviolet light generator
WO2014120985A1 (en) * 2013-01-30 2014-08-07 Kla-Tencor Corporation Euv light source using cryogenic droplet targets in mask inspection
FR3002720B1 (en) * 2013-02-27 2015-04-10 Ecole Polytech DEVICE FOR MAGNETIZATION OF LASER PLASMA BY MAGNETIC FIELD PULSE
JP6168797B2 (en) * 2013-03-08 2017-07-26 ギガフォトン株式会社 Extreme ultraviolet light generator
US9699876B2 (en) * 2013-03-14 2017-07-04 Asml Netherlands, B.V. Method of and apparatus for supply and recovery of target material
KR102214861B1 (en) * 2013-03-15 2021-02-10 에이에스엠엘 네델란즈 비.브이. Beam position control for an extreme ultraviolet light source
US9000405B2 (en) 2013-03-15 2015-04-07 Asml Netherlands B.V. Beam position control for an extreme ultraviolet light source
US8872144B1 (en) * 2013-09-24 2014-10-28 Asml Netherlands B.V. System and method for laser beam focus control for extreme ultraviolet laser produced plasma source
JP6364002B2 (en) 2013-05-31 2018-07-25 ギガフォトン株式会社 Extreme ultraviolet light generation system
US9544984B2 (en) 2013-07-22 2017-01-10 Kla-Tencor Corporation System and method for generation of extreme ultraviolet light
GB2505315B (en) 2013-08-07 2014-08-06 Rofin Sinar Uk Ltd Optical amplifier arrangement
WO2015029137A1 (en) * 2013-08-27 2015-03-05 ギガフォトン株式会社 Extreme ultraviolet light generation apparatus and extreme ultraviolet light generation system
WO2015041260A1 (en) 2013-09-17 2015-03-26 ギガフォトン株式会社 Extreme ultraviolet light generation device
IL234727B (en) 2013-09-20 2020-09-30 Asml Netherlands Bv Laser-operated light source in an optical system corrected for aberrations and method of designing the optical system
IL234729B (en) 2013-09-20 2021-02-28 Asml Netherlands Bv Laser-operated light source and method including mode scrambler
US9497840B2 (en) * 2013-09-26 2016-11-15 Asml Netherlands B.V. System and method for creating and utilizing dual laser curtains from a single laser in an LPP EUV light source
US9241395B2 (en) * 2013-09-26 2016-01-19 Asml Netherlands B.V. System and method for controlling droplet timing in an LPP EUV light source
DE102013224583A1 (en) * 2013-11-29 2015-06-03 Carl Zeiss Smt Gmbh Measuring arrangement for use in the trajectory determination of flying objects
US9301382B2 (en) 2013-12-02 2016-03-29 Asml Netherlands B.V. Apparatus for and method of source material delivery in a laser produced plasma EUV light source
WO2015097794A1 (en) * 2013-12-25 2015-07-02 ギガフォトン株式会社 Extreme ultraviolet light generation apparatus
US10186416B2 (en) 2014-05-15 2019-01-22 Excelitas Technologies Corp. Apparatus and a method for operating a variable pressure sealed beam lamp
US9741553B2 (en) 2014-05-15 2017-08-22 Excelitas Technologies Corp. Elliptical and dual parabolic laser driven sealed beam lamps
WO2015175760A1 (en) 2014-05-15 2015-11-19 Excelitas Technologies Corp. Laser driven sealed beam lamp
JP6252358B2 (en) * 2014-05-27 2017-12-27 ウシオ電機株式会社 Extreme ultraviolet light source device
US9544986B2 (en) 2014-06-27 2017-01-10 Plex Llc Extreme ultraviolet source with magnetic cusp plasma control
US9155178B1 (en) * 2014-06-27 2015-10-06 Plex Llc Extreme ultraviolet source with magnetic cusp plasma control
US9609731B2 (en) 2014-07-07 2017-03-28 Media Lario Srl Systems and methods for synchronous operation of debris-mitigation devices
US9301381B1 (en) 2014-09-12 2016-03-29 International Business Machines Corporation Dual pulse driven extreme ultraviolet (EUV) radiation source utilizing a droplet comprising a metal core with dual concentric shells of buffer gas
US9392679B2 (en) * 2014-12-05 2016-07-12 Globalfoundries Inc. Method, apparatus and system for using free-electron laser compatible EUV beam for semiconductor wafer processing
CN104502624B (en) * 2014-12-08 2017-11-21 天津大学 A kind of device of using plasma signal measuring Laser Driven Flyer Plates speed
US10686290B2 (en) 2015-02-19 2020-06-16 Asml Netherlands B.V. Radiation source
JP6678180B2 (en) * 2015-03-03 2020-04-08 エーエスエムエル ネザーランズ ビー.ブイ. Radiation sensor device
NL2016358A (en) * 2015-03-18 2016-09-30 Asml Netherlands Bv A radiation system and method.
US10008378B2 (en) 2015-05-14 2018-06-26 Excelitas Technologies Corp. Laser driven sealed beam lamp with improved stability
US10057973B2 (en) 2015-05-14 2018-08-21 Excelitas Technologies Corp. Electrodeless single low power CW laser driven plasma lamp
US9576785B2 (en) 2015-05-14 2017-02-21 Excelitas Technologies Corp. Electrodeless single CW laser driven xenon lamp
US9538628B1 (en) * 2015-06-11 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for EUV power improvement with fuel droplet trajectory stabilization
JP6676066B2 (en) 2015-11-05 2020-04-08 ギガフォトン株式会社 Extreme ultraviolet light generator
WO2017187571A1 (en) * 2016-04-27 2017-11-02 ギガフォトン株式会社 Extreme ultraviolet light sensor unit and extreme ultraviolet light generation device
US9941034B2 (en) 2016-05-10 2018-04-10 Honeywell Federal Manufacturing & Technologies, Llc Direct write dispensing apparatus and method
US9476841B1 (en) * 2016-06-14 2016-10-25 OOO “Isteq B.V.” High-brightness LPP EUV light source
US10149375B2 (en) * 2016-09-14 2018-12-04 Asml Netherlands B.V. Target trajectory metrology in an extreme ultraviolet light source
WO2018172012A1 (en) * 2017-03-20 2018-09-27 Asml Netherlands B.V. Lithographic system, euv radiation source, lithographic scanning apparatus and control system
CN107063403B (en) * 2017-03-31 2021-01-15 上海大学 Automatic detection device and method for metering precision of mechanical water meter
US10524345B2 (en) * 2017-04-28 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Residual gain monitoring and reduction for EUV drive laser
US10585215B2 (en) 2017-06-29 2020-03-10 Cymer, Llc Reducing optical damage on an optical element
JP2021500603A (en) * 2017-10-19 2021-01-07 サイマー リミテッド ライアビリティ カンパニー Forming multiple spatial images with a single lithography exposure pass
JP7225224B2 (en) 2017-10-26 2023-02-20 エーエスエムエル ネザーランズ ビー.ブイ. System for monitoring plasma
US10165664B1 (en) * 2017-11-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for decontaminating windows of an EUV source module
US10109473B1 (en) 2018-01-26 2018-10-23 Excelitas Technologies Corp. Mechanically sealed tube for laser sustained plasma lamp and production method for same
US10568195B2 (en) * 2018-05-30 2020-02-18 Kla-Tencor Corporation System and method for pumping laser sustained plasma with a frequency converted illumination source
US10976674B2 (en) 2018-08-17 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for detecting EUV pellicle rupture
KR20210035427A (en) 2019-09-24 2021-04-01 삼성전자주식회사 Extreme ultra violet generation apparatus
KR102120017B1 (en) * 2019-10-10 2020-06-05 문상호 Low-frequency therapeutic apparatus for treating insomnia
US20240039237A1 (en) * 2020-09-18 2024-02-01 Arizona Board Of Regents On Behalf Of The University Of Arizona Interleaved multi-pass optical amplifier
WO2023180017A1 (en) * 2022-03-23 2023-09-28 Asml Netherlands B.V. Euv light source target metrology
CN115151013B (en) * 2022-08-31 2022-11-25 兰州大学 Neutron capture irradiation system

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US168049A (en) * 1875-09-21 Improvement in gas apparatus
US68012A (en) * 1867-08-20 James swan
US163313A (en) * 1875-05-18 Improvement in cigar-box trays
US55364A (en) * 1866-06-05 Improvement in braces for bits
US219056A (en) * 1879-08-26 Improvement in batteries
US100882A (en) * 1870-03-15 Improvement in combined carriage, cradle, swing, and baby-walker
US6383A (en) * 1849-04-24 Machine fob
US2759106A (en) * 1951-05-25 1956-08-14 Wolter Hans Optical image-forming mirror system providing for grazing incidence of rays
US3279176A (en) * 1959-07-31 1966-10-18 North American Aviation Inc Ion rocket engine
US3150483A (en) * 1962-05-10 1964-09-29 Aerospace Corp Plasma generator and accelerator
US3232046A (en) * 1962-06-06 1966-02-01 Aerospace Corp Plasma generator and propulsion exhaust system
US3746870A (en) * 1970-12-21 1973-07-17 Gen Electric Coated light conduit
US3969628A (en) * 1974-04-04 1976-07-13 The United States Of America As Represented By The Secretary Of The Army Intense, energetic electron beam assisted X-ray generator
US4042848A (en) * 1974-05-17 1977-08-16 Ja Hyun Lee Hypocycloidal pinch device
US3946332A (en) * 1974-06-13 1976-03-23 Samis Michael A High power density continuous wave plasma glow jet laser system
US3961197A (en) * 1974-08-21 1976-06-01 The United States Of America As Represented By The United States Energy Research And Development Administration X-ray generator
US3960473A (en) * 1975-02-06 1976-06-01 The Glastic Corporation Die structure for forming a serrated rod
US4162160A (en) * 1977-08-25 1979-07-24 Fansteel Inc. Electrical contact material and method for making the same
US4143275A (en) * 1977-09-28 1979-03-06 Battelle Memorial Institute Applying radiation
US4203393A (en) * 1979-01-04 1980-05-20 Ford Motor Company Plasma jet ignition engine and method
JPS5756668A (en) * 1980-09-18 1982-04-05 Nissan Motor Co Ltd Plasma igniter
US4364342A (en) * 1980-10-01 1982-12-21 Ford Motor Company Ignition system employing plasma spray
USRE34806E (en) * 1980-11-25 1994-12-13 Celestech, Inc. Magnetoplasmadynamic processor, applications thereof and methods
US4538291A (en) * 1981-11-09 1985-08-27 Kabushiki Kaisha Suwa Seikosha X-ray source
US4618971A (en) * 1982-09-20 1986-10-21 Eaton Corporation X-ray lithography system
US4536884A (en) * 1982-09-20 1985-08-20 Eaton Corporation Plasma pinch X-ray apparatus
US4633492A (en) * 1982-09-20 1986-12-30 Eaton Corporation Plasma pinch X-ray method
US4504964A (en) * 1982-09-20 1985-03-12 Eaton Corporation Laser beam plasma pinch X-ray system
US4507588A (en) * 1983-02-28 1985-03-26 Board Of Trustees Operating Michigan State University Ion generating apparatus and method for the use thereof
DE3332711A1 (en) * 1983-09-10 1985-03-28 Fa. Carl Zeiss, 7920 Heidenheim DEVICE FOR GENERATING A PLASMA SOURCE WITH HIGH RADIATION INTENSITY IN THE X-RAY AREA
JPS60125673A (en) * 1983-12-13 1985-07-04 Canon Inc Liquid jet recorder
JPS60175351A (en) * 1984-02-14 1985-09-09 Nippon Telegr & Teleph Corp <Ntt> X rays generation device and x rays exposure method
US4561406A (en) * 1984-05-25 1985-12-31 Combustion Electromagnetics, Inc. Winged reentrant electromagnetic combustion chamber
US4837794A (en) * 1984-10-12 1989-06-06 Maxwell Laboratories Inc. Filter apparatus for use with an x-ray source
US4626193A (en) * 1985-08-02 1986-12-02 Itt Corporation Direct spark ignition system
US4774914A (en) * 1985-09-24 1988-10-04 Combustion Electromagnetics, Inc. Electromagnetic ignition--an ignition system producing a large size and intense capacitive and inductive spark with an intense electromagnetic field feeding the spark
CA1239486A (en) * 1985-10-03 1988-07-19 Rajendra P. Gupta Gas discharge derived annular plasma pinch x-ray source
CA1239487A (en) * 1985-10-03 1988-07-19 National Research Council Of Canada Multiple vacuum arc derived plasma pinch x-ray source
US4928020A (en) * 1988-04-05 1990-05-22 The United States Of America As Represented By The United States Department Of Energy Saturable inductor and transformer structures for magnetic pulse compression
DE3927089C1 (en) * 1989-08-17 1991-04-25 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De
US5102776A (en) * 1989-11-09 1992-04-07 Cornell Research Foundation, Inc. Method and apparatus for microlithography using x-pinch x-ray source
US5027076A (en) * 1990-01-29 1991-06-25 Ball Corporation Open cage density sensor
US5175755A (en) * 1990-10-31 1992-12-29 X-Ray Optical System, Inc. Use of a kumakhov lens for x-ray lithography
US5126638A (en) * 1991-05-13 1992-06-30 Maxwell Laboratories, Inc. Coaxial pseudospark discharge switch
US5142166A (en) * 1991-10-16 1992-08-25 Science Research Laboratory, Inc. High voltage pulsed power source
JPH0816720B2 (en) * 1992-04-21 1996-02-21 日本航空電子工業株式会社 Soft X-ray multilayer mirror
US5317574A (en) * 1992-12-31 1994-05-31 Hui Wang Method and apparatus for generating x-ray and/or extreme ultraviolet laser
US5411224A (en) * 1993-04-08 1995-05-02 Dearman; Raymond M. Guard for jet engine
US5313481A (en) * 1993-09-29 1994-05-17 The United States Of America As Represented By The United States Department Of Energy Copper laser modulator driving assembly including a magnetic compression laser
US5459771A (en) * 1994-04-01 1995-10-17 University Of Central Florida Water laser plasma x-ray point source and apparatus
US5448580A (en) * 1994-07-05 1995-09-05 The United States Of America As Represented By The United States Department Of Energy Air and water cooled modulator
US5504795A (en) * 1995-02-06 1996-04-02 Plex Corporation Plasma X-ray source
JP3041540B2 (en) * 1995-02-17 2000-05-15 サイマー・インコーポレーテッド Pulse power generation circuit and method for generating pulse power
US5830336A (en) * 1995-12-05 1998-11-03 Minnesota Mining And Manufacturing Company Sputtering of lithium
US6031241A (en) * 1997-03-11 2000-02-29 University Of Central Florida Capillary discharge extreme ultraviolet lamp source for EUV microlithography and other related applications
US5963616A (en) * 1997-03-11 1999-10-05 University Of Central Florida Configurations, materials and wavelengths for EUV lithium plasma discharge lamps
JP3385898B2 (en) * 1997-03-24 2003-03-10 安藤電気株式会社 Tunable semiconductor laser light source
US5936988A (en) * 1997-12-15 1999-08-10 Cymer, Inc. High pulse rate pulse power system
US5866871A (en) * 1997-04-28 1999-02-02 Birx; Daniel Plasma gun and methods for the use thereof
US6172324B1 (en) * 1997-04-28 2001-01-09 Science Research Laboratory, Inc. Plasma focus radiation source
US6744060B2 (en) 1997-05-12 2004-06-01 Cymer, Inc. Pulse power system for extreme ultraviolet and x-ray sources
US6452199B1 (en) * 1997-05-12 2002-09-17 Cymer, Inc. Plasma focus high energy photon source with blast shield
US5763930A (en) * 1997-05-12 1998-06-09 Cymer, Inc. Plasma focus high energy photon source
US6566668B2 (en) * 1997-05-12 2003-05-20 Cymer, Inc. Plasma focus light source with tandem ellipsoidal mirror units
US6566667B1 (en) * 1997-05-12 2003-05-20 Cymer, Inc. Plasma focus light source with improved pulse power system
US6064072A (en) * 1997-05-12 2000-05-16 Cymer, Inc. Plasma focus high energy photon source
US6815700B2 (en) 1997-05-12 2004-11-09 Cymer, Inc. Plasma focus light source with improved pulse power system
US6586757B2 (en) * 1997-05-12 2003-07-01 Cymer, Inc. Plasma focus light source with active and buffer gas control
US6580517B2 (en) * 2000-03-01 2003-06-17 Lambda Physik Ag Absolute wavelength calibration of lithography laser using multiple element or tandem see through hollow cathode lamp
US6567450B2 (en) * 1999-12-10 2003-05-20 Cymer, Inc. Very narrow band, two chamber, high rep rate gas discharge laser system
US6285743B1 (en) * 1998-09-14 2001-09-04 Nikon Corporation Method and apparatus for soft X-ray generation
JP2000091096A (en) 1998-09-14 2000-03-31 Nikon Corp X-ray generator
JP2000098098A (en) * 1998-09-21 2000-04-07 Nikon Corp X-ray generator
US6031598A (en) * 1998-09-25 2000-02-29 Euv Llc Extreme ultraviolet lithography machine
WO2000025322A1 (en) * 1998-10-27 2000-05-04 Jmar Technology Co. Shaped source of soft x-ray, extreme ultraviolet and ultraviolet radiation
JP2000188198A (en) * 1998-12-21 2000-07-04 Agency Of Ind Science & Technol Laser plasma x-ray source device
US6333775B1 (en) * 1999-01-13 2001-12-25 Euv Llc Extreme-UV lithography vacuum chamber zone seal
US6549551B2 (en) 1999-09-27 2003-04-15 Cymer, Inc. Injection seeded laser with precise timing control
US6625191B2 (en) 1999-12-10 2003-09-23 Cymer, Inc. Very narrow band, two chamber, high rep rate gas discharge laser system
US6493323B1 (en) * 1999-05-14 2002-12-10 Lucent Technologies Inc. Asynchronous object oriented configuration control system for highly reliable distributed systems
JP2001052653A (en) * 1999-08-05 2001-02-23 Toshiba Corp Ultraviolet ray generating device
JP4329177B2 (en) * 1999-08-18 2009-09-09 株式会社ニコン X-ray generator, projection exposure apparatus and exposure method provided with the same
KR20020033181A (en) * 1999-09-20 2002-05-04 토마스 엘. 무어헤드 Apparatus and method for generating ultraviolet radiation
US6317448B1 (en) * 1999-09-23 2001-11-13 Cymer, Inc. Bandwidth estimating technique for narrow band laser
US6831963B2 (en) * 2000-10-20 2004-12-14 University Of Central Florida EUV, XUV, and X-Ray wavelength sources created from laser plasma produced from liquid metal solutions
US6377651B1 (en) * 1999-10-11 2002-04-23 University Of Central Florida Laser plasma source for extreme ultraviolet lithography using a water droplet target
TWI246872B (en) * 1999-12-17 2006-01-01 Asml Netherlands Bv Radiation source for use in lithographic projection apparatus
TW508980B (en) * 1999-12-23 2002-11-01 Koninkl Philips Electronics Nv Method of generating extremely short-wave radiation, method of manufacturing a device by means of said radiation, extremely short-wave radiation source unit and lithographic projection apparatus provided with such a radiation source unit
TW502559B (en) 1999-12-24 2002-09-11 Koninkl Philips Electronics Nv Method of generating extremely short-wave radiation, method of manufacturing a device by means of said radiation, extremely short-wave radiation source unit and lithographic projection apparatus provided with such a radiation source unit
US6195272B1 (en) * 2000-03-16 2001-02-27 Joseph E. Pascente Pulsed high voltage power supply radiography system having a one to one correspondence between low voltage input pulses and high voltage output pulses
US6647086B2 (en) * 2000-05-19 2003-11-11 Canon Kabushiki Kaisha X-ray exposure apparatus
US6421421B1 (en) * 2000-05-22 2002-07-16 Plex, Llc Extreme ultraviolet based on colliding neutral beams
US6904073B2 (en) 2001-01-29 2005-06-07 Cymer, Inc. High power deep ultraviolet laser with long life optics
US6972421B2 (en) * 2000-06-09 2005-12-06 Cymer, Inc. Extreme ultraviolet light source
US7180081B2 (en) 2000-06-09 2007-02-20 Cymer, Inc. Discharge produced plasma EUV light source
JP2002006096A (en) 2000-06-23 2002-01-09 Nikon Corp Electromagnetic wave generating device, semiconductor manufacturing device using it, and manufacturing method therefor
US6576912B2 (en) * 2001-01-03 2003-06-10 Hugo M. Visser Lithographic projection apparatus equipped with extreme ultraviolet window serving simultaneously as vacuum window
US20020090054A1 (en) 2001-01-10 2002-07-11 Michael Sogard Apparatus and method for containing debris from laser plasma radiation sources
US7190707B2 (en) 2001-01-29 2007-03-13 Cymer, Inc. Gas discharge laser light source beam delivery unit
US6804327B2 (en) 2001-04-03 2004-10-12 Lambda Physik Ag Method and apparatus for generating high output power gas discharge based source of extreme ultraviolet radiation and/or soft x-rays
US7230964B2 (en) * 2001-04-09 2007-06-12 Cymer, Inc. Lithography laser with beam delivery and beam pointing control
US6396900B1 (en) * 2001-05-01 2002-05-28 The Regents Of The University Of California Multilayer films with sharp, stable interfaces for use in EUV and soft X-ray application
DE10151080C1 (en) 2001-10-10 2002-12-05 Xtreme Tech Gmbh Device for producing extreme ultraviolet radiation used in the semiconductor industry comprises a discharge chamber surrounded by electrode housings through which an operating gas flows under a predetermined pressure
JP2003124526A (en) * 2001-10-11 2003-04-25 Taiwan Lite On Electronics Inc White light source manufacturing method
JP4320999B2 (en) * 2002-02-04 2009-08-26 株式会社ニコン X-ray generator and exposure apparatus
US7016388B2 (en) 2002-05-07 2006-03-21 Cymer, Inc. Laser lithography light source with beam delivery
US6792076B2 (en) * 2002-05-28 2004-09-14 Northrop Grumman Corporation Target steering system for EUV droplet generators
DE10305701B4 (en) * 2003-02-07 2005-10-06 Xtreme Technologies Gmbh Arrangement for generating EUV radiation with high repetition rates
US7217940B2 (en) 2003-04-08 2007-05-15 Cymer, Inc. Collector for EUV light source
US7002443B2 (en) 2003-06-25 2006-02-21 Cymer, Inc. Method and apparatus for cooling magnetic circuit elements

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
H. PANT: "Behavior of Expanding Laser Produced Plasma in a Magnetic Field", PHYSICA SCRIPTA, vol. T75, 1998, pages 104 - 111
See also references of EP1726028A4
TILLMACK: "Magnetic Confinement of LPP, UCSD Report and Abramova", TORNADO TRAP

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1867218A4 (en) * 2005-02-25 2011-07-06 Cymer Inc A Nevada Corp Method and apparatus for euv plasma source target delivery
EP1867218A2 (en) * 2005-02-25 2007-12-19 Cymer, Inc. (A Nevada Corporation) Method and apparatus for euv plasma source target delivery
JP2007207574A (en) * 2006-02-01 2007-08-16 Komatsu Ltd Extreme ultraviolet-ray light source device
JP2007266234A (en) * 2006-03-28 2007-10-11 Komatsu Ltd Target supply device
JP2007273239A (en) * 2006-03-31 2007-10-18 Komatsu Ltd Extreme ultraviolet light source device
JP2008016753A (en) * 2006-07-10 2008-01-24 Komatsu Ltd Extreme ultraviolet optical source equipment
JP2010541022A (en) * 2007-10-08 2010-12-24 アプライド マテリアルズ インコーポレイテッド Fast phase scrambling of coherent beams using plasma.
EP2202779A3 (en) * 2008-12-27 2011-11-23 Ushio Denki Kabushiki Kaisha Light source
KR101343178B1 (en) 2008-12-27 2013-12-19 에너제틱 테크놀로지 아이엔씨. Light source apparatus
JP2013033757A (en) * 2012-11-05 2013-02-14 Gigaphoton Inc Extreme ultraviolet light source device
US9480136B2 (en) 2013-04-30 2016-10-25 Ushio Denki Kabushiki Kaisha Extreme UV radiation light source device
US9686846B2 (en) 2013-04-30 2017-06-20 Ushio Denki Kabushiki Kaisha Extreme UV radiation light source device
US10588211B2 (en) 2013-11-15 2020-03-10 Asml Netherlands B.V. Radiation source having debris control
US11226565B2 (en) 2018-03-28 2022-01-18 Gigaphoton Inc. Extreme ultraviolet light generating system and electronic device manufacturing method

Also Published As

Publication number Publication date
US20050205811A1 (en) 2005-09-22
WO2005089130A3 (en) 2006-02-09
US7087914B2 (en) 2006-08-08
JP5139055B2 (en) 2013-02-06
JP2007529869A (en) 2007-10-25
KR20060125903A (en) 2006-12-06
TWI305477B (en) 2009-01-11
US20070029511A1 (en) 2007-02-08
TW200534750A (en) 2005-10-16
US20080197297A1 (en) 2008-08-21
KR101118995B1 (en) 2012-03-12
US20050205810A1 (en) 2005-09-22
US7361918B2 (en) 2008-04-22
TW200536217A (en) 2005-11-01
EP1726028A2 (en) 2006-11-29
US7525111B2 (en) 2009-04-28
EP1726028B1 (en) 2014-05-21
US7317196B2 (en) 2008-01-08
TWI276270B (en) 2007-03-11
EP1726028A4 (en) 2010-12-08

Similar Documents

Publication Publication Date Title
EP1726028B1 (en) A high repetition rate laser produced plasma euv light source
EP2870834B1 (en) Method for controlling an interaction between droplet targets and a laser and apparatus for conducting said method
JP4917014B2 (en) EUV light source
US7335900B2 (en) Radiation generating device, lithographic apparatus, device manufacturing method and device manufactured thereby
KR101052062B1 (en) Radiation systems and lithographic apparatus
WO2005091879A2 (en) Euv light source
US9665017B2 (en) Method for reducing contamination in extreme ultraviolet lithography light source
US11467498B2 (en) Extreme ultraviolet control system
US7462851B2 (en) Electromagnetic radiation source, lithographic apparatus, device manufacturing method and device manufactured thereby
JP2018500591A (en) EUV optics with facets
US11153959B2 (en) Apparatus and method for generating extreme ultraviolet radiation
JP2018536199A (en) EUV source chamber and gas flow mode for a lithographic apparatus, multilayer mirror, and lithographic apparatus
CN111566563A (en) System for monitoring plasma
US11166361B2 (en) Method and device for measuring contamination in EUV source
KR20220022472A (en) laser focusing module

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

WWE Wipo information: entry into national phase

Ref document number: 2007503939

Country of ref document: JP

Ref document number: 1020067019050

Country of ref document: KR

NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Country of ref document: DE

WWE Wipo information: entry into national phase

Ref document number: 2005724572

Country of ref document: EP

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWP Wipo information: published in national office

Ref document number: 2005724572

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020067019050

Country of ref document: KR