WO2006011996A2 - Methods and apparatus for the optimization of etch resistance in a plasma processing system - Google Patents

Methods and apparatus for the optimization of etch resistance in a plasma processing system Download PDF

Info

Publication number
WO2006011996A2
WO2006011996A2 PCT/US2005/021047 US2005021047W WO2006011996A2 WO 2006011996 A2 WO2006011996 A2 WO 2006011996A2 US 2005021047 W US2005021047 W US 2005021047W WO 2006011996 A2 WO2006011996 A2 WO 2006011996A2
Authority
WO
WIPO (PCT)
Prior art keywords
gas mixture
coat
plasma
plasma processing
substrate
Prior art date
Application number
PCT/US2005/021047
Other languages
French (fr)
Other versions
WO2006011996A3 (en
Inventor
Yoko Yamaguchi Adams
George Stojakovic
Alan Miller
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to KR1020077002286A priority Critical patent/KR101233453B1/en
Priority to JP2007519252A priority patent/JP5139059B2/en
Publication of WO2006011996A2 publication Critical patent/WO2006011996A2/en
Publication of WO2006011996A3 publication Critical patent/WO2006011996A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Definitions

  • the present invention relates in general to substrate manufacturing technologies and in particular to methods and apparatus for the optimization of etch resistance in a plasma processing system.
  • a substrate e.g., a semiconductor substrate or a glass panel such as one used in flat panel display manufacturing
  • plasma is often employed.
  • the substrate is divided into a plurality of dies, or rectangular areas, each of which will become an integrated circuit.
  • the substrate is " then processed in a series of steps in which materials are selectively removed (etching) and deposited.
  • control of the transistor gate critical dimension (CD) on the order of a few nanometers is a top priority, as each nanometer deviation from the target gate length may translate directly into the operational speed of these devices.
  • CD transistor gate critical dimension
  • a p-type epitaxial layer is generally disposed on the silicon substrate through chemical vapor deposition.
  • a nitride layer may then be deposited over the epi-layer, then masked and etched according to specific patterns, leaving behind exposed areas on the epi- layer (i.e., areas no longer covered by the nitride layer.) These exposed areas may then be masked again in specific patterns before being subjected to diffusion or ion implantation to receive dopants such as phosphorus, forming n-wells.
  • Deposition of a polysilicon layer over the wafer may then be done, to be followed by a masking/etching cycle to remove unwanted polysilicon areas, defining the polysilicon gates over the gate oxide of the p-channel transistors.
  • openings for the source and drain drive-ins are made on the n-wells by etching away oxide at the right locations.
  • Another round of mask/implant cycle may then follow, this time driving in boron dopants into new openings of the n-wells, forming the p-type sources and drains. This may then be followed by a mask/implant cycle to form the n-type sources and drains of the n- channel transistors in the p-type epi.
  • a photoresist mask is subsequently trimmed, using chemically dominant etch process. That is, a mask is created in which the CD of features are oversized when compared to the desired result (i.e., pre-etch CD).
  • the photoresist column is also laterally etched (i.e., parallel to the substrate) or trimmed, to create the final desired gate CD.
  • Controlling CD with soft photoresist is particularly difficult in sub- 100 nm lithographic environments. That is, the photoresist must be both thin enough to avoid collapse of the photoresist column, and thick enough to be consistent with the desired etch selectivity of the etched layer. However, if the photoresist column is disproportionately tall in comparison to its width (i.e., a height to width ratio of greater than 4), the etching process may damage the column, subsequently altering the electrical and functional characteristics of the substrate, and directly impacting substrate performance and manufacturing yield
  • False micro-loading occurs when artifacts of the substrate remain on the column trench floor, creating a physically uneven bottom surface. Random edge-stop occurs when column entrances are effectively blocked from the etchant gas during the etching process. In some circumstances, where the column is disproportionately tall and thin (i.e., a height to width ratio of greater than 4), an entire slice of the photoresist column may be accidentally removed or stripped. Additionally, the photoresist column may buckle, bend, or twist by non ⁇ uniform stress caused when the lateral etch rate is different than the longitudinal etch rate.
  • FIG. 1 a simplified diagram of plasma processing system components is shown.
  • an appropriate set of gases is flowed into chamber 102 through an inlet 108 from gas distribution system 122.
  • These plasma processing gases may be subsequently ionized to form a plasma 110, in order to process (e.g., etch or deposition) exposed areas of substrate 114, such as a semiconductor substrate or a glass pane, positioned with edge ring 115 on an electrostatic chuck 116.
  • liner 117 provides a thermal barrier between the plasma and the plasma processing chamber, as well as helping to optimize plasma 110 on substrate 114.
  • Gas distribution system 122 is commonly comprised of compressed gas cylinders 124a-f containing plasma processing gases (e.g., C 4 F 8 , C 4 F 6 , CHF 3 , CH 2 F 3 , CF 4 , HBr, CH 3 F, C 2 F 4 , N 2 , O 2 , Ar, Xe, He, H 2 , NH 3 , SF 6 , BCl 3 , Cl 2 , WF 6 , etc.). Gas cylinders 124a- f may be further protected by an enclosure 128 that provides local exhaust ventilation.
  • plasma processing gases e.g., C 4 F 8 , C 4 F 6 , CHF 3 , CH 2 F 3 , CF 4 , HBr, CH 3 F, C 2 F 4 , N 2 , O 2 , Ar, Xe, He, H 2 , NH 3 , SF 6 , BCl 3 , Cl 2 , WF 6 , etc.
  • Mass flow controllers 126a-f are commonly a self-contained devices (consisting of a transducer, control valve, and control and signal-processing electronics) commonly used in the semiconductor industry to measure and regulate the mass flow of gas to the plasma processing system.
  • Injector 109 introduces plasma processing gases 124 as an aerosol into chamber 102.
  • Induction coil 131 is separated from the plasma by a dielectric window 104, and generally induces a time- varying electric current in the plasma processing gases to create plasma 110.
  • the window both protects induction coil from plasma 110, and allows the generated RF field to penetrate into the plasma processing chamber.
  • matching network 132 Further coupled to induction coil 131 at leads 130a-b is matching network 132 that may be further coupled to RF generator 138.
  • Matching network 132 attempts to match the impedance of RF generator 138, which typically operates at 13.56 MHz and 50 ohms, to that of the plasma 110.
  • some type of cooling system is coupled to the chuck in order to achieve thermal equilibrium once the plasma is ignited.
  • the cooling system itself is usually comprised of a chiller that pumps a coolant through cavities in within the chuck, and helium gas pumped between the chuck and the substrate.
  • the helium gas also allows the cooling system to rapidly control heat dissipation. That is, increasing helium pressure subsequently also increases the heat transfer rate.
  • Most plasma processing systems are also controlled by sophisticated computers comprising operating software programs. In a typical operating environment, manufacturing process parameters (e.g., voltage, gas flow mix, gas flow rate, pressure, etc.) are generally configured for a particular plasma processing system and a specific recipe.
  • FIGS 2A-B an idealized cross-sectional view of the layer stack is shown, in which a set of gates are manufactured in a plasma process.
  • FIG. 2A illustrates an idealized cross-sectional view of the layer stack, representing the layers of an exemplar semiconductor IC, prior to a lithographic step.
  • terms such as "above” and “below,” which may be employed herein to discuss the spatial relationship among the layers, may, but need not always, denote a direct contact between the layers involved. It should be noted that other additional layers above, below, or between the layers shown may be present. Further, not all of the shown layers need necessarily be present and some or all may be substituted by other different layers.
  • a layer 204 comprising a semi ⁇ conductor, such as polysilicon.
  • a photoresist mask layer 208 Above layer 204 is disposed a photoresist mask layer 208 and a BARC layer 206 is that have been processed through lithography.
  • a photoresist mask pattern 216 is first lithographically created, and then chemically trimmed in order to create a set of gate trenches 202a-b.
  • FIG. 2B shows the cross-sectional view of the layer stack of FIG. 2 A after layer
  • the photoresist mask layer, as well and the underlying semi-conductor layer 204, are laterally trimmed by an etchant by an amount 210.
  • FIGS 3A-C an idealized cross-sectional view of the layer stack in which a disproportionately tall soft photoresist column has collapsed during the plasma process.
  • photoresist material may be generally removed either laterally (i.e., parallel to the substrate), or longitudinally (i.e. perpendicular to the substrate.)
  • the combination of lateral and longitudinal etching produces an effective diagonal etch vector that may remove a substantially large portion of the column, subsequently damaging the underlying substrate.
  • FIG. 3 A illustrates an idealized cross-sectional view of the layer stack, representing the layers of an exemplar semiconductor IC, prior to a lithographic step.
  • a layer 304 comprising a semi-conductor, such as polysilicon.
  • a photoresist mask layer 308 and a BARC layer 306 is that have been processed through lithography.
  • a photoresist mask pattern is created with a set of trenches 302a-c to create a set of gates.
  • FIG. 3B shows the cross-sectional view of the layer stack of FIG. 3 A after photoresist layer 308 has been etched in a plasma processing system.
  • the relatively thinner columns 316 have been substantially damaged 312 by the etching process. That is, instead of just being reduced in width, the columns are also catastrophically reduced in height.
  • FIG. 3 C shows the cross-sectional view of the layer stack of FIG. 3B after layer
  • FIGS 4A-C an idealized cross-sectional view of the layer stack in which a disproportionately tall soft photoresist column has twisted during the plasma process.
  • photoresist material may be generally removed either laterally (i.e., parallel to the substrate), or longitudinally (i.e. perpendicular to the substrate.) However, if the column width is sufficiently minimized, the combination of lateral and longitudinal etching may create stress on the column sufficient to twist or bend it.
  • FIG. 4 A illustrates an idealized cross-sectional view of the layer stack, representing the layers of an exemplar semiconductor IC, prior to a lithographic step.
  • a layer 404 comprising a semi-conductor, such as polysilicon.
  • a photoresist mask layer 408 Above layer 404 is disposed a photoresist mask layer 408 and a BARC layer 406 is that have been processed through lithography.
  • a photoresist mask pattern is created with a set of trenches 402a-c to create a set of gates.
  • FIG. 4B shows the cross-sectional view of the layer stack of FIG. 4 A after photoresist layer 408 has been etched in a plasma processing system.
  • the relatively thinner columns 416 have been substantially twisted 412 by the etching process, subsequently creating non-linear profiles in the underlying substrate 404.
  • the invention relates, in one embodiment, in a plasma processing system, including a plasma processing chamber, to a method of optimizing the etch resistance of a substrate material.
  • the method includes flowing pre-coat gas mixture into the plasma processing chamber, wherein the pre-coat gas mixture has an affinity for a etchant gas flow mixture; striking a first plasma from the pre-coat gas mixture; and introducing a substrate comprising the substrate material.
  • the method also includes flowing the etchant gas mixture into the plasma processing chamber; striking a second plasma from the etchant gas mixture; and etching the substrate with the second plasma.
  • the first plasma creates a pre-coat residual on a set of exposed surfaces in the plasma processing chamber, and the etch resistance of the substrate material is maintained.
  • FIG. 1 illustrates a simplified diagram of plasma processing system components
  • FIGS. 2A-2B illustrate a set of idealized cross-sectional views of a layer stack, representing the layers of an exemplar semiconductor IC
  • FIGS. 3 A-3C illustrate a set of idealized cross-sectional views of a layer stack, representing the layers of an exemplar semiconductor IC, in which the photoresist column has collapsed;
  • FIGS. 4A-4B illustrate a set of idealized cross-sectional views of a layer stack, representing the layers of an exemplar semiconductor IC, in which the photoresist column has twisted;
  • FIG. 5 illustrates a simplified diagram of plasma processing system with the addition of pre-coat, according to one embodiment of the invention.
  • FIGS. 6A-6B illustrate an idealized cross-sectional view of the layer stack in which a pre-coat has optimized the trim process, according to one embodiment of the invention.
  • FIG. 7 shows, in accordance with an embodiment of the present invention, a simplified process for etching a substrate in a plasma processing system to which a precoat has been added.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS [0038] The present invention will now be described in detail with reference to a few preferred embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to not unnecessarily obscure the present invention.
  • non-uniform stress on the photoresist column may cause it to buckle, bend, or twist.
  • facets or non-linear profiles may be created only the column wall, which tend to cause substantial defects in the underlying substrate.
  • an entire slice of the photoresist column may be accidentally removed or stripped, further damaging the CD profile and the substrate.
  • Traditional passivation techniques are generally ineffective because although the relative CD profile may be maintained, the absolute CD size may also be subsequently increased by the addition of a passivation layer.
  • the selectivity of the etchant can be optimized, as well as the integrity of the material being etched.
  • a dry cleaning, waferless cleaning, or preventive maintenance procedure is performed to remove micro-particles and residue polymers that are attached to the interior surfaces of the reaction chamber.
  • a dry cleaning, waferless cleaning, or preventive maintenance procedure is performed to remove micro-particles and residue polymers that are attached to the interior surfaces of the reaction chamber.
  • the effective amount of etchant radicals in the plasma may be reduced, thus optimizing the etch rate (e.g., in order to maintain photoresist integrity, etc.).
  • many photoresist etchants comprise oxygen.
  • Pre-coating the chamber with a material to which oxygen has an affinity, such as Cl 2 will reduce the effective amount of oxygen radicals in the plasma that are available to etch the photoresist.
  • the greater the amount of pre-coat material the fewer the number of available oxygen radicals available to the etching process.
  • a controllable polymer passivation layer may be created through reaction of the pre-coat with the photoresist etchant, further optimizing the etching process in order to preserve photoresist integrity. That is, the polymer passivation layer may inhibit both vertical erosion of the photoresist mask and lateral erosion, which may be valuable in maintaining the CD of the substrate.
  • FIG. 5 a simplified diagram of plasma processing system of
  • FIG. 1 is shown, with the addition of pre-coat, according to one embodiment of the invention.
  • an appropriate set of gases is flowed into chamber 102 through an inlet 108 from gas distribution system 122.
  • These plasma processing gases may be subsequently ionized to form a plasma 110, in order to process (e.g., etch or deposition) exposed areas of substrate 114, such as a semiconductor substrate or a glass pane.
  • a pre-coat 117 e.g,.
  • O 2 , HBr, Cl 2 , He, N 2 , Ar, CF 4 , CH 2 F 25 CHF 3 , SiCl 4 , SF 6 , NF 3 , etc. has been added that may react with photoresist etchant radicals to subsequently optimize the etching process.
  • FIGS 6A-B an idealized cross-sectional view of the layer stack is shown, in which a pre-coat has optimized the trim process, according to one embodiment of the invention.
  • FIG. 6 A illustrates an idealized cross-sectional view of the layer stack, representing the layers of an exemplar semiconductor IC, prior to a lithographic step.
  • a layer 604 comprising a semi-conductor, such as polysilicon.
  • a photoresist mask layer 608 and a BARC layer 606 is that have been processed through lithography.
  • a passivation layer 620 has formed in trench 602 that preserves photoresist integrity, and allows the CD of trench 602 to be maintained.
  • FIG. 6B shows the cross-sectional view of the layer stack of FIG. 6A after layer
  • the plasma processing chamber is coated with a mixture including at least one gas selected from the list: O 2 , HBr, Cl 2 , He, N 2 , CF 4 , and Ar.
  • the plasma processing chamber is coated with a mixture including at least one gas selected from the list: O 2 , HBr, Cl 2 , He, N 2 , Ar, CF x (CF 4 ), CH x Fy(CH 2 F 2 , CHF 3 etc.), SiCl 4 , SF 6 , and NF 3 .
  • the plasma processing chamber is coated with a mixture including at least one gas selected from the list: O 2 , HBr, Cl 2 , He, N 2 , Ar, CF x (CF 4 ), CH x Fy(CH 2 F 2 , CHF 3 etc.), SF 6 , and NF 3 .
  • the plasma processing chamber is coated with a mixture including at least one gas selected from the list: O 2 , HBr, Cl 2 , He, N 2 , Ar, CF x (CF 4 ), CH x Fy(CH 2 F 2 , CHF 3 etc.), SiCl 4 , SF 6 , and NF 3.
  • a silicon etch i.e., poly, a-Si, Epi, etc.
  • the plasma processing chamber is coated with a mixture including at least one gas selected from the list: O 2 , HBr, Cl 2 , He, N 2 , Ar, CF x (CF 4 ), CH x Fy(CH 2 F 2 , CHF 3 etc.), SiCl 4 , SF 6 , and NF 3.
  • a precoat at a chamber pressure of about 30 to about 80 mT, a TCP power of about 600 to about 1200 W, a bias power of about 0 to about 10 W, a flow of about CH 2 F 2 of about 50 to about 150 seem, a flow of CF 4 of about 10 to about 80 seem, a temperature of about 30° to about 50°, and a time of about 5 to about 15 seconds is sufficient to optimize the photoresist etch rate.
  • a precoat at a chamber pressure of about 50 to about 70 mT, a TCP power of about 900 to about 1100 W, a bias power of about 0 to about 5 W, a flow of about CH 2 F 2 of about 75 to about 105 seem, a flow of CF 4 of about 20 to about 40 seem, a temperature of about 30° to about 50°, and a time of about 5 to about 15 seconds is sufficient to optimize the photoresist etch rate.
  • a pre-coat process can be used as a pre-etch plasma processing chamber conditioning step, where the chamber is coated before the substrate is input for etching.
  • a substrate can be placed inside the plasma process chamber for etch, a trim process can be subsequently used to shrink the CD (e.g., line width, etc.) to the target size, the substrate can be removed, the pre-coat step run, and then the substrate re-inserted to complete the etching of the full stack.
  • a trim process can be subsequently used to shrink the CD (e.g., line width, etc.) to the target size, the substrate can be removed, the pre-coat step run, and then the substrate re-inserted to complete the etching of the full stack.
  • two plasma processing chambers can be used to complete an etch sequence.
  • the CD e.g., line width, etc.
  • a pre-coat may be applied prior to the insertion of a substrate for the remaining stack etch.
  • a pre-coat mixture is flowed into a plasma chamber of a plasma processing system, comprising at least one of: O 2 , HBr, Cl 2 , He, N 2 , Ar, CF x (CF 4 ), CH x F y (CH 2 F 2 , CHF 3 etc.), SiCl 4 , SF 6 , and NF 3 .
  • a plasma is struck from the pre-coat mixture, at step 704.
  • a substrate comprising a substrate material (e.g., photoresist, BARC, etc.) is introduced into the plasma reactor, at step 706.
  • a substrate material e.g., photoresist, BARC, etc.
  • an etchant mixture is flowed into a plasma reactor of a plasma processing system, at step 708.
  • the plasma is then struck from the etchant mixture, at step 710.
  • the substrate is etched with the plasma, at step 712.
  • the substrate is removed at step 714.
  • Advantages of the invention include the optimization of etch resistance in a plasma processing system. Additional advantages may include the minimization of photoresist damage due to exposure to oxygen-based etchants, the minimization of trench and via faceting and fencing during the etch process, the use of a pre-coat as an etch parameter, and the substantial maintenance of substrate-to-substrate repeatability.

Abstract

In a plasma processing system, including a plasma processing chamber, a method of optimizing the etch resistance of a substrate material is described. The method includes flowing pre-coat gas mixture into the plasma procesing chamber, wherein the pre-coat gas mixture has an affinity for a etchant gas flow mixture; striking a first plasma from the pre-coat gas mixture; and introducing a substrate comprising the substrate material. The method also includes flowing the etchant gas mixture into the plasma processing chamber; striking a second plasma from the etchant gas mixture; and etching the substrate with the second plasma. Wherein the first plasma creates a pre-coat residual on a set of exposed surfaces in the plasma processing chamber, and the etch resistance of the substrate material is maintained.

Description

METHODS AND APPARATUS FOR THE OPTIMIZATION OF ETCH RESISTANCE IN A PLASMA PROCESSING SYSTEM
BACKGROUND OF THE INVENTION
[0001] The present invention relates in general to substrate manufacturing technologies and in particular to methods and apparatus for the optimization of etch resistance in a plasma processing system.
[0002] In the processing of a substrate, e.g., a semiconductor substrate or a glass panel such as one used in flat panel display manufacturing, plasma is often employed. As part of the processing of a substrate for example, the substrate is divided into a plurality of dies, or rectangular areas, each of which will become an integrated circuit. The substrate is "then processed in a series of steps in which materials are selectively removed (etching) and deposited. Subsequently, control of the transistor gate critical dimension (CD) on the order of a few nanometers is a top priority, as each nanometer deviation from the target gate length may translate directly into the operational speed of these devices.
[0003] In an exemplary plasma process in which a set of gates is created on the substrate, a p-type epitaxial layer is generally disposed on the silicon substrate through chemical vapor deposition. A nitride layer may then be deposited over the epi-layer, then masked and etched according to specific patterns, leaving behind exposed areas on the epi- layer (i.e., areas no longer covered by the nitride layer.) These exposed areas may then be masked again in specific patterns before being subjected to diffusion or ion implantation to receive dopants such as phosphorus, forming n-wells.
[0004] Areas of the hardened emulsion are then selectively removed, causing components of the underlying layer to become exposed. The substrate is then placed in a plasma processing chamber on a substrate support structure comprising a mono-polar or bi¬ polar electrode, called a chuck or pedestal. Appropriate etchant source are then flowed into the chamber and struck to form a plasma to etch exposed areas of the substrate. [0005] Silicon dioxide may then be grown thermally to form field oxides that isolate the n-wells from other parts of the circuit. This may be followed by another masking/oxidation cycle to grow gate oxide layers over the n-wells intended for p-channel MOS transistors later on. This gate oxide layer will serve as isolation between the channel and the gate of each of these transistors. Another mask and diffusion/implant cycle may then follow to adjust threshold voltages on other parts of the epi, intended for n-channel transistors later on.
[0006] Deposition of a polysilicon layer over the wafer may then be done, to be followed by a masking/etching cycle to remove unwanted polysilicon areas, defining the polysilicon gates over the gate oxide of the p-channel transistors. At the same time, openings for the source and drain drive-ins are made on the n-wells by etching away oxide at the right locations.
[0007] Another round of mask/implant cycle may then follow, this time driving in boron dopants into new openings of the n-wells, forming the p-type sources and drains. This may then be followed by a mask/implant cycle to form the n-type sources and drains of the n- channel transistors in the p-type epi.
[0008] However, escalating requirements for high circuit density on substrates may be difficult to satisfy using current plasma processing technologies where sub-micron via contacts and trenches has high aspect ratios. In particular, it is becoming difficult to create relatively deep gate etches, especially when the gate depth is less than the illumination wavelength of the lithographic process. In one commonly used method, a photoresist mask is subsequently trimmed, using chemically dominant etch process. That is, a mask is created in which the CD of features are oversized when compared to the desired result (i.e., pre-etch CD). As the underlying substrate trench is longitudinally etched (i.e., perpendicular to the substrate), the photoresist column is also laterally etched (i.e., parallel to the substrate) or trimmed, to create the final desired gate CD.
[0009] Generally, photoresist must be optimized for both the lithographic processes
(i.e., contrast, resolution, in-line roughness, etc.) and the integration processes (etch selectivity, chemical stability, ash selectivity, etc.). Those types of photoresist that tend to be susceptible to integration problems, such as chemically dominant etching, are often called "soft."
[0010] Controlling CD with soft photoresist is particularly difficult in sub- 100 nm lithographic environments. That is, the photoresist must be both thin enough to avoid collapse of the photoresist column, and thick enough to be consistent with the desired etch selectivity of the etched layer. However, if the photoresist column is disproportionately tall in comparison to its width (i.e., a height to width ratio of greater than 4), the etching process may damage the column, subsequently altering the electrical and functional characteristics of the substrate, and directly impacting substrate performance and manufacturing yield
[0011] For example, as soft photoresist is etched, wiggling or wave-like patterns may be created, potentially causing striations, false micro-loading and random etch-stop. Striations are irregularities in the shape of normal features that create additional exposure areas on the mask. Since the etchant removes unintended substrate material, the resulting electrical and functional characteristics of the wafer can be altered. One effect, for example, may be an increase in the roughness of a column face. Likewise, if a set of photoresist wiggles substantially converge, the resulting mask pattern can partially or completely block the removal of intended substrate material.
[0012] False micro-loading occurs when artifacts of the substrate remain on the column trench floor, creating a physically uneven bottom surface. Random edge-stop occurs when column entrances are effectively blocked from the etchant gas during the etching process. In some circumstances, where the column is disproportionately tall and thin (i.e., a height to width ratio of greater than 4), an entire slice of the photoresist column may be accidentally removed or stripped. Additionally, the photoresist column may buckle, bend, or twist by non¬ uniform stress caused when the lateral etch rate is different than the longitudinal etch rate.
[0013] Referring now to FIG. 1, a simplified diagram of plasma processing system components is shown. Generally, an appropriate set of gases is flowed into chamber 102 through an inlet 108 from gas distribution system 122. These plasma processing gases may be subsequently ionized to form a plasma 110, in order to process (e.g., etch or deposition) exposed areas of substrate 114, such as a semiconductor substrate or a glass pane, positioned with edge ring 115 on an electrostatic chuck 116. In addition, liner 117 provides a thermal barrier between the plasma and the plasma processing chamber, as well as helping to optimize plasma 110 on substrate 114.
[0014] Gas distribution system 122 is commonly comprised of compressed gas cylinders 124a-f containing plasma processing gases (e.g., C4F8, C4F6, CHF3, CH2F3, CF4, HBr, CH3F, C2F4, N2, O2, Ar, Xe, He, H2, NH3, SF6, BCl3, Cl2, WF6, etc.). Gas cylinders 124a- f may be further protected by an enclosure 128 that provides local exhaust ventilation. Mass flow controllers 126a-f are commonly a self-contained devices (consisting of a transducer, control valve, and control and signal-processing electronics) commonly used in the semiconductor industry to measure and regulate the mass flow of gas to the plasma processing system. Injector 109 introduces plasma processing gases 124 as an aerosol into chamber 102. [0015] Induction coil 131 is separated from the plasma by a dielectric window 104, and generally induces a time- varying electric current in the plasma processing gases to create plasma 110. The window both protects induction coil from plasma 110, and allows the generated RF field to penetrate into the plasma processing chamber. Further coupled to induction coil 131 at leads 130a-b is matching network 132 that may be further coupled to RF generator 138. Matching network 132 attempts to match the impedance of RF generator 138, which typically operates at 13.56 MHz and 50 ohms, to that of the plasma 110. [0016] Generally, some type of cooling system is coupled to the chuck in order to achieve thermal equilibrium once the plasma is ignited. The cooling system itself is usually comprised of a chiller that pumps a coolant through cavities in within the chuck, and helium gas pumped between the chuck and the substrate. In addition to removing the generated heat, the helium gas also allows the cooling system to rapidly control heat dissipation. That is, increasing helium pressure subsequently also increases the heat transfer rate. Most plasma processing systems are also controlled by sophisticated computers comprising operating software programs. In a typical operating environment, manufacturing process parameters (e.g., voltage, gas flow mix, gas flow rate, pressure, etc.) are generally configured for a particular plasma processing system and a specific recipe.
[0017] Referring now to FIGS 2A-B, an idealized cross-sectional view of the layer stack is shown, in which a set of gates are manufactured in a plasma process. FIG. 2A illustrates an idealized cross-sectional view of the layer stack, representing the layers of an exemplar semiconductor IC, prior to a lithographic step. In the discussions that follow, terms such as "above" and "below," which may be employed herein to discuss the spatial relationship among the layers, may, but need not always, denote a direct contact between the layers involved. It should be noted that other additional layers above, below, or between the layers shown may be present. Further, not all of the shown layers need necessarily be present and some or all may be substituted by other different layers. [0018] At the bottom of the layer stack, there is shown a layer 204, comprising a semi¬ conductor, such as polysilicon. Above layer 204 is disposed a photoresist mask layer 208 and a BARC layer 206 is that have been processed through lithography. In this example, a photoresist mask pattern 216 is first lithographically created, and then chemically trimmed in order to create a set of gate trenches 202a-b.
[0019] FIG. 2B shows the cross-sectional view of the layer stack of FIG. 2 A after layer
204 has been etched in a plasma processing system in order to create a set of gates by further extending trench 202a-b. In addition, the photoresist mask layer, as well and the underlying semi-conductor layer 204, are laterally trimmed by an etchant by an amount 210.
[0020] Referring now to FIGS 3A-C, an idealized cross-sectional view of the layer stack in which a disproportionately tall soft photoresist column has collapsed during the plasma process. During the etching process, photoresist material may be generally removed either laterally (i.e., parallel to the substrate), or longitudinally (i.e. perpendicular to the substrate.) However, if the column width is sufficiently minimized, the combination of lateral and longitudinal etching produces an effective diagonal etch vector that may remove a substantially large portion of the column, subsequently damaging the underlying substrate.
[0021] FIG. 3 A illustrates an idealized cross-sectional view of the layer stack, representing the layers of an exemplar semiconductor IC, prior to a lithographic step. At the bottom of the layer stack, there is shown a layer 304, comprising a semi-conductor, such as polysilicon. Above layer 304 is disposed a photoresist mask layer 308 and a BARC layer 306 is that have been processed through lithography. In this example, a photoresist mask pattern is created with a set of trenches 302a-c to create a set of gates.
[0022] FIG. 3B shows the cross-sectional view of the layer stack of FIG. 3 A after photoresist layer 308 has been etched in a plasma processing system. However, unlike column 216 of FIGS. 2A-B, the relatively thinner columns 316 have been substantially damaged 312 by the etching process. That is, instead of just being reduced in width, the columns are also catastrophically reduced in height.
[0023] FIG. 3 C shows the cross-sectional view of the layer stack of FIG. 3B after layer
304 has been etched in a plasma processing system, creating a cavity 320 in substrate 304. [0024] Referring now to FIGS 4A-C, an idealized cross-sectional view of the layer stack in which a disproportionately tall soft photoresist column has twisted during the plasma process. As previously described, photoresist material may be generally removed either laterally (i.e., parallel to the substrate), or longitudinally (i.e. perpendicular to the substrate.) However, if the column width is sufficiently minimized, the combination of lateral and longitudinal etching may create stress on the column sufficient to twist or bend it.
[0025] FIG. 4 A illustrates an idealized cross-sectional view of the layer stack, representing the layers of an exemplar semiconductor IC, prior to a lithographic step. At the bottom of the layer stack, there is shown a layer 404, comprising a semi-conductor, such as polysilicon. Above layer 404 is disposed a photoresist mask layer 408 and a BARC layer 406 is that have been processed through lithography. In this example, a photoresist mask pattern is created with a set of trenches 402a-c to create a set of gates.
[0026] FIG. 4B shows the cross-sectional view of the layer stack of FIG. 4 A after photoresist layer 408 has been etched in a plasma processing system. However, unlike column 216 of FIGS. 2A-B, the relatively thinner columns 416 have been substantially twisted 412 by the etching process, subsequently creating non-linear profiles in the underlying substrate 404.
[0027] In view of the foregoing, there are desired improved methods for methods and apparatus for the optimization of etch resistance in a plasma processing system.
SUMMARY OF THE INVENTION
[0028] The invention relates, in one embodiment, in a plasma processing system, including a plasma processing chamber, to a method of optimizing the etch resistance of a substrate material. The method includes flowing pre-coat gas mixture into the plasma processing chamber, wherein the pre-coat gas mixture has an affinity for a etchant gas flow mixture; striking a first plasma from the pre-coat gas mixture; and introducing a substrate comprising the substrate material. The method also includes flowing the etchant gas mixture into the plasma processing chamber; striking a second plasma from the etchant gas mixture; and etching the substrate with the second plasma. Wherein the first plasma creates a pre-coat residual on a set of exposed surfaces in the plasma processing chamber, and the etch resistance of the substrate material is maintained. [0029] These and other features of the present invention will be described in more detail below in the detailed description of the invention and in conjunction with the following figures.
BRIEF DESCRIPTION OF THE DRAWINGS
[0030] The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
[0031] FIG. 1 illustrates a simplified diagram of plasma processing system components;
[0032] FIGS. 2A-2B illustrate a set of idealized cross-sectional views of a layer stack, representing the layers of an exemplar semiconductor IC;
[0033] FIGS. 3 A-3C illustrate a set of idealized cross-sectional views of a layer stack, representing the layers of an exemplar semiconductor IC, in which the photoresist column has collapsed;
[0034] FIGS. 4A-4B illustrate a set of idealized cross-sectional views of a layer stack, representing the layers of an exemplar semiconductor IC, in which the photoresist column has twisted;
[0035] FIG. 5 illustrates a simplified diagram of plasma processing system with the addition of pre-coat, according to one embodiment of the invention; and
[0036] FIGS. 6A-6B illustrate an idealized cross-sectional view of the layer stack in which a pre-coat has optimized the trim process, according to one embodiment of the invention.
[0037] FIG. 7 shows, in accordance with an embodiment of the present invention, a simplified process for etching a substrate in a plasma processing system to which a precoat has been added. DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS [0038] The present invention will now be described in detail with reference to a few preferred embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to not unnecessarily obscure the present invention.
[0039] As previously stated, relatively deep gate etches are difficult to obtain using soft photoresist (i.e., photoresist that tends to be susceptible to integration problems). In particular, if the photoresist column is disproportionately tall in comparison to its width (i.e., a height to width ratio of greater than 4), the etching process may damage the column, and subsequently the gate substrate.
[0040] For example, non-uniform stress on the photoresist column may cause it to buckle, bend, or twist. Likewise, facets or non-linear profiles may be created only the column wall, which tend to cause substantial defects in the underlying substrate. In some circumstances, where the column is disproportionately tall and thin, an entire slice of the photoresist column may be accidentally removed or stripped, further damaging the CD profile and the substrate. Traditional passivation techniques are generally ineffective because although the relative CD profile may be maintained, the absolute CD size may also be subsequently increased by the addition of a passivation layer.
[0041] While not wishing to be bound by theory, it is believed by the inventor herein that pre-coating the plasma processing chamber with a material that has an affinity (or attraction) to the etchant (such as photoresist etchant), the selectivity of the etchant can be optimized, as well as the integrity of the material being etched.
[0042] Generally, after each set of plasma processing steps, a dry cleaning, waferless cleaning, or preventive maintenance procedure is performed to remove micro-particles and residue polymers that are attached to the interior surfaces of the reaction chamber. In a non- obvious fashion, by pre-coating the plasma chamber prior to insertion of a subsequent substrate, by a material to which an etchant has an affinity, the effective amount of etchant radicals in the plasma may be reduced, thus optimizing the etch rate (e.g., in order to maintain photoresist integrity, etc.).
[0043] For example, many photoresist etchants comprise oxygen. Pre-coating the chamber with a material to which oxygen has an affinity, such as Cl2, will reduce the effective amount of oxygen radicals in the plasma that are available to etch the photoresist. In general, the greater the amount of pre-coat material, the fewer the number of available oxygen radicals available to the etching process. In addition, a controllable polymer passivation layer may be created through reaction of the pre-coat with the photoresist etchant, further optimizing the etching process in order to preserve photoresist integrity. That is, the polymer passivation layer may inhibit both vertical erosion of the photoresist mask and lateral erosion, which may be valuable in maintaining the CD of the substrate.
[0044] In U.S. Pat. No. 6,455,333, there is proposed a method of stabilizing a deep ultraviolet (DUV) resist etch rate by conditioning (seasoning) an etch chamber with a conditioning wafer having a unique stack which results in consistent and stable DUV resist etch rates. However, unlike the present invention which preserves photoresist integrity in a non-obvious fashion, this method merely stabilizes an etch rate. In addition, the method specifically involves the use of a conditioning wafer to season the chamber, an element not taught in the present invention.
[0045] In U.S. Pat. No. 6,626,187, there is proposed a method of reconditioning the reaction chamber of an etching reactor. However, unlike the present invention which preserves photoresist integrity in a non-obvious fashion, this method merely stabilizes the etching process by allowing gaseous nitrogen and hydrogen to react with a substrate to create high molecular weight particles.
[0046] In U.S. Pat. No. 6,420,274, there is proposed a method of conditioning the surfaces of a chamber with process molecules, molecular fragments and atoms thereon. However, unlike the present invention which preserves photoresist integrity in a non-obvious fashion, this method merely conditions the chamber in order to achieve a repeatable plasma process.
[0047] In U.S. Pat. No. 6,274,500, there is proposed a method of cleaning and seasoning of a plasma etch chamber. However, unlike the present invention which preserves photoresist integrity in a non-obvious fashion, this method merely first cleans and then seasons the plasma chamber in order achieve a repeatable plasma process. In addition, the method specifically involves the use of a conditioning wafer to season the chamber, an element not taught in the present invention.
[0048] Other prior art disclose the use of a CHxFy pre-coat method for spacer etch application. However, unlike the present invention which preserves photoresist integrity in a non-obvious fashion, this type of method seals metal molecules in an prevents high level of metal contamination such as Chromium.
[0049] Referring now to FIG. 5, a simplified diagram of plasma processing system of
FIG. 1 is shown, with the addition of pre-coat, according to one embodiment of the invention. As previously stated, an appropriate set of gases is flowed into chamber 102 through an inlet 108 from gas distribution system 122. These plasma processing gases may be subsequently ionized to form a plasma 110, in order to process (e.g., etch or deposition) exposed areas of substrate 114, such as a semiconductor substrate or a glass pane. However, prior to this step, a pre-coat 117 (e.g,. O2, HBr, Cl2, He, N2, Ar, CF4, CH2F25CHF3, SiCl4, SF6, NF3, etc.) has been added that may react with photoresist etchant radicals to subsequently optimize the etching process.
[0050] Referring now to FIGS 6A-B, an idealized cross-sectional view of the layer stack is shown, in which a pre-coat has optimized the trim process, according to one embodiment of the invention. FIG. 6 A illustrates an idealized cross-sectional view of the layer stack, representing the layers of an exemplar semiconductor IC, prior to a lithographic step. At the bottom of the layer stack, there is shown a layer 604, comprising a semi-conductor, such as polysilicon. Above layer 604 is disposed a photoresist mask layer 608 and a BARC layer 606 is that have been processed through lithography. However, unlike FIGS. 3A-C and FIGS. 4A- B, a passivation layer 620 has formed in trench 602 that preserves photoresist integrity, and allows the CD of trench 602 to be maintained.
[0051] FIG. 6B shows the cross-sectional view of the layer stack of FIG. 6A after layer
604 has been etched in a plasma processing system in order to create a set of gates by further extending trench 606a-c into semi-conductor layer 604. [0052] In one embodiment, for a trim process application, the plasma processing chamber is coated with a mixture including at least one gas selected from the list: O2, HBr, Cl2, He, N2, CF4, and Ar.
[0053] In another embodiment, for a BARC etch process application, the plasma processing chamber is coated with a mixture including at least one gas selected from the list: O2, HBr, Cl2, He, N2, Ar, CFx(CF4), CHxFy(CH2F2, CHF3 etc.), SiCl4, SF6, and NF3.
[0054] In another embodiment, for an inorganic material etch process application, the plasma processing chamber is coated with a mixture including at least one gas selected from the list: O2, HBr, Cl2, He, N2, Ar, CFx(CF4), CHxFy(CH2F2, CHF3 etc.), SF6, and NF3.
[0055] In another embodiment, for a silicon etch (i.e., poly, a-Si, Epi, etc.) process application, the plasma processing chamber is coated with a mixture including at least one gas selected from the list: O2, HBr, Cl2, He, N2, Ar, CFx(CF4), CHxFy(CH2F2, CHF3 etc.), SiCl4, SF6, and NF3.
[0056] In another embodiment, in a 2300 Versys™ series plasma processing system
(e.g., 2300 Versys™, 2300 Versys Star™, 2300 Versys Kiyo™, etc.), a precoat at a chamber pressure of about 5 to about 100 mT, a TCP power of about 350 to about 1400 W, a bias power of about 0 to about 20 W, a flow of about CH2F2 of about 50 to about 250 seem, a flow of CF4 of about 5 to about 150 seem, a temperature of about 20° to about 60°, and a time of about 5 to about 30 seconds is sufficient to optimize the photoresist etch rate.
[0057] In another embodiment, in a 2300 Versys™ series plasma processing system, a precoat at a chamber pressure of about 30 to about 80 mT, a TCP power of about 600 to about 1200 W, a bias power of about 0 to about 10 W, a flow of about CH2F2 of about 50 to about 150 seem, a flow of CF4 of about 10 to about 80 seem, a temperature of about 30° to about 50°, and a time of about 5 to about 15 seconds is sufficient to optimize the photoresist etch rate.
[0058] In another embodiment, in a 2300 Versys Star™ plasma processing system, a precoat at a chamber pressure of about 50 to about 70 mT, a TCP power of about 900 to about 1100 W, a bias power of about 0 to about 5 W, a flow of about CH2F2 of about 75 to about 105 seem, a flow of CF4 of about 20 to about 40 seem, a temperature of about 30° to about 50°, and a time of about 5 to about 15 seconds is sufficient to optimize the photoresist etch rate. [0059] In another embodiment, a pre-coat process can be used as a pre-etch plasma processing chamber conditioning step, where the chamber is coated before the substrate is input for etching.
[0060] In another embodiment, a substrate can be placed inside the plasma process chamber for etch, a trim process can be subsequently used to shrink the CD (e.g., line width, etc.) to the target size, the substrate can be removed, the pre-coat step run, and then the substrate re-inserted to complete the etching of the full stack.
[0061] In another embodiment, two plasma processing chambers can be used to complete an etch sequence. In a first chamber, the CD (e.g., line width, etc.) is trimmed to the target size. Subsequently, in a second chamber, a pre-coat may be applied prior to the insertion of a substrate for the remaining stack etch.
[0062] Referring now to FIG. 7, a simplified process is shown for etching a substrate in a plasma processing system to which a pre-coat has been added, according to one embodiment of the invention. Initially, at step 702, a pre-coat mixture is flowed into a plasma chamber of a plasma processing system, comprising at least one of: O2, HBr, Cl2, He, N2, Ar, CFx(CF4), CHxFy(CH2F2, CHF3 etc.), SiCl4, SF6, and NF3. Next, a plasma is struck from the pre-coat mixture, at step 704. Next, a substrate comprising a substrate material (e.g., photoresist, BARC, etc.) is introduced into the plasma reactor, at step 706. Next, an etchant mixture is flowed into a plasma reactor of a plasma processing system, at step 708. The plasma is then struck from the etchant mixture, at step 710. The substrate is etched with the plasma, at step 712. And finally, the substrate is removed at step 714.
[0063] While this invention has been described in terms of several preferred embodiments, there are alterations, permutations, and equivalents which fall within the scope of this invention. For example, although the present invention has been described in connection with Lam Research plasma processing systems (e.g., Exelan™, Exelan™ HP, Exelan™ HPT, 2300™, Versys™ Star, etc.), other plasma processing systems may be used. This invention may also be used with substrates of various diameters (e.g., 200 mm, 300 mm, etc.). Also, photoresist plasma etchants comprising gases other than oxygen may be used. In addition, the etching of other types of substrate materials may be optimized with the current invention. It should also be noted that there are many alternative ways of implementing the methods of the present invention.
[0064] Advantages of the invention include the optimization of etch resistance in a plasma processing system. Additional advantages may include the minimization of photoresist damage due to exposure to oxygen-based etchants, the minimization of trench and via faceting and fencing during the etch process, the use of a pre-coat as an etch parameter, and the substantial maintenance of substrate-to-substrate repeatability.
[0065] Having disclosed exemplary embodiments and the best mode, modifications and variations may be made to the disclosed embodiments while remaining within the subject and spirit of the invention as defined by the following claims.

Claims

CLAIMS What is claimed is:
1. In a plasma processing system, including a plasma processing chamber, a method of optimizing the etch resistance of a substrate material, comprising the steps of: flowing pre-coat gas mixture into the plasma processing chamber, wherein said pre- coat gas mixture has an affinity for an etchant gas flow mixture; striking a first plasma from said pre-coat gas mixture; introducing a substrate comprising said substrate material; flowing said etchant gas mixture into said plasma processing chamber; striking a second plasma from said etchant gas mixture; etching said substrate with said second plasma; wherein said first plasma creates a pre-coat residual on a set of exposed surfaces in said plasma processing chamber, and said etch resistance of said substrate material is substantially maintained.
2. The method of claim 1 , further including the step of cleaning the plasma processing chamber using a waferless chamber cleaning process, prior to said flowing pre-coat step.
3. The method of claim 1 , wherein said etchant gas mixture further includes etchant species.
4. The method of claim 3, wherein said pre-coat residual chemically interacts with said etchant species to create a passivation species.
5. The method of claim 4, wherein said passivation species coats said substrate material.
6. The method of claim 1, wherein said substrate material is comprised of photoresist.
7. The method of claim 1 , wherein said substrate material is comprised of BARC.
8. The method of claim 1 , wherein said substrate material is comprised of an inorganic material.
9. The method of claim 1, wherein said substrate material is comprised of silicon.
10. The method of claim 1, wherein said pre-coat gas mixture comprises O2..
11. The method of claim 1 , wherein said pre-coat gas mixture comprises HBr.
12. The method of claim 1 , wherein said pre-coat gas mixture comprises Cl2.
13. The method of claim 1, wherein said pre-coat gas mixture comprises He.
14. The method of claim 1 , wherein said pre-coat gas mixture comprises N2.
15. The method of claim 1 , wherein said pre-coat gas mixture comprises Ar.
16. The method of claim 1, wherein said pre-coat gas mixture comprises CF4.
17. The method of claim 1 , wherein said pre-coat gas mixture comprises CH2F2.
18. The method of claim 1 , wherein said pre-coat gas mixture comprises CHF3.
19. The method of claim 1, wherein said pre-coat gas mixture comprises CHxFy, where.x and y are integers.
20. The method of claim 1, wherein said pre-coat gas mixture comprises SiCl4.
21. The method of claim 1, wherein said pre-coat gas mixture comprises SF6.
22. The method of claim 1 , wherein said pre-coat gas mixture comprises NF3.
23. The method of claim 1 , wherein said substrate is semiconductor wafer.
24. The method of claim 1, wherein substrate is a glass panel.
25. In a plasma processing system, including a plasma processing chamber, a method of optimizing the etch resistance of a substrate material, comprising the steps of: cleaning the plasma processing chamber using a waferless chamber cleaning process; flowing pre-coat gas mixture into the plasma processing chamber, wherein said pre- coat gas mixture has an affinity for a etchant gas flow mixture; striking a first plasma from said pre-coat gas mixture; introducing a substrate comprising said substrate material; flowing said etchant gas mixture into said plasma processing chamber; striking a second plasma from said etchant gas mixture; etching said substrate with said second plasma; wherein said first plasma creates a passivation species on a set of exposed surfaces in said plasma processing chamber, and said etch resistance of said substrate material is substantially maintained.
PCT/US2005/021047 2004-06-30 2005-06-14 Methods and apparatus for the optimization of etch resistance in a plasma processing system WO2006011996A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020077002286A KR101233453B1 (en) 2004-06-30 2005-06-14 Methods and apparatus for the optimization of etch resistance in a plasma processing system
JP2007519252A JP5139059B2 (en) 2004-06-30 2005-06-14 Method for optimizing etch resistance in plasma processing systems

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/883,282 2004-06-30
US10/883,282 US7316785B2 (en) 2004-06-30 2004-06-30 Methods and apparatus for the optimization of etch resistance in a plasma processing system

Publications (2)

Publication Number Publication Date
WO2006011996A2 true WO2006011996A2 (en) 2006-02-02
WO2006011996A3 WO2006011996A3 (en) 2007-04-19

Family

ID=35512818

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/021047 WO2006011996A2 (en) 2004-06-30 2005-06-14 Methods and apparatus for the optimization of etch resistance in a plasma processing system

Country Status (6)

Country Link
US (1) US7316785B2 (en)
JP (2) JP5139059B2 (en)
KR (1) KR101233453B1 (en)
CN (1) CN101263092A (en)
TW (1) TWI389196B (en)
WO (1) WO2006011996A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8298958B2 (en) 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8546264B2 (en) * 2005-06-02 2013-10-01 The Regents Of The University Of California Etching radical controlled gas chopped deep reactive ion etching
US7906032B2 (en) * 2006-03-31 2011-03-15 Tokyo Electron Limited Method for conditioning a process chamber
KR101528947B1 (en) * 2007-09-27 2015-06-15 램 리써치 코포레이션 Profile control in dielectric etch
CN101930921B (en) * 2009-06-25 2012-09-26 中芯国际集成电路制造(上海)有限公司 Method for improving grid size uniformity
JP5450187B2 (en) * 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
JP2012015343A (en) * 2010-07-01 2012-01-19 Hitachi High-Technologies Corp Plasma etching method
TWI458011B (en) * 2010-10-29 2014-10-21 Macronix Int Co Ltd Methods for etching multi-layer hardmasks
US8420947B2 (en) 2010-12-30 2013-04-16 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with ultra-low k dielectric and method of manufacture thereof
JP5956933B2 (en) 2013-01-15 2016-07-27 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
US9412606B2 (en) * 2014-02-14 2016-08-09 Taiwan Semiconductor Manufacturing Company Limited Target dimension uniformity for semiconductor wafers
JP6169666B2 (en) * 2015-10-20 2017-07-26 株式会社日立ハイテクノロジーズ Plasma processing method
JP6568457B2 (en) * 2015-11-11 2019-08-28 株式会社日立ハイテクノロジーズ Plasma processing method
US9941123B1 (en) * 2017-04-10 2018-04-10 Lam Research Corporation Post etch treatment to prevent pattern collapse
JP6778822B2 (en) * 2018-10-26 2020-11-04 株式会社日立ハイテク Plasma processing method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6325948B1 (en) * 1999-07-12 2001-12-04 Lam Research Co., Ltd. Waferless clean process of a dry etcher
US6613689B2 (en) * 2000-03-10 2003-09-02 Applied Materials, Inc Magnetically enhanced plasma oxide etch using hexafluorobutadiene

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0394597A1 (en) * 1989-04-28 1990-10-31 International Business Machines Corporation Follow-up System for Monitoring the Etching Process in an RIE Equipment and its Application to Producing High-resolution and Reproducible Patterns
JPH0828348B2 (en) * 1991-02-07 1996-03-21 ヤマハ株式会社 Dry etching method
JPH04313223A (en) * 1991-04-04 1992-11-05 Mitsubishi Electric Corp Manufacture of semiconductor device
US5482749A (en) * 1993-06-28 1996-01-09 Applied Materials, Inc. Pretreatment process for treating aluminum-bearing surfaces of deposition chamber prior to deposition of tungsten silicide coating on substrate therein
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
JP3067576B2 (en) * 1995-03-17 2000-07-17 株式会社日立製作所 Plasma etching method
US5647953A (en) * 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US6071573A (en) * 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6274500B1 (en) * 1999-10-12 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Single wafer in-situ dry clean and seasoning for plasma etching process
US6420274B1 (en) * 2000-05-10 2002-07-16 International Business Machines Corporation Method for conditioning process chambers
JP2002025977A (en) * 2000-07-06 2002-01-25 Hitachi Ltd Dry-etching method
JP2002184754A (en) * 2000-12-13 2002-06-28 Seiko Epson Corp Method of seasoning dry etching apparatus
US6626187B2 (en) * 2001-02-07 2003-09-30 Promos Technologies Inc. Method of reconditioning reaction chamber
US6455333B1 (en) * 2001-02-28 2002-09-24 Advanced Micro Devices, Inc. Method of achieving stable deep ultraviolet (DUV) resist etch rate for gate critical dimension (CD)
JP2002270584A (en) * 2001-03-08 2002-09-20 Toshiba Corp Method for fabricating semiconductor device
JP2002319571A (en) * 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk Preprocessing method for etching tank and manufacturing method for semiconductor device
JP4322484B2 (en) * 2002-08-30 2009-09-02 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
JP4034164B2 (en) * 2002-10-28 2008-01-16 富士通株式会社 Method for manufacturing fine pattern and method for manufacturing semiconductor device
US20040110388A1 (en) * 2002-12-06 2004-06-10 International Business Machines Corporation Apparatus and method for shielding a wafer from charged particles during plasma etching
US6869542B2 (en) * 2003-03-12 2005-03-22 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6325948B1 (en) * 1999-07-12 2001-12-04 Lam Research Co., Ltd. Waferless clean process of a dry etcher
US6613689B2 (en) * 2000-03-10 2003-09-02 Applied Materials, Inc Magnetically enhanced plasma oxide etch using hexafluorobutadiene

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
ROSSNAGEL: 'Handbook of Plasma Processing Technology', 1990, NOYES PUBLISHERS *
WOLF S.: 'Silicon Processing for the VLSI Era', vol. 4, 2002 page 245, 654 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8298958B2 (en) 2008-07-17 2012-10-30 Lam Research Corporation Organic line width roughness with H2 plasma treatment

Also Published As

Publication number Publication date
TW200614369A (en) 2006-05-01
JP5139059B2 (en) 2013-02-06
TWI389196B (en) 2013-03-11
JP5567084B2 (en) 2014-08-06
CN101263092A (en) 2008-09-10
KR20070033010A (en) 2007-03-23
US7316785B2 (en) 2008-01-08
JP2008505490A (en) 2008-02-21
WO2006011996A3 (en) 2007-04-19
JP2012253386A (en) 2012-12-20
KR101233453B1 (en) 2013-02-14
US20060000797A1 (en) 2006-01-05

Similar Documents

Publication Publication Date Title
WO2006011996A2 (en) Methods and apparatus for the optimization of etch resistance in a plasma processing system
US6322714B1 (en) Process for etching silicon-containing material on substrates
US6074959A (en) Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6183655B1 (en) Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US6291357B1 (en) Method and apparatus for etching a substrate with reduced microloading
US9269587B2 (en) Methods for etching materials using synchronized RF pulses
KR100193978B1 (en) Silicon Etching Method for Forming Vertical Sidewalls
US20070298617A1 (en) Processing method
US20060131271A1 (en) Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US6635335B1 (en) Etching methods and apparatus and substrate assemblies produced therewith
WO2016133673A1 (en) Gate electrode material residual removal process
US20040018739A1 (en) Methods for etching using building blocks
US6401728B2 (en) Method for cleaning interior of etching chamber
US6877517B2 (en) Plasma etch method for forming plasma etched silicon layer
US20190362983A1 (en) Systems and methods for etching oxide nitride stacks
US8709951B2 (en) Implementing state-of-the-art gate transistor, sidewall profile/angle control by tuning gate etch process recipe parameters
US7189653B2 (en) Etching method and etching apparatus
US20090032192A1 (en) Method for Resist Strip in Presence of Low K Dielectric Material and Apparatus for Performing the Same
EP1166342A1 (en) Etch and ash photoresist removal process
Schulte Development of an Anisotropic, Highly Selective Tungsten Suicide Dry Etch Process
Sin et al. Sub-0.1/spl mu/m MOSFET fabrication using 248 nm lithography by resist trimming technique in high density plasmas
JP2003077891A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KM KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2007519252

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Country of ref document: DE

WWE Wipo information: entry into national phase

Ref document number: 1020077002286

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 200580027666.5

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020077002286

Country of ref document: KR

122 Ep: pct application non-entry in european phase