WO2006012335A3 - Hardware/software design tool and language specification mechanism enabling efficient technology retargeting and optimization - Google Patents

Hardware/software design tool and language specification mechanism enabling efficient technology retargeting and optimization Download PDF

Info

Publication number
WO2006012335A3
WO2006012335A3 PCT/US2005/022570 US2005022570W WO2006012335A3 WO 2006012335 A3 WO2006012335 A3 WO 2006012335A3 US 2005022570 W US2005022570 W US 2005022570W WO 2006012335 A3 WO2006012335 A3 WO 2006012335A3
Authority
WO
WIPO (PCT)
Prior art keywords
design
hardware
mode
logic
technology
Prior art date
Application number
PCT/US2005/022570
Other languages
French (fr)
Other versions
WO2006012335A2 (en
Inventor
John Willis
Original Assignee
Ftl Systems Inc
John Willis
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ftl Systems Inc, John Willis filed Critical Ftl Systems Inc
Priority to EP05767779A priority Critical patent/EP1769409A4/en
Publication of WO2006012335A2 publication Critical patent/WO2006012335A2/en
Publication of WO2006012335A3 publication Critical patent/WO2006012335A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318364Generation of test inputs, e.g. test vectors, patterns or sequences as a result of hardware simulation, e.g. in an HDL environment
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/34Circuit design for reconfigurable circuits, e.g. field programmable gate arrays [FPGA] or programmable logic devices [PLD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/34Circuit design for reconfigurable circuits, e.g. field programmable gate arrays [FPGA] or programmable logic devices [PLD]
    • G06F30/343Logical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/10Program control for peripheral devices
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • G06F15/177Initialisation or configuration control
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7867Architectures of general purpose stored program computers comprising a single central processing unit with reconfigurable architecture
    • G06F15/7871Reconfiguration support, e.g. configuration loading, configuration switching, or hardware OS
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2117/00Details relating to the type or aim of the circuit design
    • G06F2117/08HW-SW co-design, e.g. HW-SW partitioning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/331Design verification, e.g. functional simulation or model checking using simulation with hardware acceleration, e.g. by using field programmable gate array [FPGA] or emulation
    • GPHYSICS
    • G16INFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR SPECIFIC APPLICATION FIELDS
    • G16ZINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR SPECIFIC APPLICATION FIELDS, NOT OTHERWISE PROVIDED FOR
    • G16Z99/00Subject matter not provided for in other main groups of this subclass

Abstract

An innovative hardware/software design tool provides four modes of operation for converting an electronic design specification and zero or more technology specifications into realization of the electronic design in computer hardware, software and firmware. The first mode of operation compiles design and logic technology specifications into a model which can be utilized for behavioral analysis (such as simulation or formal verification) of logical characteristics (the model). The second mode of operation translates (compiles) partitions of the design and one or more logic technologies into one or more processor intermediates or binaries (embedded binary) suitable for execution on multi-purpose processing units (embedded or general purpose processors). The third mode of operation translates (synthesizes) partitions of the design and logic technology into a collection of cells and interconnects (net-list implementation) suitable for input to physical design processes such as is required to target a field-programmable logic array (FPGA) or custom logic. The fourth mode of operation analyzes (verifies) behavior of the embedded binaries running on processing units and implementations augmented by additional physical technology and parameters, yielding a more detailed (accurate) prediction of the resulting hardware/software system behavior when realized through manufacturing.
PCT/US2005/022570 2004-06-24 2005-06-24 Hardware/software design tool and language specification mechanism enabling efficient technology retargeting and optimization WO2006012335A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
EP05767779A EP1769409A4 (en) 2004-06-24 2005-06-24 Hardware/software design tool and language specification mechanism enabling efficient technology retargeting and optimization

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US58259004P 2004-06-24 2004-06-24
US60/582,590 2004-06-24

Publications (2)

Publication Number Publication Date
WO2006012335A2 WO2006012335A2 (en) 2006-02-02
WO2006012335A3 true WO2006012335A3 (en) 2006-05-18

Family

ID=35786676

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/022570 WO2006012335A2 (en) 2004-06-24 2005-06-24 Hardware/software design tool and language specification mechanism enabling efficient technology retargeting and optimization

Country Status (3)

Country Link
US (4) US7278122B2 (en)
EP (1) EP1769409A4 (en)
WO (1) WO2006012335A2 (en)

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2364579A (en) * 1999-11-30 2002-01-30 Sgs Thomson Microelectronics An assembler using a descriptor file that contains information descriptive of the instruction set of a target microprocessor
JP3951925B2 (en) * 2003-01-31 2007-08-01 セイコーエプソン株式会社 Hardware / software co-verification method
US7096322B1 (en) * 2003-10-10 2006-08-22 Unisys Corporation Instruction processor write buffer emulation using embedded emulation control instructions
US8689202B1 (en) 2004-03-30 2014-04-01 Synopsys, Inc. Scheduling of instructions
US8677312B1 (en) 2004-03-30 2014-03-18 Synopsys, Inc. Generation of compiler description from architecture description
US9280326B1 (en) * 2004-05-26 2016-03-08 Synopsys, Inc. Compiler retargeting based on instruction semantic models
US8006225B1 (en) 2004-06-03 2011-08-23 Synposys, Inc. Method and system for automatic generation of instruction-set documentation from an abstract processor model described using a hierarchical architectural description language
US7278122B2 (en) * 2004-06-24 2007-10-02 Ftl Systems, Inc. Hardware/software design tool and language specification mechanism enabling efficient technology retargeting and optimization
US7360177B1 (en) 2004-08-06 2008-04-15 Xilinx, Inc. Method and arrangement providing for implementation granularity using implementation sets
US8156452B1 (en) * 2005-06-02 2012-04-10 Altera Corporation Method and apparatus for importing hardware description language into a system level design environment
US20070033557A1 (en) * 2005-08-08 2007-02-08 Byrn Jonathan W Method for creating constraints for integrated circuit design closure
JP2007286671A (en) * 2006-04-12 2007-11-01 Fujitsu Ltd Software/hardware division program and division method
US8010932B2 (en) * 2006-07-13 2011-08-30 International Business Machines Corporation Structure for automated transistor tuning in an integrated circuit design
US20080016475A1 (en) * 2006-07-13 2008-01-17 Durham Christopher M Method, System and Program Product for Automated Transistor Tuning in an Integrated Circuit Design
US8495533B2 (en) * 2006-09-16 2013-07-23 International Business Machines Corporation Synthesizing VHDL multiple wait behavioral FSMs into RT level FSMs by preprocessing
JP5086269B2 (en) * 2006-10-11 2012-11-28 株式会社図研 Processing apparatus, processing method, and program
JP5248762B2 (en) * 2006-10-27 2013-07-31 富士通株式会社 Design data dependency management device, design data dependency management method and program
US8209662B2 (en) 2006-12-04 2012-06-26 Microsoft Corporation Application retargeting
US7783995B2 (en) * 2007-03-08 2010-08-24 International Business Machines Corporation System and method for circuit design scaling
US8561037B2 (en) 2007-08-29 2013-10-15 Convey Computer Compiler for generating an executable comprising instructions for a plurality of different instruction sets
US9015399B2 (en) 2007-08-20 2015-04-21 Convey Computer Multiple data channel memory module architecture
US9710384B2 (en) * 2008-01-04 2017-07-18 Micron Technology, Inc. Microprocessor architecture having alternative memory access paths
US8095735B2 (en) * 2008-08-05 2012-01-10 Convey Computer Memory interleave for heterogeneous computing
US8156307B2 (en) * 2007-08-20 2012-04-10 Convey Computer Multi-processor system having at least one processor that comprises a dynamically reconfigurable instruction set
US8122229B2 (en) * 2007-09-12 2012-02-21 Convey Computer Dispatch mechanism for dispatching instructions from a host processor to a co-processor
US7805698B1 (en) * 2007-09-19 2010-09-28 Cadence Design Systems, Inc. Methods and systems for physical hierarchy configuration engine and graphical editor
US7908518B2 (en) * 2008-02-08 2011-03-15 International Business Machines Corporation Method, system and computer program product for failure analysis implementing automated comparison of multiple reference models
US8302041B1 (en) * 2008-06-25 2012-10-30 Xilinx, Inc. Implementation flow for electronic circuit designs using choice networks
US20100107130A1 (en) * 2008-10-23 2010-04-29 International Business Machines Corporation 1xn block builder for 1xn vlsi design
US20100115233A1 (en) * 2008-10-31 2010-05-06 Convey Computer Dynamically-selectable vector register partitioning
US8205066B2 (en) * 2008-10-31 2012-06-19 Convey Computer Dynamically configured coprocessor for different extended instruction set personality specific to application program with shared memory storing instructions invisibly dispatched from host processor
US20100182615A1 (en) * 2009-01-21 2010-07-22 Kabushiki Kaisha Toshiba Image forming apparatus and control method of the same
US8296693B2 (en) * 2009-02-25 2012-10-23 Ati Technologies Ulc Method and apparatus for hardware design verification
US8527232B2 (en) * 2009-04-27 2013-09-03 Mentor Graphics Corporation Diagnostic test pattern generation for small delay defect
US8682631B2 (en) * 2009-09-03 2014-03-25 Henry Chung-herng Chang Specifications-driven platform for analog, mixed-signal, and radio frequency verification
US8423745B1 (en) 2009-11-16 2013-04-16 Convey Computer Systems and methods for mapping a neighborhood of data to general registers of a processing element
US8775150B1 (en) * 2009-12-17 2014-07-08 Cadence Design Systems, Inc. Method and system for providing an implicit unknown value to user enum data constructs in an HDL system to model power shutoff in simulation
US8225247B2 (en) * 2010-07-13 2012-07-17 Satish Padmanabhan Automatic optimal integrated circuit generator from algorithms and specification
US8271920B2 (en) 2010-08-25 2012-09-18 International Business Machines Corporation Converged large block and structured synthesis for high performance microprocessor designs
US8640070B2 (en) 2010-11-08 2014-01-28 International Business Machines Corporation Method and infrastructure for cycle-reproducible simulation on large scale digital circuits on a coordinated set of field-programmable gate arrays (FPGAs)
US20120185820A1 (en) * 2011-01-19 2012-07-19 Suresh Kadiyala Tool generator
US20120296623A1 (en) * 2011-05-20 2012-11-22 Grayskytech Llc Machine transport and execution of logic simulation
US9703538B2 (en) * 2011-06-08 2017-07-11 Hyperion Core, Inc. Tool-level and hardware-level code optimization and respective hardware modification
US8789026B2 (en) 2011-08-02 2014-07-22 International Business Machines Corporation Technique for compiling and running high-level programs on heterogeneous computers
ES2538412T3 (en) * 2011-12-22 2015-06-19 Airbus Operations S.L. Heterogeneous parallel systems to accelerate simulations based on numerical methods for discrete meshes
US10430190B2 (en) 2012-06-07 2019-10-01 Micron Technology, Inc. Systems and methods for selectively controlling multithreaded execution of executable code segments
CN103678745B (en) * 2012-09-18 2016-09-28 中国科学院微电子研究所 A kind of cross-platform multi-level integrated design system for FPGA
US8533647B1 (en) 2012-10-05 2013-09-10 Atrenta, Inc. Method for generating an integrated and unified view of IP-cores for hierarchical analysis of a system on chip (SoC) design
KR102004852B1 (en) * 2012-11-15 2019-07-29 삼성전자 주식회사 System for designing semiconductor package using computing system and method for the same, device for fabricating semiconductor package comprising the system, semiconductor package designed by the method
US10558437B1 (en) * 2013-01-22 2020-02-11 Altera Corporation Method and apparatus for performing profile guided optimization for high-level synthesis
WO2014151017A1 (en) * 2013-03-15 2014-09-25 Arganteal, Llc Method of taking a computer architecture representation and generating manufaturing method capable of manufacturing computer systems in a specification
US9003358B2 (en) * 2013-03-15 2015-04-07 Russell Sellers Method of taking a computer architecture respresentation and generating manufacturing methods capable of manufacturing a computer systems contained in a specification
US8806401B1 (en) 2013-03-15 2014-08-12 Atrenta, Inc. System and methods for reasonable functional verification of an integrated circuit design
CN104080099B (en) * 2013-03-29 2017-06-27 华为技术有限公司 A kind of wireless controller communication means and wireless controller
WO2015035320A1 (en) * 2013-09-06 2015-03-12 Huawei Technologies Co., Ltd. System and method for an asynchronous processor with a hierarchical token system
US9520180B1 (en) 2014-03-11 2016-12-13 Hypres, Inc. System and method for cryogenic hybrid technology computing and memory
US9583072B2 (en) * 2014-03-26 2017-02-28 Ati Technologies Ulc Spatial dithering for a display panel
US11755202B2 (en) 2015-01-20 2023-09-12 Ultrata, Llc Managing meta-data in an object memory fabric
EP3248097B1 (en) * 2015-01-20 2022-02-09 Ultrata LLC Object memory data flow instruction execution
US10437699B2 (en) * 2015-01-21 2019-10-08 International Business Machines Corporation Measuring execution time of benchmark programs in a simulated environment
US9971542B2 (en) 2015-06-09 2018-05-15 Ultrata, Llc Infinite memory fabric streams and APIs
US10698628B2 (en) 2015-06-09 2020-06-30 Ultrata, Llc Infinite memory fabric hardware implementation with memory
US9886210B2 (en) 2015-06-09 2018-02-06 Ultrata, Llc Infinite memory fabric hardware implementation with router
US9672306B2 (en) 2015-09-03 2017-06-06 International Business Machines Corporation Preparing engineering change orders for physical design using boolean equivalence checking tools
US9690894B1 (en) 2015-11-02 2017-06-27 Altera Corporation Safety features for high level design
US10255398B2 (en) * 2015-11-20 2019-04-09 Autodesk, Inc. Automated techniques for designing programmed electronic devices
EP3387547B1 (en) 2015-12-08 2023-07-05 Ultrata LLC Memory fabric software implementation
WO2017100288A1 (en) 2015-12-08 2017-06-15 Ultrata, Llc. Memory fabric operations and coherency using fault tolerant objects
US10615802B2 (en) * 2016-03-18 2020-04-07 The Governing Council Of The University Of Toronto Dynamic parameter operation of an FPGA
US10325049B2 (en) 2017-01-18 2019-06-18 International Business Machines Corporation Placement-driven generation of error detecting structures in integrated circuits
IL263049B1 (en) * 2018-05-06 2024-01-01 Pcbix Ltd A method and system for producing a product from a verbal description thereof
US10891411B2 (en) 2018-11-29 2021-01-12 International Business Machines Corporation Hierarchy-driven logical and physical synthesis co-optimization
US11169783B2 (en) * 2019-02-05 2021-11-09 Vayavya Labs Private Limited System and method for generating an executable hardware-software interface specification
US11409939B2 (en) * 2019-04-05 2022-08-09 VAXEL Inc. Test generation systems and methods
US10839124B1 (en) * 2019-06-26 2020-11-17 Amazon Technologies, Inc. Interactive compilation of software to a hardware language to satisfy formal verification constraints
CN116541075B (en) * 2023-07-05 2023-09-01 苏州浪潮智能科技有限公司 Domain-specific architecture processor and acceleration computing method, medium and device thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030225552A1 (en) * 2002-05-30 2003-12-04 Nec Corporation Efficient approaches for bounded model checking
US20040098682A1 (en) * 2002-11-13 2004-05-20 Fujitsu Limited Reachabilty-based verification of a circuit using one or more multiply rooted binary decision diagrams
US20050005261A1 (en) * 2003-07-02 2005-01-06 Severin William B. Component integration engine

Family Cites Families (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5392409A (en) * 1984-01-18 1995-02-21 Hitachi, Ltd. I/O execution method for a virtual machine system and system therefor
JPH0619747B2 (en) * 1984-01-18 1994-03-16 株式会社日立製作所 I / O instruction execution method, I / O interrupt processing method, and computer system using them
GB8902982D0 (en) * 1989-02-10 1989-03-30 Plessey Co Plc Machine for circuit design
US5448493A (en) * 1989-12-20 1995-09-05 Xilinx, Inc. Structure and method for manually controlling automatic configuration in an integrated circuit logic block array
US5870308A (en) 1990-04-06 1999-02-09 Lsi Logic Corporation Method and system for creating and validating low-level description of electronic design
US5572436A (en) 1990-04-06 1996-11-05 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design
US5557531A (en) 1990-04-06 1996-09-17 Lsi Logic Corporation Method and system for creating and validating low level structural description of electronic design from higher level, behavior-oriented description, including estimating power dissipation of physical implementation
US5623418A (en) 1990-04-06 1997-04-22 Lsi Logic Corporation System and method for creating and validating structural description of electronic system
US5541849A (en) 1990-04-06 1996-07-30 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including estimation and comparison of timing parameters
US5301284A (en) * 1991-01-16 1994-04-05 Walker-Estes Corporation Mixed-resolution, N-dimensional object space method and apparatus
US5551033A (en) * 1991-05-17 1996-08-27 Zenith Data Systems Corporation Apparatus for maintaining one interrupt mask register in conformity with another in a manner invisible to an executing program
JP2862036B2 (en) 1991-09-17 1999-02-24 三菱電機株式会社 Numerical control unit
US5490083A (en) * 1992-10-05 1996-02-06 Matsushita Electric Industrial Co., Ltd. Method and apparatus for classifying and evaluating logic circuit
US5751592A (en) * 1993-05-06 1998-05-12 Matsushita Electric Industrial Co., Ltd. Apparatus and method of supporting functional design of logic circuit and apparatus and method of verifying functional design of logic circuit
TW396312B (en) * 1993-12-30 2000-07-01 At & T Corp Method and apparatus for converting field-programmable gate array implementations into mask-programmable logic cell implementations
US5469367A (en) 1994-06-06 1995-11-21 University Technologies International Inc. Methodology and apparatus for modular partitioning for the machine design of asynchronous circuits
JPH08101861A (en) 1994-09-30 1996-04-16 Toshiba Corp Logic circuit synthesizing device
US5546562A (en) 1995-02-28 1996-08-13 Patel; Chandresh Method and apparatus to emulate VLSI circuits within a logic simulator
US5752035A (en) * 1995-04-05 1998-05-12 Xilinx, Inc. Method for compiling and executing programs for reprogrammable instruction set accelerator
US5748979A (en) * 1995-04-05 1998-05-05 Xilinx Inc Reprogrammable instruction set accelerator using a plurality of programmable execution units and an instruction page table
US6077315A (en) * 1995-04-17 2000-06-20 Ricoh Company Ltd. Compiling system and method for partially reconfigurable computing
US6026219A (en) 1995-05-12 2000-02-15 Synopsys, Inc. Behavioral synthesis links to logic synthesis
US5953519A (en) 1995-06-12 1999-09-14 Fura; David A. Method and system for generating electronic hardware simulation models
SE505783C3 (en) * 1995-10-03 1997-10-06 Ericsson Telefon Ab L M The process still produces a digital signal processor
US6532531B1 (en) * 1996-01-24 2003-03-11 Sun Microsystems, Inc. Method frame storage using multiple memory circuits
JP2869379B2 (en) * 1996-03-15 1999-03-10 三菱電機株式会社 Processor synthesis system and processor synthesis method
US6173434B1 (en) * 1996-04-22 2001-01-09 Brigham Young University Dynamically-configurable digital processor using method for relocating logic array modules
US5784636A (en) * 1996-05-28 1998-07-21 National Semiconductor Corporation Reconfigurable computer architecture for use in signal processing applications
US5841967A (en) 1996-10-17 1998-11-24 Quickturn Design Systems, Inc. Method and apparatus for design verification using emulation and simulation
US5897658A (en) * 1996-11-26 1999-04-27 International Business Machines Corporation Method and apparatus for protecting portions of memory by providing access requests to a communications area for processing by a hidden server
US6117180A (en) 1997-02-24 2000-09-12 Lucent Technologies Inc. Hardware-software co-synthesis of heterogeneous distributed embedded systems for low overhead fault tolerance
JPH10240522A (en) * 1997-02-26 1998-09-11 Matsushita Electric Works Ltd Arithmetic unit
JP2967749B2 (en) 1997-03-07 1999-10-25 日本電気株式会社 Logic synthesis system for testability
AUPO648397A0 (en) * 1997-04-30 1997-05-22 Canon Information Systems Research Australia Pty Ltd Improvements in multiprocessor architecture operation
US6311258B1 (en) * 1997-04-03 2001-10-30 Canon Kabushiki Kaisha Data buffer apparatus and method for storing graphical data using data encoders and decoders
US6707463B1 (en) * 1997-04-30 2004-03-16 Canon Kabushiki Kaisha Data normalization technique
US6674536B2 (en) * 1997-04-30 2004-01-06 Canon Kabushiki Kaisha Multi-instruction stream processor
US6507898B1 (en) * 1997-04-30 2003-01-14 Canon Kabushiki Kaisha Reconfigurable data cache controller
AUPO647997A0 (en) * 1997-04-30 1997-05-22 Canon Information Systems Research Australia Pty Ltd Memory controller architecture
US6651225B1 (en) * 1997-05-02 2003-11-18 Axis Systems, Inc. Dynamic evaluation logic system and method
US6134516A (en) 1997-05-02 2000-10-17 Axis Systems, Inc. Simulation server system and method
US6009256A (en) 1997-05-02 1999-12-28 Axis Systems, Inc. Simulation/emulation system and method
US6185719B1 (en) * 1997-06-06 2001-02-06 Kawasaki Steel Corporation Pass-transistor logic circuit and a method of designing thereof
US6142682A (en) * 1997-06-13 2000-11-07 Telefonaktiebolaget Lm Ericsson Simulation of computer processor
US6083269A (en) 1997-08-19 2000-07-04 Lsi Logic Corporation Digital integrated circuit design system and methodology with hardware
US6078736A (en) * 1997-08-28 2000-06-20 Xilinx, Inc. Method of designing FPGAs for dynamically reconfigurable computing
US6226776B1 (en) * 1997-09-16 2001-05-01 Synetry Corporation System for converting hardware designs in high-level programming language to hardware implementations
WO1999018484A2 (en) * 1997-10-02 1999-04-15 Koninklijke Philips Electronics N.V. A processing device for executing virtual machine instructions
US6195788B1 (en) * 1997-10-17 2001-02-27 Altera Corporation Mapping heterogeneous logic elements in a programmable logic device
US6075935A (en) * 1997-12-01 2000-06-13 Improv Systems, Inc. Method of generating application specific integrated circuits using a programmable hardware architecture
JP3423603B2 (en) 1997-12-22 2003-07-07 シャープ株式会社 High-level synthesis apparatus, high-level synthesis method, and recording medium storing high-level synthesis program
US6145117A (en) * 1998-01-30 2000-11-07 Tera Systems Incorporated Creating optimized physical implementations from high-level descriptions of electronic design using placement based information
US6286128B1 (en) * 1998-02-11 2001-09-04 Monterey Design Systems, Inc. Method for design optimization using logical and physical information
DE19807872A1 (en) * 1998-02-25 1999-08-26 Pact Inf Tech Gmbh Method of managing configuration data in data flow processors
US6308255B1 (en) * 1998-05-26 2001-10-23 Advanced Micro Devices, Inc. Symmetrical multiprocessing bus and chipset used for coprocessor support allowing non-native code to run in a system
US6480952B2 (en) * 1998-05-26 2002-11-12 Advanced Micro Devices, Inc. Emulation coprocessor
US9195784B2 (en) * 1998-08-31 2015-11-24 Cadence Design Systems, Inc. Common shared memory in a verification system
US20060117274A1 (en) * 1998-08-31 2006-06-01 Tseng Ping-Sheng Behavior processor system and method
BR9914200A (en) * 1998-09-30 2002-01-22 Cadence Design Systems Inc Methods for designing a circuit system, for expanding an existing methodology for assessing the feasibility of a circuit design, for performing a feasibility assessment for a circuit design, for refining a first decision rule for a circuit design, to form a second decision rule for a circuit design, for organizing a designer's experience data for a plurality of pre-designed circuit blocks, for increasing glue logic distribution efficiency and for distributing a plurality of logic elements of glue between design blocks and distribute glue logic for execution in an integrated circuit device design scheme, to convert a circuit block-specific interface, to select a circuit collector, to design a device that incorporates the enable a device test to verify the correct operation of a and to develop a behavioral test bench, collar interface and interface system
US6968514B2 (en) * 1998-09-30 2005-11-22 Cadence Design Systems, Inc. Block based design methodology with programmable components
US6415384B1 (en) 1998-10-30 2002-07-02 Lucent Technologies Inc. Hardware/software co-synthesis of dynamically reconfigurable embedded systems
US8074055B1 (en) * 1999-01-28 2011-12-06 Ati Technologies Ulc Altering data storage conventions of a processor when execution flows from first architecture code to second architecture code
US6701515B1 (en) * 1999-05-27 2004-03-02 Tensilica, Inc. System and method for dynamically designing and evaluating configurable processor instructions
US6477683B1 (en) * 1999-02-05 2002-11-05 Tensilica, Inc. Automated processor generation system for designing a configurable processor and method for the same
AU3484100A (en) * 1999-02-05 2000-08-25 Tensilica, Inc. Automated processor generation system for designing a configurable processor andmethod for the same
US6453407B1 (en) * 1999-02-10 2002-09-17 Infineon Technologies Ag Configurable long instruction word architecture and instruction set
US6298472B1 (en) 1999-05-07 2001-10-02 Chameleon Systems, Inc. Behavioral silicon construct architecture and mapping
US6397341B1 (en) * 1999-08-27 2002-05-28 Synopsys, Inc. Method for improving the speed of behavioral synthesis links to logic synthesis
GB9920676D0 (en) * 1999-09-01 1999-11-03 Tao Group Ltd Translating and executing object-oriented computer programs
US6550042B1 (en) 1999-09-14 2003-04-15 Agere Systems Inc. Hardware/software co-synthesis of heterogeneous low-power and fault-tolerant systems-on-a chip
US7099812B2 (en) * 1999-09-24 2006-08-29 Intrinsity, Inc. Grid that tracks the occurrence of a N-dimensional matrix of combinatorial events in a simulation using a linear index
US6408382B1 (en) * 1999-10-21 2002-06-18 Bops, Inc. Methods and apparatus for abbreviated instruction sets adaptable to configurable processor architecture
AU1232501A (en) 1999-10-29 2001-05-14 Antrim Design Systems, Inc. Mixed signal synthesis behavioral models and use in circuit design optimization
US6263302B1 (en) * 1999-10-29 2001-07-17 Vast Systems Technology Corporation Hardware and software co-simulation including simulating the cache of a target processor
US6751583B1 (en) * 1999-10-29 2004-06-15 Vast Systems Technology Corporation Hardware and software co-simulation including simulating a target processor using binary translation
US6230114B1 (en) * 1999-10-29 2001-05-08 Vast Systems Technology Corporation Hardware and software co-simulation including executing an analyzed user program
US6513110B1 (en) * 1999-12-15 2003-01-28 Transmeta Corporation Check instruction and method
JP3556556B2 (en) * 2000-02-08 2004-08-18 株式会社東芝 Instruction code conversion device and information processing system
US6625789B2 (en) * 2000-04-14 2003-09-23 Hitachi, Ltd. Computer-readable medium for recording interface specifications
US6725361B1 (en) * 2000-06-16 2004-04-20 Transmeta Corporation Method and apparatus for emulating a floating point stack in a translation process
US6615300B1 (en) * 2000-06-19 2003-09-02 Transmeta Corporation Fast look-up of indirect branch destination in a dynamic translation system
US6611947B1 (en) * 2000-08-23 2003-08-26 Jasper Design Automation, Inc. Method for determining the functional equivalence between two circuit models in a distributed computing environment
JP3852741B2 (en) 2000-10-31 2006-12-06 シャープ株式会社 High level synthesis method and high level synthesis apparatus
US20030061409A1 (en) * 2001-02-23 2003-03-27 Rudusky Daryl System, method and article of manufacture for dynamic, automated product fulfillment for configuring a remotely located device
US6980946B2 (en) * 2001-03-15 2005-12-27 Microsoft Corporation Method for hybrid processing of software instructions of an emulated computer system
US6938237B1 (en) 2001-06-29 2005-08-30 Ellipsis Digital Systems, Inc. Method, apparatus, and system for hardware design and synthesis
US6912706B1 (en) * 2001-08-15 2005-06-28 Xilinx, Inc. Instruction processor and programmable logic device cooperative computing arrangement and method
US20030121010A1 (en) * 2001-12-21 2003-06-26 Celoxica Ltd. System, method, and article of manufacture for estimating a potential performance of a codesign from an executable specification
JP2003288203A (en) * 2002-03-27 2003-10-10 Asahi Kasei Corp Processor development support device
JP4202673B2 (en) * 2002-04-26 2008-12-24 株式会社東芝 System LSI development environment generation method and program thereof
US7093156B1 (en) * 2002-05-13 2006-08-15 Virage Logic Corp. Embedded test and repair scheme and interface for compiling a memory assembly with redundancy implementation
GB0304628D0 (en) * 2003-02-28 2003-04-02 Imec Inter Uni Micro Electr Method for hardware-software multitasking on a reconfigurable computing platform
US7028281B1 (en) * 2002-07-12 2006-04-11 Lattice Semiconductor Corporation FPGA with register-intensive architecture
WO2004025904A2 (en) 2002-09-13 2004-03-25 Nortel Networks Limited Generalized layer-2 vpns
US6803787B1 (en) * 2002-09-25 2004-10-12 Lattice Semiconductor Corp. State machine in a programmable logic device
US6964029B2 (en) * 2002-10-31 2005-11-08 Src Computers, Inc. System and method for partitioning control-dataflow graph representations
US7299458B2 (en) * 2002-10-31 2007-11-20 Src Computers, Inc. System and method for converting control flow graph representations to control-dataflow graph representations
GB0225649D0 (en) * 2002-11-04 2002-12-11 Transitive Technologies Ltd Incremental validation
US7140003B2 (en) * 2003-02-14 2006-11-21 International Business Machines Corporation Method and system for specifying sets of instructions for selection by an instruction generator
US7162617B2 (en) * 2003-02-14 2007-01-09 Fine Arc Incorporated Data processor with changeable architecture
US7131077B1 (en) * 2003-03-28 2006-10-31 Xilinx, Inc Using an embedded processor to implement a finite state machine
US7590829B2 (en) * 2003-03-31 2009-09-15 Stretch, Inc. Extension adapter
US7711535B1 (en) * 2003-07-11 2010-05-04 Altera Corporation Simulation of hardware and software
US7373642B2 (en) * 2003-07-29 2008-05-13 Stretch, Inc. Defining instruction extensions in a standard programming language
US7065736B1 (en) * 2003-09-24 2006-06-20 Sandia Corporation System for generating two-dimensional masks from a three-dimensional model using topological analysis
US7149736B2 (en) * 2003-09-26 2006-12-12 Microsoft Corporation Maintaining time-sorted aggregation records representing aggregations of values from multiple database records using multiple partitions
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US20080208372A1 (en) * 2003-11-10 2008-08-28 Pannese Patrick D Scheduling with neural networks and state machines
US7181383B1 (en) * 2003-11-26 2007-02-20 Cadence Design Systems, Inc. System and method for simulating a circuit having hierarchical structure
US8006074B1 (en) * 2003-12-24 2011-08-23 Altera Corporation Methods and apparatus for executing extended custom instructions
US7188266B1 (en) * 2004-03-08 2007-03-06 Altera Corporation Systems and methods for reducing static and total power consumption in a programmable logic device
US7231621B1 (en) * 2004-04-30 2007-06-12 Xilinx, Inc. Speed verification of an embedded processor in a programmable logic device
US20050262278A1 (en) * 2004-05-20 2005-11-24 Schmidt Dominik J Integrated circuit with a plurality of host processor family types
US7278122B2 (en) * 2004-06-24 2007-10-02 Ftl Systems, Inc. Hardware/software design tool and language specification mechanism enabling efficient technology retargeting and optimization
US8156307B2 (en) * 2007-08-20 2012-04-10 Convey Computer Multi-processor system having at least one processor that comprises a dynamically reconfigurable instruction set

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030225552A1 (en) * 2002-05-30 2003-12-04 Nec Corporation Efficient approaches for bounded model checking
US20040098682A1 (en) * 2002-11-13 2004-05-20 Fujitsu Limited Reachabilty-based verification of a circuit using one or more multiply rooted binary decision diagrams
US20050005261A1 (en) * 2003-07-02 2005-01-06 Severin William B. Component integration engine

Also Published As

Publication number Publication date
US7805690B2 (en) 2010-09-28
US20140189619A1 (en) 2014-07-03
US20110055516A1 (en) 2011-03-03
US7278122B2 (en) 2007-10-02
EP1769409A4 (en) 2009-02-25
US20080250360A1 (en) 2008-10-09
US20050289485A1 (en) 2005-12-29
EP1769409A2 (en) 2007-04-04
WO2006012335A2 (en) 2006-02-02
US8621410B2 (en) 2013-12-31

Similar Documents

Publication Publication Date Title
WO2006012335A3 (en) Hardware/software design tool and language specification mechanism enabling efficient technology retargeting and optimization
Zhong et al. Design space exploration of multiple loops on FPGAs using high level synthesis
WO2010107523A3 (en) Interpretation and execution of a customizable database request using an extensible computer process and an available computing environment
JP2008505397A (en) Executing hardware description language (HDL) programs
Park et al. A multi-granularity power modeling methodology for embedded processors
Moreau et al. Approximate computing: Making mobile systems more efficient
Zuo et al. A polyhedral-based systemc modeling and generation framework for effective low-power design space exploration
Tsiokanos et al. Low-power variation-aware cores based on dynamic data-dependent bitwidth truncation
Liang et al. FlexCL: A model of performance and power for OpenCL workloads on FPGAs
Verma et al. EXTREM-EDGE—EXtensions To RISC-V for Energy-efficient ML inference at the EDGE of IoT
CN102004679B (en) Componentization embedded software energy consumption estimation model based on Markov chain
Kumar et al. Machine learning-based microarchitecture-level power modeling of CPUs
Singh et al. VHDL environment for floating point Arithmetic Logic Unit-ALU design and simulation
Rethinagiri et al. Vppet: Virtual platform power and energy estimation tool for heterogeneous mpsoc based fpga platforms
Van der Auweraer et al. The new paradigm of testing in today's product development process
Roozmeh et al. Design space exploration of multi-core RTL via high level synthesis from OpenCL models
Enzler et al. System-level performance evaluation of reconfigurable processors
CN111143208B (en) Verification method for assisting FPGA to realize AI algorithm based on processor technology
Özeloğlu et al. Deep reinforcement learning‐based autonomous parking design with neural network compute accelerators
Gao et al. LiteAIR5: A System-Level Framework for the Design and Modeling of AI-extended RISC-V Cores
Park et al. Methodology for multi-granularity embedded processor power model generation for an ESL design flow
Kumar et al. Variation-conscious formal timing verification in RTL
Westring et al. Low-power Acceleration of Convolutional Neural Networks using Near Memory Computing on a RISC-V SoC
Mohanty et al. A model-based methodology for application specific energy efficient data path design using FPGAs
Aradhya et al. RTL to GDSII of Harvard structure RISC processor

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KM KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NG NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DPE1 Request for preliminary examination filed after expiration of 19th month from priority date (pct application filed from 20040101)
NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Country of ref document: DE

WWE Wipo information: entry into national phase

Ref document number: 2005767779

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2005767779

Country of ref document: EP