WO2006123870A1 - Apparatus for chemical vapor deposition with shower head and method therof - Google Patents
Apparatus for chemical vapor deposition with shower head and method therof Download PDFInfo
- Publication number
- WO2006123870A1 WO2006123870A1 PCT/KR2006/001760 KR2006001760W WO2006123870A1 WO 2006123870 A1 WO2006123870 A1 WO 2006123870A1 KR 2006001760 W KR2006001760 W KR 2006001760W WO 2006123870 A1 WO2006123870 A1 WO 2006123870A1
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- showerhead
- reactive gas
- gas
- purge gas
- reactive
- Prior art date
Links
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/448—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
- C23C16/4481—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/448—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
- C23C16/4485—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45519—Inert gas curtains
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
- C30B25/14—Feed and outlet means for the gases; Modifying the flow of the reactive gases
Definitions
- the present invention relates to an apparatus for chemical vapor deposition (CVD) that is one of effective means for forming a film on a semiconductor integrated circuit, a fine mechanical structure, or a tool which requires surface treatments, and more particularly, to an apparatus for chemical vapor deposition (CVD) with a showerhead and method thereof, that can prevent a undesired particle deposition on the showerhead which supplies reactive gases uniformly over a substrate to grow a uniform film on the substrate in thickness and composition.
- the present invention is associated with U.S. Patent Laid-Open Publication No. 2003- 0077388 ("Method and apparatus for chemical vapor deposition capable of preventing contamination and enhancing film growth rate" filed on October 9, 2002), the entire contents of which are hereby incorporated by reference.
- a reactive gas is introduced into a vacuum reaction chamber, passes through a showerhead, then reaches a susceptor or a substrate holder on which a substrate is located. Therefore, the reactive gas causes chemical reaction on the substrate to form a desired film.
- a method of simply heating the substrate or atomically exciting the reactive gas, such as making plasma is widely used.
- byproduct gases are removed from the reaction chamber by an exhaust system including a vacuum pump, passes through a purifying system, then discharged into the atmosphere.
- the reactive gases do not react each other in a gaseous state.
- the mixture may cause homogeneous reactions in the gas phase so as to generate contaminant particles, or cause heterogeneous reactions on a solid-state surface, such as the showerhead surface or the reaction chamber wall so as to deposit undesired particles.
- the reactive gas is sensitive to a specific material, for example, zirconium tert-butoxide (Zr(OC 4 Hg) 4 ) is extremely sensitive to moisture so as to form zirconium hydroxide (Zr(OH) x ) which is a powder.
- the moisture could have been physically adsorbed on the inner side of the reaction chamber but it may be also generated over the substrates as a byproduct gas.
- Such moisture reacts with Zr(OC 4 Hg) 4 on the inner wall of the reaction chamber or the surface of the showerhead, depositing a zirconium hydroxides of white powder type on the surface thereof. Then, the deposited particles are flaked off into fine particles due to a repeated thermal expansion and contraction and/or a lattice parameter mismatch with the inner wall of the reaction chamber. As a result of this, the film formed on the substrate may be contaminated and the productivity may be lowered due to a shortened process management cycle time for removing the deposited particles.
- contaminant particles may cause a pattern defect such as short or disconnection between lines, and a size of the contaminant particle influencing on yield is in proportion to the line width. Therefore, as the line size becomes smaller, that is, as the density of the integration is increased, the size of particle influencing on yield becomes also smaller, whereby the number of contaminant particles to be controlled in the reaction chamber is more seriously limited.
- FIG. 1 is a brief sectional view of a reaction chamber of a conventional plate type plasma CVD apparatus using a simple showerhead having a large number of holes as described in U.S. Patent No. 6,631,692.
- material gases that is, reactive gases flowing from a material gas supply tank is controlled by a mass flow controller 8 at a preferable flow rate, and the material gas delivered into a showerhead 20 is supplied on a substrate through fine holes formed on the bottom surface of the showerhead after being mixed sufficiently.
- a radio frequency (RF) electric field is generated between the showerhead 20 connected to an RF power source 4 and a susceptor grounded to an earth 13, and then, the material gas is ionized and the plasma state occurs. Atoms of the ionized material gas shows a chemical reaction on a semiconductor substrate 9 located on the susceptor 30, which keeps temperature of the substrate higher than that of surroundings using a substrate heater 14 embedded in the reaction chamber, whereby a desirable film is formed on the substrate 9.
- RF radio frequency
- the silicon source gases such as SiH 4 , DM-DMOS[(CH 3 ) 2 Si(OCH 3 ) 2 ], and TEOS, fluorine source gases such as C 2 F 6 , oxidizing gases such as oxygen, and inert gases such as Ar and He can be used.
- the material gas may cause chemical reaction inside the showerhead or generate contaminant particles by decomposing by itself, thereby contaminating the inside of the reaction chamber and the surface of the substrate.
- FIG. 2 shows a schematic sectional view of a showerhead of a prior art, U.S. Patent No. 6, 626, 998, that has a function to uniformly spray reactive gas, which is introduced into a reaction chamber, over a substrate through a plurality of outlets without gas mixing.
- each reactive gas is supplied to first ring type individual channels 23 through a plurality of gas supply passages 17, the gases are diffused in the first individual channels 23, and then, transmitted to second ring type individual channels 27 through a plurality of transition passages 25 formed on the bottom of each channel. After diffusion of the reactive gases in the second channels 27, the gases are supplied over a substrate through a plurality of second gas transition passages 31 which are formed on the bottom of the second channels.
- the reactive gases cause chemical reaction on the substrate (not shown) placed on a susceptor keeping temperature of the substrate higher than that of surroundings so as to form a desired film on the substrate.
- a reactive gas such as metal-organic compound gas which has a decomposition temperature of about 200 "C or below may cause heterogeneous surface reactions including a thermal decomposition on the bottom surface of the showerhead, and particularly, if the reactive gas is sensitive to a specific material like moisture, the reactive gas may form unwanted deposits on the bottom of the showerhead by combining with the moisture produced as a byproduct.
- FIG. 3 shows that the reactive gas or byproduct gas may reversely diffuse toward the showerhead in case that there is not provided suitable suppressing means.
- a thin arrow indicates an average drift flow of the reactive gases
- a thick arrow indicates a reverse diffusion direction of the reactive gases or byproducts toward the showerhead.
- the byproducts generated over the substrate may be reversely diffused toward a zone 8 existing between the showerhead and the substrate, and the gases in the zone 8 may be also reversely diffused toward the showerhead. Therefore, even if the conventional showerhead device shown in FIG.
- each reactive gas may be mixed inside the showerhead and generating particles, in case that there is not provided suitable suppressing means, undesired particles may be deposited on the bottom of the showerhead by thermal decompositions or other chemical reactions. And this problem is especially serious if the substrate temperature becomes higher.
- an object of the present invention to provide an apparatus for chemical vapor deposition (CVD) with a showerhead and method thereof, which can independently deliver each reactive gas through the showerhead, thereby preventing the reactive gases from causing chemical reaction and generating unwanted particles inside the showerhead.
- CVD chemical vapor deposition
- CVD chemical vapor deposition
- CVD chemical vapor deposition
- a method of chemical vapor deposition which supplies a source material gas over a substrate through a showerhead to deposit a film on the substrate, comprising the steps of: disposing a showerhead in such a way that the bottom surface of the showerhead is spaced apart from the substrate by a predetermined distance; supplying a source material comprising a reactive gas of at least one kind and a purge gas into the showerhead, wherein reactive gases of different kinds are respectively supplied into compartments formed inside the showerhead in such a way that each compartment of the showerhead is filled with the reactive gas of only one kind, and a purge gas is supplied into another compartment formed inside the showerhead; and injecting the reactive gas and the purge gas respectively through a large number of reactive gas outlets and a large number of purge gas outlets formed on the bottom surface of the showerhead, the purge gas outlets being more in number than the reactive gas outlets.
- CVD chemical vapor deposition
- an apparatus for chemical vapor deposition (CVD) with a showerhead which supplies a source material gas comprising a reactive gas of at least one kind and a purge gas over a substrate through the showerhead to deposit a film on the substrate
- the showerhead includes: a plurality of reactive gas showerhead modules of the same number as the kinds of reactive gases, each of the reactive gas showerhead module having an inner space separated from each other and a large number of reactive gas flow channels connected to the bottom surface thereof for injecting the reactive gas over the substrate; and a purge gas showerhead module mounted under the reactive gas showerhead modules, having a purge gas supply port for supplying a purge gas thereto, an inner space separated from inner spaces of the reactive gas showerhead modules for being filled with the purge gas only, a large number of inlets formed on the upper surface thereof for allowing a penetration of the said reactive gas flow channels through the inner space thereof with hermetic sealing at the joints thereof, and a large number of exits for reactive gas flow channels
- an apparatus for chemical vapor deposition (CVD) with a showerhead which supplies a source material gas comprising a reactive gas of at least one kind and a purge gas over a substrate through the showerhead to deposit a film on the substrate
- the showerhead includes: a reactive gas showerhead module, of which inner space is divided into a plurality of separated compartments to introduce different kinds of reactive gases separately and alternatively through a reactive gas supply port formed on each compartment, having a plurality of reactive gas delivering holes formed on a bottom surface of each compartment and reactive gas flow channels connected to every reactive gas delivering hole for supplying reactive gases over the substrate; and a purge gas showerhead module mounted under the reactive gas showerhead module, of which inner space is separated from the said reactive gas showerhead module and filled with the purge gas through a purge gas supply port formed therein, having a large number of inlets formed on the upper surface thereof for allowing a penetration of the said reactive gas flow channels through the inner space thereof with hermetic sealing
- FIG. 1 is a brief sectional view showing a reaction chamber of a conventional plate type plasma CVD apparatus using a simple showerhead having a large number of exit holes;
- FIG. 2 is a brief sectional view showing a conventional showerhead for uniformly injecting reactive gases over a substrate through a large number of outlets without reactive gas mixing therein;
- FIG. 3 is an exemplary view showing that the reactive gas or byproduct gas may reversely diffuse toward the showerhead in case that there is not provided suitable suppressing means.
- FIG. 4 is an exploded perspective view of a first embodiment according to the present invention including a plurality of reactive gas showerhead modules vertically laid on another and a purge gas showerhead module;
- FIG. 5 is a sectional view of the first embodiment according to the present invention including a plurality of reactive gas showerhead modules vertically laid on another and a purge gas showerhead module;
- FIG. 6 is a bottom view seen from the bottom side of the purge gas showerhead module according to the first embodiment of a showerhead of the present invention
- FIG. 7 is an exemplary view of the first embodiment of a showerhead according to the present invention, showing flow directions of a reactive gas and a purge gas injected from the showerhead;
- FIG. 8 is an exemplary view of the first embodiment of a showerhead according to the present invention, showing flow directions of a reactive gas and a purge gas injected from the showerhead where reactive gas flow channel exits are extended toward the substrate by a predetermined length;
- FIG. 9 is an exploded perspective view of a second embodiment of a showerhead according to the present invention including a reactive gas showerhead module with compartments divided by vertical partitions and a purge gas showerhead module;
- FIG. 10 is a sectional view of the second embodiment of a showerhead according to the present invention including a reactive gas showerhead module having compartments divided by vertical partitions and a purge gas showerhead module;
- FIG. 11 is a bottom view seen from the bottom side of the purge gas showerhead module according to the second embodiment of the present invention
- FIG. 12 is an exemplary view of compartments of a sliced cake shape according to the second embodiment of the present invention.
- FIG. 13 is an exemplary view of compartments of a modified sliced cake shape according to the second embodiment of the present invention.
- FIG. 14 is an exemplary view of compartments of a modified sliced cake shape in which locations of reactive gas flow channels are shifted by a predetermined distance along the radial direction according to the second embodiment of the present invention
- FIG. 15 is an exemplary view showing a configuration where the showerhead according to the present invention is applied to a reactive gas confining means
- FIG. 16 is an exemplary view of the conventional CVD apparatus equipped with a reactive gas confining means on which the effect of the present invention does not exert;
- FIG. 17 is an exemplary view showing a configuration where the showerhead according to the present invention is applied to another reactive gas confining means.
- FIG. 18 is an exemplary view showing a configuration having a cylindrical cooling jacket surrounding the showerhead according to another embodiment of the present invention.
- each reactive gas passes through a showerhead independently in the present invention, thereby preventing mixing of the reactive gases inside the showerhead, and the backward diffusion of the reactive gas and byproducts toward the showerhead is suppressed by a simultaneous jetting of purge gas from the bottom of the showerhead, thereby preventing unwanted deposition of particles on outlets and bottom surfaces of the showerhead.
- the present invention was applied to a "reactive gas confining means" claimed in U.S. Patent Laid-Open Publication No.
- the purge gas doesn't either dissolve or generate byproducts by itself.
- the purge gas includes Ar, N 2 , and He.
- H 2 or O 2 may be included as a purge gas too, since it does not dissolve or generate byproducts by itself but may participate in the deposition process as a reactant.
- the purge gas having a relatively small molecular weight, diffuses instantly in the reaction chamber and is relatively little influenced by a force circulation done by the act of vacuum pumping, and does not cause deposition or surface reaction on the inner structure of the reaction chamber.
- the reactive gas is a source material of vapor phase that participates directly in forming the film by pyrolysis, combination, and etc.; a mixture of vapor phase containing a main source material and carrier gas for vaporizing or diluting the main source material; or a purely vaporized main source material without the aid of carrier gas.
- the main source material includes, for example, Pb(C 2 Hs) 4 which is a source material of Pb, Zr(OC 4 Hg) 4 which is a source material of Zr, and Ti(OC 3 H 7 ) 4 which is a source material of Ti, which are metal-organic compounds for the deposition of PZT (Lead Zirconium-Titanate) films.
- the carrier gas includes, for example, Ar, N 2 , He, H 2 etc.
- the reactive gas causes adsorption and surface reaction on all of the inner structure of the reaction chamber which includes substrates, the reaction chamber inner wall, and the showerhead.
- FIGS. 4 to 8 show a first embodiment of a showerhead including a plurality of reactive gas showerhead modules and one purge gas showerhead module, wherein FIG. 4 is an exploded perspective view showing a state before assembly, and FIG. 5 is a sectional view of an assembled state, FIG. 6 is a bottom view of the showerhead.
- the showerhead 100 includes a plurality of the reactive gas showerhead modules 140 and 240 corresponding to the number of reactive gases, and one purge gas showerhead module 440.
- the reactive gas showerhead module means an assembly including at least one module for diffusing and delivering one of reactive gases.
- reactive gases of two kinds are used.
- One reactive gas is introduced into the upper reactive gas showerhead module 140 through an inlet 153 thereof, sufficiently diffused inside the reactive gas showerhead module 140 while passing through a diffusion plate 157 having a large number of fine holes, and then discharged from the reactive gas showerhead module 140 along a large number of holes 143 of the reactive gas showerhead module 140.
- Another reactive gas is introduced into the lower reactive gas showerhead module 240 through an inlet 253 thereof, and so on.
- a circular tube type reactive gas flow channel 144 is connected to each hole 143, and extends through the lower reactive gas showerhead module 240 located under the upper reactive gas showerhead module 140.
- the reactive gas flow channels 144 and 244 respectively extended from the reactive gas showerhead modules 140 and 240 finally pass through the upper surface 447 and the inside of the purge gas showerhead module 440.
- the purge gas is introduced into the purge gas showerhead module 440 through a purge gas inlet 453 thereof, sufficiently diffused inside the purge gas showerhead module 440 while passing through a diffusion plate 457 having a large number of fine holes, and then, reaches a purge gas showerhead module bottom 442.
- the purge gas showerhead module bottom 442 includes a large number of relatively large size exits 445 for surrounding end tips of reactive gas flow channels and a large number of relatively small size exits 446 for injecting purge gas only.
- the tube type gas flow channels 144 and 244 which pass through the upper surface 447 and penetrate the inside of the purge gas showerhead module 440 come to an end at the open ended area of the exits 445.
- the reactive gas is injected toward the substrate from the ends of the reactive gas flow channels 144 and 244 located at the central area of the reactive gas flow channel exit 445 of large size
- the purge gas is injected toward the substrate along an edge area of the reactive gas flow channel exit 445 of large size, namely, along a gap formed between the inner wall of the reactive gas flow channel exit 445 and the outer wall of the reactive gas flow channels 144 and 244 so that the purge gas is concentrically injected by surrounding the reactive gas:
- the end tip of the reactive gas flow channels 144 and 244 are 0 to 3mm indented or protruded from the end tip of the reactive gas flow channel exits 445 so as to effectively prevent contamination due to unwanted particle deposition on both of the reactive gas flow channels 144 and 244 and the reactive gas flow channel exit 445.
- purge gas is injected toward the substrate through the purge gas exit 446 of small area. Owing to above operations of the purge gas, the prevention of unwanted particle deposition on the bottom surface of the purge gas showerhead module 440, reactive gas flow channel exit 445, and reactive gas flow channels 144 and 244 is accomplished.
- the total flow rate of the purge gas is externally controlled and would be several times as much as that of the reactive gas.
- FIG. 7 shows an injection pattern of the reactive gas and the purge gas near the bottom surface of the purge gas showerhead module. It is desirable that a flow rate of the purge gas injected through a reactive gas flow channel exit 445 is higher than that of the purge gas injected through a purge gas exit 446. It is desirable that the arrangement interval between the reactive gas flow channel exit 445 on the bottom surface of the purge gas showerhead module 440 is about 10mm along both of the X and Y directions in the bottom surface, so that the number of the reactive gas flow channel exits 445 is about 250 for a 200mm wafer and about 500 for a 300mm wafer, and that a inner diameter of the reactive gas flow channel exit 445 is 3.5mm to 5mm.
- the purge gas exits 446 are arranged at proper intervals among the reactive gas flow channel exits 445, and the diameter of the purge gas exit is 0.8mm ⁇ 1.4mm.
- the arrangement intervals and the number of the exits are not restricted to above values, but may be determined based on fabrication difficulties and required specifications such as uniformity.
- the reactive gas flow channel exit 445 is extended for a proper distance towards the substrate (not shown) by connecting a tube type exit extension 444 to the reactive gas flow channel exit 445.
- a tube type exit extension 444 to the reactive gas flow channel exit 445.
- O-ring 276 may be used to make a hermetic sealing between the reactive gas flow channel 144 and corresponding faces 142, 247, 242, or 447 of the showerhead module.
- the O- ring groove 275 is prepared to have an inner diameter larger than the outer diameter of the O-ring 276 by 0.2mm to 0.3mm, and a depth smaller than the thickness of the O-ring by 0.6 to 0.9mm.
- the showerhead modules 140, 240, and 440 are tightened together by bolts 501. Then, a hermetic sealing between the reactive gas channels 144 and the upper surface of the lower reactive gas showerhead module 240 is done by the effect of pressed O-ring 276. Thereby, the inner spaces of showerhead modules 140, 240 and 440 are separated from each other.
- the reactive gas supplied along the reactive gas flow flow channels 144 is not mixed with another reactive gas or the purge gas, until it is injected from the end tip of the reactive gas flow channel 144, then, mixed with another reactive gas and the purge gas at the space between the bottom surface 442 of the purge gas showerhead module 440 and the substrate(not shown).
- the exit extension 444 connected to the reactive gas flow channel exit 445 may not have an annular section but have a modified shape such as hollow polygon section. Then, the modified shape may be applied if such structure could maintain the concentric flow of the purge gas by surrounding the reactive gas flow. Therefore, as far as a slight modification to the present configuration provides a similar effect, the present invention is not restricted to the depicted configurations.
- the bottom surface 442 of the purge gas showerhead module 440 and the substrate(not shown) be spaced apart from each other by a predetermined distance, and it is preferable that the distance is 70mm ⁇ 120mm.
- FIGS. 9 to 14 show the second embodiment of the present invention.
- the showerhead comprises two showerhead modules, that is, one reactive gas showerhead module 640 and one purge gas showerhead module 740.
- the reactive gas is distributed into a plurality of tube type reactive gas supply conduits (not shown) through distribution heads (not shown), the tube type reactive gas supply conduits are connected to a plurality of supply ports 655 disposed on the upper surface of the reactive gas showerhead module 640 in proper order.
- Each supply port 655 is respectively connected to a compartment 656 which has a sliced cake shape in the reactive gas showerhead module.
- Each reactive gas is separately and alternately introduced into each compartment 656 which is hermetically sealed each other by a seal 658, then reaches the bottom surface of each compartment 656, through a diffusion plate 657 located inside each compartment 656.
- the bottom surface of each compartment 656 includes a plurality of holes 643 of the reactive gas showerhead module 640. Cylindrical tube type reactive gas flow channels 644 are connected to the holes 643, then pass through the upper surface 747 and penetrate the inside of the purge gas showerhead module 740.
- the purge gas is introduced into the purge gas showerhead module 740 through a purge gas inlet 753 of the purge gas showerhead module 740, sufficiently diffused inside the purge gas showerhead module 740 while passing through the diffusion plate 757 having a large number of fine holes, and then, reaches the bottom surface 742 of the purge gas showerhead module.
- the structure of the bottom surface 742 of the purge gas showerhead module, connection method and structure between the reactive gas flow channel 644 and the bottom surface 742 of the purge gas showerhead module, and effects of the showerhead are sufficiently described in the first embodiment of the present invention, and so, their repeated description will be omitted here.
- the number of the compartments 656 disposed in the reactive gas showerhead module 640 is four.
- the number of the compartments 656 may be increased if necessary, but it is preferable that 24 compartments are arranged at an angle of 15 degrees.
- the reactive gases are introduced into twelve compartments 656 in turn, but if there are three reactive gases, the reactive gases are introduced into eight compartments 656 in turn.
- FIG. 12 shows sliced cake shape compartments 656.
- FIG. 13 shows modified sliced cake shape compartments 656 having a section gradually shifted in a circumferential direction, and conceptually shows that three kinds of reactive gases A, B and C are introduced into the reactive gas showerhead module 640 in turn.
- the arrangement of the reactive gas flow channel 644 does not have a specific direction such as radial direction, but is stepped and distorted circumferentially along the center of arrangement, so that the uniformity of the growing films would be enhanced in the circumferential direction.
- locations of the reactive gas flow channels 644 formed on bottom of the compartments 656 are, compared to expected locations by repetition, offset by a predetermined distance ( ⁇ r in FIG. 14) toward the radial direction, so that the uniformity of the growing films would be enhanced in the radial direction.
- FIG. 15 shows the first example where the showerhead 100 of the present invention is applied to a reactive gas confining means 900.
- the reactive gas confining means 900 is spaced apart from the inner wall 7 and the ceiling of the reaction chamber 1 at a distance, surrounds the substrate 9 with a dome-like roof, touches the bottom 961 of the reaction chamber along its end, has a large number of fine holes formed thereon and an opening formed at the central portion of the roof thereof on which the rim of the showerhead 100 is placed along the opening so that the bottom surface of the showerhead 100 and the substrate are in parallel to and facing each other.
- the reactive gas is introduced into the showerhead 100 through a reactive gas supply port 954, a distribution head 958, and a reactive gas supply conduit 959, and a first purge gas is introduced into the showerhead 100 through a first purge gas supply conduit 964.
- the present invention accomplishes prevention of unwanted particle deposition on the surfaces of the showerhead.
- a second purge gas is introduced to the outside of the reactive gas confining means 900 through a second purge gas supply port 962, then introduced to inside of the reactive gas confining means 900 through the second purge gas flow channels 901 formed across the reactive gas confining means 900, whereby such configuration can prevent unwanted particle deposition on the surface of the reactive gas confining means 900 and the inner wall 7 of the reaction chamber 1 as well.
- the reactive gas is confined in the vicinity of the substrate, that is, the reactive gas is highly concentrated at a region just over the substrate, so that a film growth rate is increased on the substrate.
- the reactive gas may comprise only main source material gas such as purely vaporized source material without the aid of a carrier gas.
- main source material gas such as purely vaporized source material without the aid of a carrier gas.
- MOCVD Metal Organic Chemical Vapor Deposition
- MOCVD Metal Organic Chemical Vapor Deposition
- FIG. 16 is an exemplary view showing a prior art CVD apparatus to which the present invention is not applied.
- outlets of a plurality of source material supply conduits 907 are formed inside the reactive gas confining means 900 as disclosed in U.S. Patent Publication No. 2003-0077388.
- U.S. Patent Publication No. 2003-0077388 cannot propose a method for preventing contamination at areas of the end tips of the source material supply conduits 907.
- the present invention be applied to the reactive gas confining means disclosed in U.S. Patent Publication No. 2003-0077388 to assure high film growth rates on substrates and to prevent contamination of reaction chamber internal structures including a reaction chamber inner wall and a showerhead.
- FIG. 17 shows the second example where the showerhead 100 configured according to the present invention is applied to a reactive gas confining means 900.
- the reactive gas confining means 900 is spaced apart from the inner wall 7 and the ceiling of the reaction chamber 1 at a distance, surrounds the substrate 9 in a cylindrical form with no roof, touches the bottom 961 of the reaction chamber at one its end thereof, has a large number of fine holes formed thereon.
- a disc 968 having a large opening at the center thereof is placed on the upper portion of the reactive gas confining means, so that the rim of the showerhead 100 is placed on the central portion of the disc 968 and the bottom surface of the showerhead 100 and the substrate are in parallel to and facing each other.
- the second purge gas is introduced to the outside of the reactive gas confining means 900 through a purge gas supply port 962 connected to the reaction chamber 1 and perforated holes across disc 968, then, introduced to inside of the reactive gas confining means 900 through the second purge gas flow channels 901 formed across the surface of the reactive gas confining means 900.
- Functions and effects in this second example of the present invention are sufficiently described in the first example of the present invention, so that their repeated description will be omitted here.
- a cooling jacket 3050 is mounted by surrounding the part of vertical wall of the showerhead.
- the cooling jacket 3050 has a function to keep temperature of the showerhead at steady state, for example, at temperature of 150 ° C ⁇ 200 ° C .
- a refrigerant supplied into the cooling jacket 3050 through a refrigerant supply port 3054 of the cooling jacket 3050 cools the showerhead properly and finally goes out from the reaction chamber along a discharge channel(not shown) connected to a refrigerant outlet port 3053.
- the refrigerant may be one of compressed air, cooling water, and so on, and it is very important to assure the prevention of a refrigerant leakage from the cooling jacket and the connected discharge channel to the reaction chamber.
- a thermocouple (not shown) may be mounted at any proper place of the surface of the showerhead to measure and control showerhead temperature. Since it may belong to a general method, detailed description will be omitted here.
- the cooling jacket technology in the present invention serves not only for enhancing reproducibility in film deposition process but also for preventing unwanted film deposition at the showerhead by thermal decomposition of the reactive gas caused by unnecessarily high temperature of the showerhead.
- the present invention has a function that each reactive gas passes through a showerhead independently, thereby preventing mixing of the reactive gases inside the showerhead,
- the present invention has a function that the purge gas is injected from the bottom surface of the showerhead and forms a concentric flow by surrounding the flow of the reactive gas which is simultaneously jetted from the bottom surface of the showerhead, thereby preventing diffusion of the reactive gas backwardly, and preventing unwanted particle deposition on the outlet holes and the bottom surface of the showerhead.
- the present invention has a configuration that a cooling jacket is mounted around the wall of the showerhead wall, thereby maintaining temperature of the showerhead at steady state and preventing unwanted film deposition caused by thermal decomposition of the reactive gases.
- the present invention is applied to a CVD system together with a reactive gas confining means, unwanted particle deposition on the reaction chamber inner wall as well as on the showerhead is prevented and process managing cycle time to remove the particle is lengthened much.
- the present invention is applied to a CVD system together with a reactive gas confining means, the reactive gas is confined in the vicinity of the substrate, thereby the film growth rate is increased compared to the process which does not use a reactive gas confining means.
Abstract
Disclosed therein is a method of chemical vapor deposition (CVD) with a showerhead through which a source material gas comprising a reactive gas of at least one kind and a purge gas is injected over a substrate to deposit a film on the substrate, including the steps of: disposing the showerhead in such a way that the bottom surface of the showerhead is spaced apart from the substrate by a predetermined distance; supplying a source material gas into the showerhead, wherein reactive gases of different kinds are respectively injected into compartments formed inside the showerhead in such a way that each compartment of the showerhead is filled with the reactive gas of only one kind and a purge gas of the source material gas is supplied into another compartment formed inside the showerhead; and discharging the reactive gas and the purge gas respectively through a large number of reactive gas outlets and a large number of purge gas outlets formed on the bottom surface of the showerhead, the purge gas outlets being more in number than the reactive gas outlets. Moreover, disclosed is an apparatus for chemical vapor deposition (CVD) with a showerhead through which a source material gas are injected over a substrate to deposit a film on the substrate, wherein the showerhead includes: a plurality of reactive gas showerhead modules having the same number as the kind of reactive gases of the source material gas, each reactive gas showerhead module having an inner spaces separated from each other and a plurality of reactive gas flow channels formed on the bottom surface thereof for supplying the reactive gas over the substrate; and a purge gas showerhead module mounted under the reactive gas showerhead modules, having a purge gas supply port for introducing a purge gas of the source material gas thereto, an inner space separated from inner spaces of the reactive gas showerhead modules for being filled with the purge gas only, a large number of inlets formed on the upper surface thereof for allowing a penetration of the said reactive gas flow channels through the inner space thereof with hermetic sealing at the joints of the said inlets, a large number of exits for said reactive gas flow channels and a large number of exits for said purge gas formed on the bottom surface thereof, and said exit for purge gas having a diameter smaller than that of said exit for the reactive gas flow channel, and wherein each reactive gas flow channel of each reactive gas showerhead module placed at upper positions passes through the inside of the other reactive gas showerhead modules placed at lower positions and through the inside of the purge gas showerhead module.
Description
APPARATUS FOR CHEMICAL VAPOR DEPOSITION WITH SHOWER HEAD
AND METHOD THEREOF
Description Technical Field
The present invention relates to an apparatus for chemical vapor deposition (CVD) that is one of effective means for forming a film on a semiconductor integrated circuit, a fine mechanical structure, or a tool which requires surface treatments, and more particularly, to an apparatus for chemical vapor deposition (CVD) with a showerhead and method thereof, that can prevent a undesired particle deposition on the showerhead which supplies reactive gases uniformly over a substrate to grow a uniform film on the substrate in thickness and composition. Here, the present invention is associated with U.S. Patent Laid-Open Publication No. 2003- 0077388 ("Method and apparatus for chemical vapor deposition capable of preventing contamination and enhancing film growth rate" filed on October 9, 2002), the entire contents of which are hereby incorporated by reference.
Background Art
In an apparatus for chemical vapor deposition (CVD), a reactive gas is introduced into a vacuum reaction chamber, passes through a showerhead, then reaches a susceptor or a substrate holder on which a substrate is located.
Therefore, the reactive gas causes chemical reaction on the substrate to form a desired film. As means to provide energy necessary to induce chemical reactions on the substrate, a method of simply heating the substrate or atomically exciting the reactive gas, such as making plasma, is widely used. After the reaction is finished, byproduct gases are removed from the reaction chamber by an exhaust system including a vacuum pump, passes through a purifying system, then discharged into the atmosphere. However, since it is very important to prevent undesired particle deposition on a wall of the reaction chamber or the showerhead during a deposition process, it is preferable that the reactive gases do not react each other in a gaseous state. Unfortunately, if reactive gases whose decomposition temperature are substantially lower than 200 °C like metal-organic compounds are mixed in the reaction chamber, the mixture may cause homogeneous reactions in the gas phase so as to generate contaminant particles, or cause heterogeneous reactions on a solid-state surface, such as the showerhead surface or the reaction chamber wall so as to deposit undesired particles. Particularly, it may happen that the reactive gas is sensitive to a specific material, for example, zirconium tert-butoxide (Zr(OC4Hg)4) is extremely sensitive to moisture so as to form zirconium hydroxide (Zr(OH)x) which is a powder. The moisture could have been physically adsorbed on the inner side of the reaction chamber but it may be also generated over the substrates as a byproduct gas.
Such moisture reacts with Zr(OC4Hg)4 on the inner wall of the reaction chamber or the surface of the showerhead, depositing a zirconium hydroxides of white powder type on the surface thereof. Then, the deposited particles are flaked off into fine particles due to a repeated thermal expansion and contraction and/or a lattice parameter mismatch with the inner wall of the
reaction chamber. As a result of this, the film formed on the substrate may be contaminated and the productivity may be lowered due to a shortened process management cycle time for removing the deposited particles.
When a highly integrated semiconductor is manufactured, contaminant particles may cause a pattern defect such as short or disconnection between lines, and a size of the contaminant particle influencing on yield is in proportion to the line width. Therefore, as the line size becomes smaller, that is, as the density of the integration is increased, the size of particle influencing on yield becomes also smaller, whereby the number of contaminant particles to be controlled in the reaction chamber is more seriously limited.
FIG. 1 is a brief sectional view of a reaction chamber of a conventional plate type plasma CVD apparatus using a simple showerhead having a large number of holes as described in U.S. Patent No. 6,631,692. When the reaction chamber is maintained in a vacuum state by a vacuum pump (not shown), material gases, that is, reactive gases flowing from a material gas supply tank is controlled by a mass flow controller 8 at a preferable flow rate, and the material gas delivered into a showerhead 20 is supplied on a substrate through fine holes formed on the bottom surface of the showerhead after being mixed sufficiently. After a flow is stabilized, a radio frequency (RF) electric field is generated between the showerhead 20 connected to an RF power source 4 and a susceptor grounded to an earth 13, and then, the material gas is ionized and the plasma state occurs. Atoms of the ionized material gas shows a chemical reaction on a semiconductor substrate 9 located on the susceptor 30, which keeps temperature of the substrate higher than that of surroundings using a substrate heater 14 embedded in the reaction chamber,
whereby a desirable film is formed on the substrate 9. As a material gas, the silicon source gases such as SiH4, DM-DMOS[(CH3)2Si(OCH3)2], and TEOS, fluorine source gases such as C2F6, oxidizing gases such as oxygen, and inert gases such as Ar and He can be used.
Disclosure of Invention Technical Problem
There may be no serious problem when one of the above raw materials is used solely, but in case when a specific material, for example, metal-organic compound of a low decomposition temperature, is used as the material gas, the material gas may cause chemical reaction inside the showerhead or generate contaminant particles by decomposing by itself, thereby contaminating the inside of the reaction chamber and the surface of the substrate.
FIG. 2 shows a schematic sectional view of a showerhead of a prior art, U.S. Patent No. 6, 626, 998, that has a function to uniformly spray reactive gas, which is introduced into a reaction chamber, over a substrate through a plurality of outlets without gas mixing. When each reactive gas is supplied to first ring type individual channels 23 through a plurality of gas supply passages 17, the gases are diffused in the first individual channels 23, and then, transmitted to second ring type individual channels 27 through a plurality of transition passages 25 formed on the bottom of each channel. After diffusion of the reactive gases in the second channels 27, the gases are supplied over a substrate through a plurality of second gas transition passages 31 which are formed on the bottom of the second channels. The reactive gases cause chemical reaction on the substrate (not shown) placed on a susceptor keeping temperature of the substrate higher than that of surroundings so as to form a desired film on the substrate.
However, a reactive gas such as metal-organic compound gas which has a decomposition temperature of about 200 "C or below may cause heterogeneous surface reactions including a thermal decomposition on the bottom surface of the showerhead, and particularly, if the reactive gas is sensitive to a specific material like moisture, the reactive gas may form unwanted deposits on the bottom of the showerhead by combining with the moisture produced as a byproduct.
With regard to the contamination path described above, FIG. 3 shows that the reactive gas or byproduct gas may reversely diffuse toward the showerhead in case that there is not provided suitable suppressing means. In FIG. 3, a thin arrow indicates an average drift flow of the reactive gases, and a thick arrow indicates a reverse diffusion direction of the reactive gases or byproducts toward the showerhead. The byproducts generated over the substrate may be reversely diffused toward a zone 8 existing between the showerhead and the substrate, and the gases in the zone 8 may be also reversely diffused toward the showerhead. Therefore, even if the conventional showerhead device shown in FIG. 2 may prevent each reactive gas from being mixed inside the showerhead and generating particles, in case that there is not provided suitable suppressing means, undesired particles may be deposited on the bottom of the showerhead by thermal decompositions or other chemical reactions. And this problem is especially serious if the substrate temperature becomes higher.
A necessity to form various kinds of films using various kinds of reactive gases by CVD process has been increased. However, if the conventional showerhead device is used further, undesired particles may deposit on the bottom of the showerhead due to the unexpected
properties of the reactive gases used, which may limit the wide application of the CVD process.
Technical Solution
Accordingly, to solve the above disadvantages of the prior arts, it is an object of the present invention to provide an apparatus for chemical vapor deposition (CVD) with a showerhead and method thereof, which can independently deliver each reactive gas through the showerhead, thereby preventing the reactive gases from causing chemical reaction and generating unwanted particles inside the showerhead.
It is another object of the present invention to provide an apparatus for chemical vapor deposition (CVD) with a showerhead and method thereof, which allows the purge gas to be injected from the bottom surface of the showerhead and to form a concentric flow by surrounding the flow of the reactive gas which is simultaneously jetted from the bottom surface of the showerhead, thereby preventing diffusion of the reactive gas backwardly, and preventing unwanted particle deposition on the outlet and the bottom surface of the showerhead.
It is a further object of the present invention to provide an apparatus for chemical vapor deposition (CVD) with a showerhead and method thereof, applied to a reactive gas confining means which surrounds the substrate and touches the bottom of the reaction chamber at its one end, thereby preventing undesired particle deposition on the inner wall of the reaction chamber and enhancing film growth rates on the substrates by confining the reactive gas in the vicinity of the substrate.
To accomplish the above mentioned objects, in an aspect of the present invention, there is provided a method of chemical vapor deposition (CVD), which supplies a source material gas over a substrate through a showerhead to deposit a film on the substrate, comprising the steps of: disposing a showerhead in such a way that the bottom surface of the showerhead is spaced apart from the substrate by a predetermined distance; supplying a source material comprising a reactive gas of at least one kind and a purge gas into the showerhead, wherein reactive gases of different kinds are respectively supplied into compartments formed inside the showerhead in such a way that each compartment of the showerhead is filled with the reactive gas of only one kind, and a purge gas is supplied into another compartment formed inside the showerhead; and injecting the reactive gas and the purge gas respectively through a large number of reactive gas outlets and a large number of purge gas outlets formed on the bottom surface of the showerhead, the purge gas outlets being more in number than the reactive gas outlets.
In another aspect of the present invention, there is provided an apparatus for chemical vapor deposition (CVD) with a showerhead, which supplies a source material gas comprising a reactive gas of at least one kind and a purge gas over a substrate through the showerhead to deposit a film on the substrate, wherein the showerhead includes: a plurality of reactive gas showerhead modules of the same number as the kinds of reactive gases, each of the reactive gas showerhead module having an inner space separated from each other and a large number of reactive gas flow channels connected to the bottom surface thereof for injecting the reactive gas over the substrate; and a purge gas showerhead module mounted under the reactive gas showerhead modules, having a purge gas supply port for supplying a purge gas thereto, an inner
space separated from inner spaces of the reactive gas showerhead modules for being filled with the purge gas only, a large number of inlets formed on the upper surface thereof for allowing a penetration of the said reactive gas flow channels through the inner space thereof with hermetic sealing at the joints thereof, and a large number of exits for reactive gas flow channels and a large number of exits for purge gas on the bottom surface thereof, each purge gas exit having a diameter smaller than that of the reactive gas flow channel exit, and wherein each reactive gas flow channel of each reactive gas showerhead module placed at upper positions passes through the inside of the other reactive gas showerhead modules placed at lower positions as well as the inside of the purge gas showerhead module.
In a further aspect of the present invention, there is provided an apparatus for chemical vapor deposition (CVD) with a showerhead, which supplies a source material gas comprising a reactive gas of at least one kind and a purge gas over a substrate through the showerhead to deposit a film on the substrate, wherein the showerhead includes: a reactive gas showerhead module, of which inner space is divided into a plurality of separated compartments to introduce different kinds of reactive gases separately and alternatively through a reactive gas supply port formed on each compartment, having a plurality of reactive gas delivering holes formed on a bottom surface of each compartment and reactive gas flow channels connected to every reactive gas delivering hole for supplying reactive gases over the substrate; and a purge gas showerhead module mounted under the reactive gas showerhead module, of which inner space is separated from the said reactive gas showerhead module and filled with the purge gas through a purge gas supply port formed therein, having a large number of inlets formed on the upper surface thereof
for allowing a penetration of the said reactive gas flow channels through the inner space thereof with hermetic sealing at the joints thereof, having a large number of exits for the said reactive gas flow channels and a large number of exits for the said purge gas on the bottom surface thereof, and wherein each purge gas exit has a diameter smaller than that of the reactive gas flow channel exit.
Description of Drawings
The above and other objects, features and advantages of the present invention will be apparent from the following detailed description of the preferred embodiments of the invention in conjunction with the accompanying drawings, in which:
FIG. 1 is a brief sectional view showing a reaction chamber of a conventional plate type plasma CVD apparatus using a simple showerhead having a large number of exit holes;
FIG. 2 is a brief sectional view showing a conventional showerhead for uniformly injecting reactive gases over a substrate through a large number of outlets without reactive gas mixing therein;
FIG. 3 is an exemplary view showing that the reactive gas or byproduct gas may reversely diffuse toward the showerhead in case that there is not provided suitable suppressing means.
FIG. 4 is an exploded perspective view of a first embodiment according to the present invention including a plurality of reactive gas showerhead modules vertically laid on another and a purge gas showerhead module;
FIG. 5 is a sectional view of the first embodiment according to the present invention including a plurality of reactive gas showerhead modules vertically laid on another and a purge gas showerhead module;
FIG. 6 is a bottom view seen from the bottom side of the purge gas showerhead module according to the first embodiment of a showerhead of the present invention;
FIG. 7 is an exemplary view of the first embodiment of a showerhead according to the present invention, showing flow directions of a reactive gas and a purge gas injected from the showerhead;
FIG. 8 is an exemplary view of the first embodiment of a showerhead according to the present invention, showing flow directions of a reactive gas and a purge gas injected from the showerhead where reactive gas flow channel exits are extended toward the substrate by a predetermined length;
FIG. 9 is an exploded perspective view of a second embodiment of a showerhead according to the present invention including a reactive gas showerhead module with compartments divided by vertical partitions and a purge gas showerhead module;
FIG. 10 is a sectional view of the second embodiment of a showerhead according to the present invention including a reactive gas showerhead module having compartments divided by vertical partitions and a purge gas showerhead module;
FIG. 11 is a bottom view seen from the bottom side of the purge gas showerhead module according to the second embodiment of the present invention;
FIG. 12 is an exemplary view of compartments of a sliced cake shape according to the second embodiment of the present invention;
FIG. 13 is an exemplary view of compartments of a modified sliced cake shape according to the second embodiment of the present invention;
FIG. 14 is an exemplary view of compartments of a modified sliced cake shape in which locations of reactive gas flow channels are shifted by a predetermined distance along the radial direction according to the second embodiment of the present invention;
FIG. 15 is an exemplary view showing a configuration where the showerhead according to the present invention is applied to a reactive gas confining means;
FIG. 16 is an exemplary view of the conventional CVD apparatus equipped with a reactive gas confining means on which the effect of the present invention does not exert;
FIG. 17 is an exemplary view showing a configuration where the showerhead according to the present invention is applied to another reactive gas confining means; and
FIG. 18 is an exemplary view showing a configuration having a cylindrical cooling jacket surrounding the showerhead according to another embodiment of the present invention.
Best Mode
As described above each reactive gas passes through a showerhead independently in the present invention, thereby preventing mixing of the reactive gases inside the showerhead, and the backward diffusion of the reactive gas and byproducts toward the showerhead is suppressed by a simultaneous jetting of purge gas from the bottom of the showerhead, thereby preventing
unwanted deposition of particles on outlets and bottom surfaces of the showerhead. Moreover, the present invention was applied to a "reactive gas confining means" claimed in U.S. Patent Laid-Open Publication No. 2003-0077388 ("Method and apparatus for chemical vapor deposition capable of preventing contamination and enhancing film growth rate" filed on October 9, 2002), thereby enhancing film growth rates on substrates as well as preventing both of reaction chamber inner wall and showerhead itself from unwanted particle deposition.
The purge gas doesn't either dissolve or generate byproducts by itself. For example, the purge gas includes Ar, N2, and He. In addition to this, H2 or O2 may be included as a purge gas too, since it does not dissolve or generate byproducts by itself but may participate in the deposition process as a reactant. The purge gas, having a relatively small molecular weight, diffuses instantly in the reaction chamber and is relatively little influenced by a force circulation done by the act of vacuum pumping, and does not cause deposition or surface reaction on the inner structure of the reaction chamber.
Meanwhile, the reactive gas is a source material of vapor phase that participates directly in forming the film by pyrolysis, combination, and etc.; a mixture of vapor phase containing a main source material and carrier gas for vaporizing or diluting the main source material; or a purely vaporized main source material without the aid of carrier gas. The main source material includes, for example, Pb(C2Hs)4 which is a source material of Pb, Zr(OC4Hg)4 which is a source material of Zr, and Ti(OC3H7)4 which is a source material of Ti, which are metal-organic compounds for the deposition of PZT (Lead Zirconium-Titanate) films. The carrier gas includes, for example, Ar, N2, He, H2 etc. The reactive gas causes adsorption and surface reaction on all of
the inner structure of the reaction chamber which includes substrates, the reaction chamber inner wall, and the showerhead.
FIGS. 4 to 8 show a first embodiment of a showerhead including a plurality of reactive gas showerhead modules and one purge gas showerhead module, wherein FIG. 4 is an exploded perspective view showing a state before assembly, and FIG. 5 is a sectional view of an assembled state, FIG. 6 is a bottom view of the showerhead. The showerhead 100 includes a plurality of the reactive gas showerhead modules 140 and 240 corresponding to the number of reactive gases, and one purge gas showerhead module 440. In the present invention, the reactive gas showerhead module means an assembly including at least one module for diffusing and delivering one of reactive gases. In the first embodiment of the present invention, reactive gases of two kinds are used.
One reactive gas is introduced into the upper reactive gas showerhead module 140 through an inlet 153 thereof, sufficiently diffused inside the reactive gas showerhead module 140 while passing through a diffusion plate 157 having a large number of fine holes, and then discharged from the reactive gas showerhead module 140 along a large number of holes 143 of the reactive gas showerhead module 140. Another reactive gas is introduced into the lower reactive gas showerhead module 240 through an inlet 253 thereof, and so on. A circular tube type reactive gas flow channel 144 is connected to each hole 143, and extends through the lower reactive gas showerhead module 240 located under the upper reactive gas showerhead module 140. The reactive gas flow channels 144 and 244 respectively extended from the reactive gas showerhead modules 140 and 240 finally pass through the upper surface 447 and the inside of the
purge gas showerhead module 440. The purge gas is introduced into the purge gas showerhead module 440 through a purge gas inlet 453 thereof, sufficiently diffused inside the purge gas showerhead module 440 while passing through a diffusion plate 457 having a large number of fine holes, and then, reaches a purge gas showerhead module bottom 442.
Meanwhile, the purge gas showerhead module bottom 442 includes a large number of relatively large size exits 445 for surrounding end tips of reactive gas flow channels and a large number of relatively small size exits 446 for injecting purge gas only. The tube type gas flow channels 144 and 244 which pass through the upper surface 447 and penetrate the inside of the purge gas showerhead module 440 come to an end at the open ended area of the exits 445.
In this structure, the reactive gas is injected toward the substrate from the ends of the reactive gas flow channels 144 and 244 located at the central area of the reactive gas flow channel exit 445 of large size, and the purge gas is injected toward the substrate along an edge area of the reactive gas flow channel exit 445 of large size, namely, along a gap formed between the inner wall of the reactive gas flow channel exit 445 and the outer wall of the reactive gas flow channels 144 and 244 so that the purge gas is concentrically injected by surrounding the reactive gas: Here, the end tip of the reactive gas flow channels 144 and 244 are 0 to 3mm indented or protruded from the end tip of the reactive gas flow channel exits 445 so as to effectively prevent contamination due to unwanted particle deposition on both of the reactive gas flow channels 144 and 244 and the reactive gas flow channel exit 445.
Meanwhile, only purge gas is injected toward the substrate through the purge gas exit 446 of small area. Owing to above operations of the purge gas, the prevention of unwanted
particle deposition on the bottom surface of the purge gas showerhead module 440, reactive gas flow channel exit 445, and reactive gas flow channels 144 and 244 is accomplished. The total flow rate of the purge gas is externally controlled and would be several times as much as that of the reactive gas.
FIG. 7 shows an injection pattern of the reactive gas and the purge gas near the bottom surface of the purge gas showerhead module. It is desirable that a flow rate of the purge gas injected through a reactive gas flow channel exit 445 is higher than that of the purge gas injected through a purge gas exit 446. It is desirable that the arrangement interval between the reactive gas flow channel exit 445 on the bottom surface of the purge gas showerhead module 440 is about 10mm along both of the X and Y directions in the bottom surface, so that the number of the reactive gas flow channel exits 445 is about 250 for a 200mm wafer and about 500 for a 300mm wafer, and that a inner diameter of the reactive gas flow channel exit 445 is 3.5mm to 5mm.
Moreover, it is desirable that the purge gas exits 446 are arranged at proper intervals among the reactive gas flow channel exits 445, and the diameter of the purge gas exit is 0.8mm ~ 1.4mm. However, the arrangement intervals and the number of the exits are not restricted to above values, but may be determined based on fabrication difficulties and required specifications such as uniformity.
Meanwhile, as shown in FIG. 8, it is preferable that the reactive gas flow channel exit 445 is extended for a proper distance towards the substrate (not shown) by connecting a tube type exit extension 444 to the reactive gas flow channel exit 445. Concretely, if an end tip of the exit extension 444 is extended for 0 ~ 10mm from the bottom surface of the purge gas showerhead
module 440, it could be more effective in the prevention of contamination caused by unwanted particle deposition on the bottom surface of the purge gas showerhead module.
In the fabrication of the showerhead, it is preferable to join the reactive gas flow channels 144 to the holes 143 of the bottom surface 142 by laser welding. Additionally, O-ring 276 may be used to make a hermetic sealing between the reactive gas flow channel 144 and corresponding faces 142, 247, 242, or 447 of the showerhead module. Here, it is good that the O- ring groove 275 is prepared to have an inner diameter larger than the outer diameter of the O-ring 276 by 0.2mm to 0.3mm, and a depth smaller than the thickness of the O-ring by 0.6 to 0.9mm.
In the assembling process for the present invention, the showerhead modules 140, 240, and 440 are tightened together by bolts 501. Then, a hermetic sealing between the reactive gas channels 144 and the upper surface of the lower reactive gas showerhead module 240 is done by the effect of pressed O-ring 276. Thereby, the inner spaces of showerhead modules 140, 240 and 440 are separated from each other.
The reactive gas supplied along the reactive gas flow flow channels 144 is not mixed with another reactive gas or the purge gas, until it is injected from the end tip of the reactive gas flow channel 144, then, mixed with another reactive gas and the purge gas at the space between the bottom surface 442 of the purge gas showerhead module 440 and the substrate(not shown).
Meanwhile, the exit extension 444 connected to the reactive gas flow channel exit 445 may not have an annular section but have a modified shape such as hollow polygon section. Then, the modified shape may be applied if such structure could maintain the concentric flow of the purge gas by surrounding the reactive gas flow. Therefore, as far as a slight modification to
the present configuration provides a similar effect, the present invention is not restricted to the depicted configurations.
Meanwhile, to achieve the best uniformity in depositions, it is preferred that the bottom surface 442 of the purge gas showerhead module 440 and the substrate(not shown) be spaced apart from each other by a predetermined distance, and it is preferable that the distance is 70mm~ 120mm.
FIGS. 9 to 14 show the second embodiment of the present invention. In this embodiment the showerhead comprises two showerhead modules, that is, one reactive gas showerhead module 640 and one purge gas showerhead module 740. The reactive gas is distributed into a plurality of tube type reactive gas supply conduits (not shown) through distribution heads (not shown), the tube type reactive gas supply conduits are connected to a plurality of supply ports 655 disposed on the upper surface of the reactive gas showerhead module 640 in proper order.
Each supply port 655 is respectively connected to a compartment 656 which has a sliced cake shape in the reactive gas showerhead module. Each reactive gas is separately and alternately introduced into each compartment 656 which is hermetically sealed each other by a seal 658, then reaches the bottom surface of each compartment 656, through a diffusion plate 657 located inside each compartment 656. The bottom surface of each compartment 656 includes a plurality of holes 643 of the reactive gas showerhead module 640. Cylindrical tube type reactive gas flow channels 644 are connected to the holes 643, then pass through the upper surface 747 and penetrate the inside of the purge gas showerhead module 740. The purge gas is introduced into the purge gas showerhead module 740 through a purge gas inlet 753 of the purge gas showerhead
module 740, sufficiently diffused inside the purge gas showerhead module 740 while passing through the diffusion plate 757 having a large number of fine holes, and then, reaches the bottom surface 742 of the purge gas showerhead module. The structure of the bottom surface 742 of the purge gas showerhead module, connection method and structure between the reactive gas flow channel 644 and the bottom surface 742 of the purge gas showerhead module, and effects of the showerhead are sufficiently described in the first embodiment of the present invention, and so, their repeated description will be omitted here.
In FIG. 9, the number of the compartments 656 disposed in the reactive gas showerhead module 640 is four. Of course, the number of the compartments 656 may be increased if necessary, but it is preferable that 24 compartments are arranged at an angle of 15 degrees. Here, if there are two kinds of reactive gases, the reactive gases are introduced into twelve compartments 656 in turn, but if there are three reactive gases, the reactive gases are introduced into eight compartments 656 in turn.
FIG. 12 shows sliced cake shape compartments 656. However, FIG. 13 shows modified sliced cake shape compartments 656 having a section gradually shifted in a circumferential direction, and conceptually shows that three kinds of reactive gases A, B and C are introduced into the reactive gas showerhead module 640 in turn.
In FIG. 13 the arrangement of the reactive gas flow channel 644 does not have a specific direction such as radial direction, but is stepped and distorted circumferentially along the center of arrangement, so that the uniformity of the growing films would be enhanced in the circumferential direction.
In the same way, as shown in FIG. 14, as the compartments 656 are repeatedly arranged along the circumferential direction (A and A', B and B', C and C), locations of the reactive gas flow channels 644 formed on bottom of the compartments 656 are, compared to expected locations by repetition, offset by a predetermined distance ( Δ r in FIG. 14) toward the radial direction, so that the uniformity of the growing films would be enhanced in the radial direction.
Example 1
FIG. 15 shows the first example where the showerhead 100 of the present invention is applied to a reactive gas confining means 900. Here, the reactive gas confining means 900 is spaced apart from the inner wall 7 and the ceiling of the reaction chamber 1 at a distance, surrounds the substrate 9 with a dome-like roof, touches the bottom 961 of the reaction chamber along its end, has a large number of fine holes formed thereon and an opening formed at the central portion of the roof thereof on which the rim of the showerhead 100 is placed along the opening so that the bottom surface of the showerhead 100 and the substrate are in parallel to and facing each other.
As shown in FIG. 15 of the first example, the reactive gas is introduced into the showerhead 100 through a reactive gas supply port 954, a distribution head 958, and a reactive gas supply conduit 959, and a first purge gas is introduced into the showerhead 100 through a first purge gas supply conduit 964. Then, the present invention accomplishes prevention of unwanted particle deposition on the surfaces of the showerhead. Meanwhile, a second purge gas is introduced to the outside of the reactive gas confining means 900 through a second purge gas
supply port 962, then introduced to inside of the reactive gas confining means 900 through the second purge gas flow channels 901 formed across the reactive gas confining means 900, whereby such configuration can prevent unwanted particle deposition on the surface of the reactive gas confining means 900 and the inner wall 7 of the reaction chamber 1 as well.
Furthermore, in the first example, the reactive gas is confined in the vicinity of the substrate, that is, the reactive gas is highly concentrated at a region just over the substrate, so that a film growth rate is increased on the substrate. Moreover, compared to the conventional bubbler system or a liquid delivery system in which precursor delivery is done with the aid of carrier gas, the reactive gas may comprise only main source material gas such as purely vaporized source material without the aid of a carrier gas. For example, metal-organic compound at liquid phase, the source material in MOCVD(Metal Organic Chemical Vapor Deposition), may be converted to a pure vapor and be forced into the reaction chamber by being heated at temperatures of about 60-100 °C if its equilibrium vapor pressure is high enough. In this case, as described in U.S. Patent Publication No. 2003-0077388, the enhancement effect of film growth rates on substrates becomes more distinct by the cooperation of the reactive gas comprising pure vapors and the second purge gas doing confinements.
FIG. 16 is an exemplary view showing a prior art CVD apparatus to which the present invention is not applied. In FIG. 16, outlets of a plurality of source material supply conduits 907 are formed inside the reactive gas confining means 900 as disclosed in U.S. Patent Publication No. 2003-0077388.
However, U.S. Patent Publication No. 2003-0077388 cannot propose a method for preventing contamination at areas of the end tips of the source material supply conduits 907. In this regards it is highly requested that the present invention be applied to the reactive gas confining means disclosed in U.S. Patent Publication No. 2003-0077388 to assure high film growth rates on substrates and to prevent contamination of reaction chamber internal structures including a reaction chamber inner wall and a showerhead.
Example 2
FIG. 17 shows the second example where the showerhead 100 configured according to the present invention is applied to a reactive gas confining means 900. Here, the reactive gas confining means 900 is spaced apart from the inner wall 7 and the ceiling of the reaction chamber 1 at a distance, surrounds the substrate 9 in a cylindrical form with no roof, touches the bottom 961 of the reaction chamber at one its end thereof, has a large number of fine holes formed thereon. A disc 968 having a large opening at the center thereof is placed on the upper portion of the reactive gas confining means, so that the rim of the showerhead 100 is placed on the central portion of the disc 968 and the bottom surface of the showerhead 100 and the substrate are in parallel to and facing each other.
As shown in FIG. 17 of the second example, the second purge gas is introduced to the outside of the reactive gas confining means 900 through a purge gas supply port 962 connected to the reaction chamber 1 and perforated holes across disc 968, then, introduced to inside of the reactive gas confining means 900 through the second purge gas flow channels 901 formed across
the surface of the reactive gas confining means 900. Functions and effects in this second example of the present invention are sufficiently described in the first example of the present invention, so that their repeated description will be omitted here.
Example 3
As shown in FIG. 18, a cooling jacket 3050 is mounted by surrounding the part of vertical wall of the showerhead. The cooling jacket 3050 has a function to keep temperature of the showerhead at steady state, for example, at temperature of 150°C~200°C .
A refrigerant supplied into the cooling jacket 3050 through a refrigerant supply port 3054 of the cooling jacket 3050 cools the showerhead properly and finally goes out from the reaction chamber along a discharge channel(not shown) connected to a refrigerant outlet port 3053. Here, the refrigerant may be one of compressed air, cooling water, and so on, and it is very important to assure the prevention of a refrigerant leakage from the cooling jacket and the connected discharge channel to the reaction chamber. A thermocouple (not shown) may be mounted at any proper place of the surface of the showerhead to measure and control showerhead temperature. Since it may belong to a general method, detailed description will be omitted here. The cooling jacket technology in the present invention serves not only for enhancing reproducibility in film deposition process but also for preventing unwanted film deposition at the showerhead by thermal decomposition of the reactive gas caused by unnecessarily high temperature of the showerhead.
As described above the present invention has a function that each reactive gas passes through a showerhead independently, thereby preventing mixing of the reactive gases inside the showerhead,
Furthermore, the present invention has a function that the purge gas is injected from the bottom surface of the showerhead and forms a concentric flow by surrounding the flow of the reactive gas which is simultaneously jetted from the bottom surface of the showerhead, thereby preventing diffusion of the reactive gas backwardly, and preventing unwanted particle deposition on the outlet holes and the bottom surface of the showerhead.
Moreover, the present invention has a configuration that a cooling jacket is mounted around the wall of the showerhead wall, thereby maintaining temperature of the showerhead at steady state and preventing unwanted film deposition caused by thermal decomposition of the reactive gases.
Additionally if the present invention is applied to a CVD system together with a reactive gas confining means, unwanted particle deposition on the reaction chamber inner wall as well as on the showerhead is prevented and process managing cycle time to remove the particle is lengthened much.
In addition if the present invention is applied to a CVD system together with a reactive gas confining means, the reactive gas is confined in the vicinity of the substrate, thereby the film growth rate is increased compared to the process which does not use a reactive gas confining means.
While the present invention has been described with reference to the particular illustrative embodiments, it is not to be restricted by the embodiments but only by the appended claims. It is to be appreciated that those skilled in the art can change or modify the embodiments without departing from the scope and spirit of the present invention.
Claims
1. A method of chemical vapor deposition (CVD) using a showerhead through which a source material gas comprising a reactive gas of at least one kind and a purge gas is injected over a substrate located in a reaction chamber to deposit a film on the substrate, comprising the steps of: disposing the showerhead in such a way that the bottom surface of the showerhead is spaced apart from the substrate by a predetermined distance; supplying a reactive gas of the source material gas into the showerhead, wherein reactive gases of different kinds are respectively injected into compartments formed inside the showerhead in such a way that each compartment of the showerhead is filled with the reactive gas of only one kind and a purge gas of the source material gas is supplied into another compartment formed inside the showerhead; and discharging the reactive gas and the purge gas respectively through a large number of reactive gas outlets and a large number of purge gas outlets formed on the bottom surface of the showerhead, the purge gas outlets being more in number than the reactive gas outlets.
2. A method of chemical vapor deposition (CVD) according to claim 1, wherein the reactive gas is discharged from said individual reactive gas outlets and a said reactive gas outlet for discharging the reactive gas is surrounded by a said purge gas outlet.
3. A method of chemical vapor deposition (CVD) according to claim 1, wherein in the discharge steps, a flow of the reactive gas injected from the reactive gas outlets is surrounded by a flow of the purge gas injected from one part of the purge gas outlets which surround the reactive gas outlet, and the other part of the purge gas outlets which do not surround the reactive gas outlets discharge only the purge gas.
4. A method of chemical vapor deposition (CVD) according to claim 1, wherein the purge gas is at least one selected from the group consisting of Ar, N2, He, H2 and O2.
5. A method of chemical vapor deposition (CVD) according to claim 1, wherein the reactive gas is a pure vapor by heating metal-organic compounds at liquid phase.
6. A method of chemical vapor deposition (CVD) according to claim 1, wherein the reactive gas is a mixture of a vaporized material containing compositions of the film deposited and a carrier gas for vaporizing said material in an evaporator.
7. A method of chemical vapor deposition (CVD) according to claim 1, further comprising the step of cooling the showerhead by supplying a refrigerant into a cooling jacket surrounding the showerhead, then discharging the refrigerant from the reaction chamber.
8. An apparatus for chemical vapor deposition (CVD) with a showerhead through which a source material gas comprising a reactive gas of at least one kind and a purge gas is injected over a substrate located in a reaction chamber to deposit a film on the substrate, wherein the showerhead includes: a plurality of reactive gas showerhead modules of the same number as the kinds of reactive gases of the source material gas, each of the said reactive gas showerhead module having an inner space separated from each other and a large number of reactive gas flow channels connected to the bottom surface thereof for injecting the reactive gas over the substrate; and a purge gas showerhead module mounted under the reactive gas showerhead modules, having a purge gas supply port for supplying a purge gas of the source material gas thereto, an inner space separated from inner spaces of the reactive gas showerhead modules for being filled with the purge gas only, a large number of inlets formed on the upper surface thereof for allowing a penetration of the said reactive gas flow channels thereto with hermetic sealing at joints of the said inlets, a large number of exits for said reactive gas flow channels and a large number of exits for said purge gas formed on the bottom surface thereof, and said exit for purge gas having a diameter smaller than that of said exit for the reactive gas flow channel, and wherein each reactive gas flow channel of each reactive gas showerhead module placed at upper positions passes through the inside of the other reactive gas showerhead modules placed at lower positions and through the said inner space of the purge gas showerhead module.
9. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 8, wherein the purge gas exit is 0.8mm~1.4mm in diameter and the reactive gas flow channel exit is 3.5mm~5mm in diameter, and wherein the purge gas exit injects only the purge gas and the reactive gas flow channel exit injects the purge gas by surrounding the lower end of the reactive gas flow channel.
10. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 8, wherein the purge gas is at least one selected from the group consisting of Ar, N2, He, H2 and O2.
11. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 8, wherein the reactive gas is a pure vapor obtained by heating metal-organic compounds at liquid phase.
12. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 8, wherein the reactive gas is a mixture of a vaporized material containing compositions of the film deposited and a carrier gas for vaporizing said material in an evaporator.
13. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 8, wherein the distance between the substrate and the purge gas showerhead module is 70- 120mm.
14. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 8, wherein the reactive gas showerhead module further includes a porous diffusion plate therein.
15. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 8, further comprising a cooling jacket for surrounding and cooling the showerhead using a refrigerant.
16. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 8 or 9, wherein the reactive gas flow channel exit extends from the bottom surface of the purge gas showerhead module toward the substrate within a range of 10mm.
17. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 8 or 9, wherein the end tip of the reactive gas flow channels are 0 to 3mm indented or protruded from the end tip of the reactive gas flow channel exits.
18. An apparatus for chemical vapor deposition (CVD) with a showerhead through which a source material gas comprising a reactive gas of at least one kind and a purge gas is injected over a substrate located in a reaction chamber to deposit a film on the substrate, wherein the showerhead includes: a reactive gas showerhead module having an inner space divided into a plurality of separate compartments for introducing different kinds of reactive gases separately and alternatively through a reactive gas supply port formed on each compartment, a plurality of reactive gas delivering holes formed on a bottom surface of each compartment, and reactive gas flow channels connected to said every reactive gas delivering hole for injecting reactive gases over the substrate; and a purge gas showerhead module mounted under the reactive gas showerhead module, having a purge gas supply port for introducing a purge gas of the source material gas thereto, an inner space separated from the said inner space of the reactive gas showerhead module for being filled with the purge gas only, a large number of inlets formed on the upper surface thereof for allowing a penetration of the said reactive gas flow channels thereto with hermetic sealing at joints of the said inlets, a large number of exits for said reactive gas flow channels and a large number of exits for said purge gas formed on the bottom surface thereof, and said exit for purge gas having a diameter smaller than that of said exit for the reactive gas flow channel, and wherein each reactive gas flow channel of the reactive gas showerhead module passes through the said inner space of the purge gas showerhead module
19. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 18, wherein the purge gas exit is 0.8mm~ 1.4mm in diameter and the reactive gas flow channel exit is 3.5mm~5mm in diameter, and wherein the purge gas exit injects only the purge gas and the reactive gas flow channel exit injects the purge gas by surrounding the lower end of the reactive gas flow channel.
20. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 18, wherein the purge gas is at least one selected from the group consisting of Ar, N2, He, H2 and O2.
21. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 18, wherein the reactive gas is a pure vapor obtained by heating metal-organic compounds at liquid phase.
22. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 18, wherein the reactive gas is a mixture of a vaporized material containing compositions of the film deposited and a carrier gas for vaporizing said material in an evaporator.
23. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 18, wherein each compartment includes a porous diffusion plate therein.
24. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 18, wherein the distance between the substrate and the purge gas showerhead module is 70-120mm.
25. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 18, further comprising a cooling jacket for surrounding and cooling the showerhead using a refrigerant.
26. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 18 or 19, wherein the reactive gas flow channel exit extends from the bottom surface of the purge gas showerhead module toward the substrate within a range of 10mm.
27. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 18 or 19, wherein the end tip of the reactive gas flow channels are 0 to 3mm indented or protruded from the end tip of the reactive gas flow channel exits.
28. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 18, wherein a plurality of compartments are arranged inside the reactive gas showerhead module along a circumferential direction, each compartment has a sliced cake shape and has a width gradually narrowed along the center of arrangement, and locations of the reactive gas flow channels formed on bottom of the compartments are offset by a predetermined distance toward the radial direction as the arrangement is repeated.
29. An apparatus for chemical vapor deposition (CVD) with a showerhead according to claim 18, wherein a plurality of compartments are arranged inside the reactive gas showerhead module along a circumferential direction, each compartment has a modified sliced cake shape of a section stepped and distorted by a predetermined amount along the radial direction, and locations of the reactive gas flow channels formed on bottom of the compartments are offset by a predetermined distance toward the radial direction as the arrangement is repeated.
30. An apparatus for chemical vapor deposition (CVD) with a showerhead according to one of claims 8 to 17, further comprising:
A reactive gas confining means placed inside the reaction chamber and spaced apart from the inner wall and the roof of the reaction chamber at a distance, surrounding the substrate in a dome shape, the lower end of the reactive gas confining means touching the bottom of the reaction chamber, the reactive gas confining means having a large number of fine holes thereon; a second purge gas supply port formed on the reaction chamber for supplying a second purge gas into a space between the reaction chamber and the reactive gas confining means; and an exhaust port mounted inside the reactive gas confining means for exhausting byproducts, wherein the showerhead is disposed on the reactive gas confining means in such a way that the rim of the showerhead is placed along an opened area formed at the central portion of the upper surface of the reactive gas confining means, whereby the bottom surface of the showerhead and the substrate are faced with each other.
31. An apparatus for chemical vapor deposition (CVD) with a showerhead according to any one of claims 18 to 29, further comprising:
A reactive gas confining means placed inside the reaction chamber and spaced apart from the inner wall and the roof of the reaction chamber at a distance, surrounding the substrate in a dome shape, the lower end of the reactive gas confining means touching the bottom of the reaction chamber, the reactive gas confining means having a large number of fine holes thereon; a second purge gas supply port formed on the reaction chamber for supplying a second purge gas into a space between the reaction chamber and the reactive gas confining means; and an exhaust port mounted inside the reactive gas confining means for exhausting byproducts, wherein the showerhead is disposed on the reactive gas confining means in such a way that the rim of the showerhead is placed along an opened area formed at the central portion of the upper surface of the reactive gas confining means, whereby the bottom surface of the showerhead and the substrate are faced with each other.
32. An apparatus for chemical vapor deposition (CVD) with a showerhead according to any one of claims 8 to 17, further comprising:
A reactive gas confining means placed inside the reaction chamber and spaced apart from the inner wall and the roof of the reaction chamber at a distance, the reactive gas confining means having a cylindrical body surrounding the substrate, a lower end portion touching the bottom of the reaction chamber, and a large number of fine holes thereon, and a disc having a large opening at the center, placed on the upper end portion of the cylindrical body thereof and touching the reaction chamber wall at the rim; a second purge gas supply port formed on the reaction chamber for supplying the second purge gas into a space between the reaction chamber and the reactive gas confining means; and an exhaust port mounted inside the reactive gas confining means for exhausting byproducts, wherein said showerhead is disposed on the reactive gas confining means in such a way that the rim of the showerhead is placed along an opened area formed at the central portion of the upper surface of the reactive gas confining means, whereby the bottom surface of the showerhead and the substrate are faced with each other.
33. An apparatus for chemical vapor deposition (CVD) with a showerhead according to any one of claims 18 to 29, further comprising:
A reactive gas confining means placed inside the reaction chamber and spaced apart from the inner wall and the roof of the reaction chamber at a distance, the reactive gas confining means having a cylindrical body surrounding the substrate, a lower end portion touching the bottom of the reaction chamber, and a large number of fine holes thereon, and a disc having a large opening at the center, placed on the upper end portion of the cylindrical body thereof and touching the reaction chamber wall at the rim; a second purge gas supply port formed on the reaction chamber for supplying the second purge gas into a space between the reaction chamber and the reactive gas confining means; and an exhaust port mounted inside the reactive gas confining means for exhausting byproducts, wherein said showerhead is disposed on the reactive gas confining means in such a way that the rim of the showerhead is placed along an opened area formed at the central portion of the upper surface of the reactive gas confining means, whereby the bottom surface of the showerhead and the substrate are faced with each other.
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
KR20050041910 | 2005-05-19 | ||
KR10-2005-0041910 | 2005-05-19 | ||
KR10-2006-0027444 | 2006-03-27 | ||
KR1020060027444A KR100731164B1 (en) | 2005-05-19 | 2006-03-27 | Apparatus of chemical vapor deposition with a shower head and method therof |
Publications (1)
Publication Number | Publication Date |
---|---|
WO2006123870A1 true WO2006123870A1 (en) | 2006-11-23 |
Family
ID=37431422
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/KR2006/001760 WO2006123870A1 (en) | 2005-05-19 | 2006-05-11 | Apparatus for chemical vapor deposition with shower head and method therof |
Country Status (4)
Country | Link |
---|---|
US (2) | US7479303B2 (en) |
JP (1) | JP4630226B2 (en) |
KR (1) | KR100731164B1 (en) |
WO (1) | WO2006123870A1 (en) |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2009052212A1 (en) * | 2007-10-16 | 2009-04-23 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
EP2147987A1 (en) * | 2008-07-18 | 2010-01-27 | Kabushiki Kaisha Toshiba | Vapor growth apparatus, vapor growth method, and method for manufacturing semiconductor device |
WO2010016852A1 (en) * | 2008-08-08 | 2010-02-11 | International Solar Electric Technology, Inc. | Chemical vapor deposition method and system for semiconductor devices |
WO2010019008A2 (en) * | 2008-08-13 | 2010-02-18 | Synos Technology, Inc. | Vapor deposition reactor |
CN102422394A (en) * | 2009-03-16 | 2012-04-18 | 奥塔装置公司 | Reactor lid assembly for vapor deposition |
EP2730674A1 (en) * | 2007-01-08 | 2014-05-14 | Eastman Kodak Company | Delivery device for thin film deposition |
TWI480415B (en) * | 2013-11-27 | 2015-04-11 | Ind Tech Res Inst | A muti-mode membrane deposition apparatus and a membrane deposition method |
Families Citing this family (471)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6502530B1 (en) * | 2000-04-26 | 2003-01-07 | Unaxis Balzers Aktiengesellschaft | Design of gas injection for the electrode in a capacitively coupled RF plasma reactor |
WO2003034477A1 (en) * | 2001-10-18 | 2003-04-24 | Chul Soo Byun | Method and apparatus for chemical vapor ddeposition capable of preventing contamination and enhancing film growth rate |
KR100634451B1 (en) * | 2005-01-10 | 2006-10-16 | 삼성전자주식회사 | Apparatus for manufacturing semiconductor device |
KR100731164B1 (en) * | 2005-05-19 | 2007-06-20 | 주식회사 피에조닉스 | Apparatus of chemical vapor deposition with a shower head and method therof |
JP2007191792A (en) * | 2006-01-19 | 2007-08-02 | Atto Co Ltd | Gas separation type showerhead |
US7771194B2 (en) * | 2006-05-26 | 2010-08-10 | Honeywell International Inc. | Gas preheater for chemical vapor processing furnace having circuitous passages |
US20070281106A1 (en) * | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
KR100849929B1 (en) * | 2006-09-16 | 2008-08-26 | 주식회사 피에조닉스 | Apparatus of chemical vapor deposition with a showerhead regulating the injection velocity of reactive gases positively and a method thereof |
US7776178B2 (en) * | 2006-10-25 | 2010-08-17 | Applied Materials, Inc. | Suspension for showerhead in process chamber |
US7674352B2 (en) * | 2006-11-28 | 2010-03-09 | Applied Materials, Inc. | System and method for depositing a gaseous mixture onto a substrate surface using a showerhead apparatus |
KR100780234B1 (en) * | 2006-12-05 | 2007-11-27 | 동부일렉트로닉스 주식회사 | Process chamber in chemical vaper deposition |
KR101483522B1 (en) * | 2007-01-12 | 2015-01-16 | 비코 인스트루먼츠 인코포레이티드 | Gas treatment systems |
KR100923453B1 (en) * | 2007-09-21 | 2009-10-27 | 주식회사 피에조닉스 | Semiconductor device fabrication equipment with showerhead |
US8668775B2 (en) * | 2007-10-31 | 2014-03-11 | Toshiba Techno Center Inc. | Machine CVD shower head |
KR100975850B1 (en) * | 2007-11-07 | 2010-08-13 | 주식회사 디엠에스 | A shower head device for manufacturing carbon nano tube |
US8298338B2 (en) * | 2007-12-26 | 2012-10-30 | Samsung Electronics Co., Ltd. | Chemical vapor deposition apparatus |
US8033001B2 (en) * | 2007-12-31 | 2011-10-11 | Texas Instruments Incorporated | CVD showerhead alignment apparatus |
KR101204614B1 (en) * | 2008-02-20 | 2012-11-23 | 도쿄엘렉트론가부시키가이샤 | Gas supply device |
JP5179389B2 (en) | 2008-03-19 | 2013-04-10 | 東京エレクトロン株式会社 | Shower head and substrate processing apparatus |
KR100982987B1 (en) * | 2008-04-18 | 2010-09-17 | 삼성엘이디 주식회사 | Apparatus for chemical vapor deposition |
KR101004822B1 (en) | 2008-04-18 | 2010-12-28 | 삼성엘이디 주식회사 | Apparatus for chemical vapor deposition |
KR101004927B1 (en) * | 2008-04-24 | 2010-12-29 | 삼성엘이디 주식회사 | Showerhead and Chemical Vapor Deposition Apparatus Having the Same |
US20100212591A1 (en) * | 2008-05-30 | 2010-08-26 | Alta Devices, Inc. | Reactor lid assembly for vapor deposition |
KR20100015213A (en) * | 2008-08-04 | 2010-02-12 | 삼성전기주식회사 | Showerhead and chemical vapor deposition apparatus having the same |
JP2010084190A (en) * | 2008-09-30 | 2010-04-15 | Sharp Corp | Vapor deposition system and vapor deposition method |
CN102177274B (en) * | 2008-10-08 | 2014-08-06 | Abcd技术有限公司 | Vapor phase deposition system |
KR20110069851A (en) * | 2008-10-10 | 2011-06-23 | 알타 디바이씨즈, 인크. | Concentric showerhead for vapor deposition |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
TWI437622B (en) * | 2008-11-26 | 2014-05-11 | Ind Tech Res Inst | Gas shower module |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
KR101064210B1 (en) * | 2009-06-01 | 2011-09-14 | 한국생산기술연구원 | A showerhead for film depositing vacuum equipments |
US8980382B2 (en) | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
KR101162055B1 (en) * | 2009-09-14 | 2012-07-03 | 엘아이지에이디피 주식회사 | Apparatus of gas injection and shower head using the same |
US8216640B2 (en) * | 2009-09-25 | 2012-07-10 | Hermes-Epitek Corporation | Method of making showerhead for semiconductor processing apparatus |
WO2011044451A2 (en) * | 2009-10-09 | 2011-04-14 | Applied Materials, Inc. | Multi-gas centrally cooled showerhead design |
CN102687252A (en) | 2009-12-30 | 2012-09-19 | 应用材料公司 | Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio |
KR100972802B1 (en) * | 2010-02-19 | 2010-07-29 | 한국생산기술연구원 | semiconductor device fabrication equipment with showerhead |
WO2011109148A2 (en) | 2010-03-05 | 2011-09-09 | Applied Materials, Inc. | Conformal layers by radical-component cvd |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
KR20120043636A (en) * | 2010-10-26 | 2012-05-04 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | Plasma treatment apparatus and plasma cvd apparatus |
JP2014507788A (en) | 2010-12-20 | 2014-03-27 | サムスン エレクトロニクス カンパニー リミテッド | Chemical vapor deposition apparatus and light emitting device manufacturing method using the same |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
DE102011056589A1 (en) * | 2011-07-12 | 2013-01-17 | Aixtron Se | Gas inlet member of a CVD reactor |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
TWI505400B (en) * | 2011-08-26 | 2015-10-21 | Lg Siltron Inc | Susceptor |
TW201311926A (en) * | 2011-09-05 | 2013-03-16 | Sfa Engineering Corp | Chemical vapor deposition apparatus for flat display |
KR101297375B1 (en) * | 2011-09-05 | 2013-08-19 | 주식회사 에스에프에이 | Chemical Vapor Deposition Apparatus for Flat Display |
KR101282158B1 (en) * | 2011-09-16 | 2013-07-04 | 엘아이지에이디피 주식회사 | Shower head and Method of Manufacture using the same |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
US8960235B2 (en) * | 2011-10-28 | 2015-02-24 | Applied Materials, Inc. | Gas dispersion apparatus |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8900364B2 (en) * | 2011-11-29 | 2014-12-02 | Intermolecular, Inc. | High productivity vapor processing system |
US8916480B2 (en) * | 2011-12-07 | 2014-12-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Chemical vapor deposition film profile uniformity control |
JP6038618B2 (en) * | 2011-12-15 | 2016-12-07 | 株式会社ニューフレアテクノロジー | Film forming apparatus and film forming method |
JP2015517031A (en) * | 2012-03-29 | 2015-06-18 | ビーコ・エーエルディー インコーポレイテッド | Substrate processing scanning jet assembly module |
WO2013188583A2 (en) * | 2012-06-12 | 2013-12-19 | Snap-On Incorporated | An inventory control system having advanced functionalities |
US9447499B2 (en) | 2012-06-22 | 2016-09-20 | Novellus Systems, Inc. | Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery |
US9388494B2 (en) * | 2012-06-25 | 2016-07-12 | Novellus Systems, Inc. | Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10714315B2 (en) * | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
CN103774115B (en) * | 2012-10-17 | 2017-12-29 | 理想能源设备(上海)有限公司 | Chemical vapor deposition unit |
US10316409B2 (en) | 2012-12-21 | 2019-06-11 | Novellus Systems, Inc. | Radical source design for remote plasma atomic layer deposition |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9399228B2 (en) | 2013-02-06 | 2016-07-26 | Novellus Systems, Inc. | Method and apparatus for purging and plasma suppression in a process chamber |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US20140235069A1 (en) * | 2013-02-15 | 2014-08-21 | Novellus Systems, Inc. | Multi-plenum showerhead with temperature control |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
WO2014197396A1 (en) * | 2013-06-03 | 2014-12-11 | Ultratech, Inc. | Gas deposition head for spatial ald |
JP6199619B2 (en) * | 2013-06-13 | 2017-09-20 | 株式会社ニューフレアテクノロジー | Vapor growth equipment |
JP6157942B2 (en) * | 2013-06-13 | 2017-07-05 | 株式会社ニューフレアテクノロジー | Vapor growth apparatus and vapor growth method |
US9677176B2 (en) * | 2013-07-03 | 2017-06-13 | Novellus Systems, Inc. | Multi-plenum, dual-temperature showerhead |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
KR101560623B1 (en) * | 2014-01-03 | 2015-10-15 | 주식회사 유진테크 | Substrate processing apparatus and substrate processing method |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
JP2017509787A (en) | 2014-03-15 | 2017-04-06 | ビーコ・エーエルディー インコーポレイテッド | Cleaning deposition equipment by injecting cleaning gas into the deposition equipment |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
CN105200396A (en) * | 2014-06-18 | 2015-12-30 | 中微半导体设备(上海)有限公司 | Metalorganic chemical vapor deposition (MOCVD) equipment and method for removing parasitic particles thereof |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
KR102300403B1 (en) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing thin film |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
KR102263121B1 (en) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor device and manufacuring method thereof |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10023959B2 (en) | 2015-05-26 | 2018-07-17 | Lam Research Corporation | Anti-transient showerhead |
US20160362782A1 (en) * | 2015-06-15 | 2016-12-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Gas dispenser and deposition apparatus using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US11225718B2 (en) * | 2016-03-03 | 2022-01-18 | Core Technology, Inc. | Plasma treatment device and structure of reaction vessel for plasma treatment |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US9758868B1 (en) | 2016-03-10 | 2017-09-12 | Lam Research Corporation | Plasma suppression behind a showerhead through the use of increased pressure |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (en) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming metal interconnection and method of fabricating semiconductor device using the same |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
CN109478494B (en) * | 2016-06-03 | 2023-07-18 | 应用材料公司 | Design of gas flow inside diffusion chamber |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (en) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (en) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and method of operating the same |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
JP6495875B2 (en) * | 2016-09-12 | 2019-04-03 | 株式会社東芝 | Flow path structure and processing apparatus |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
KR102546317B1 (en) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Gas supply unit and substrate processing apparatus including the same |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (en) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US10604841B2 (en) | 2016-12-14 | 2020-03-31 | Lam Research Corporation | Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR20180070971A (en) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
JP2018101721A (en) * | 2016-12-21 | 2018-06-28 | 株式会社ニューフレアテクノロジー | Vapor growth method |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102096700B1 (en) * | 2017-03-29 | 2020-04-02 | 도쿄엘렉트론가부시키가이샤 | Substrate processing apparatus and substrate procesing method |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
JP7035581B2 (en) * | 2017-03-29 | 2022-03-15 | 東京エレクトロン株式会社 | Board processing device and board processing method. |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
KR102457289B1 (en) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
KR20190009245A (en) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (en) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (en) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (en) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (en) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | Storage device for storing wafer cassettes used in batch furnaces |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US11015247B2 (en) * | 2017-12-08 | 2021-05-25 | Lam Research Corporation | Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TW202325889A (en) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | Deposition method |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN111699278B (en) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | Method for depositing ruthenium-containing films on substrates by cyclical deposition processes |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (en) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method and apparatus |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
TWI766433B (en) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | Systems and methods to form airgaps |
JP7180984B2 (en) * | 2018-03-01 | 2022-11-30 | 株式会社ニューフレアテクノロジー | Vapor growth method |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (en) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (en) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10943768B2 (en) * | 2018-04-20 | 2021-03-09 | Applied Materials, Inc. | Modular high-frequency source with integrated gas distribution |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
TW202344708A (en) | 2018-05-08 | 2023-11-16 | 荷蘭商Asm Ip私人控股有限公司 | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
TW202349473A (en) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures |
KR102596988B1 (en) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (en) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing system |
KR102576220B1 (en) * | 2018-06-22 | 2023-09-07 | 삼성디스플레이 주식회사 | Thin Film Processing Appartus and Method |
KR20210027265A (en) | 2018-06-27 | 2021-03-10 | 에이에스엠 아이피 홀딩 비.브이. | Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material |
CN112292478A (en) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials |
JP6575641B1 (en) * | 2018-06-28 | 2019-09-18 | 株式会社明電舎 | Shower head and processing equipment |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR20200002519A (en) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (en) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | Method for deposition of a thin film |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
CN110970344A (en) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | Substrate holding apparatus, system including the same, and method of using the same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (en) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (en) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
KR102546322B1 (en) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (en) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and substrate processing apparatus including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (en) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | A method for cleaning a substrate processing apparatus |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (en) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming device structure, structure formed by the method and system for performing the method |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
TWI819180B (en) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
KR20200091543A (en) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor processing device |
CN111524788B (en) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | Method for topologically selective film formation of silicon oxide |
TW202104632A (en) | 2019-02-20 | 2021-02-01 | 荷蘭商Asm Ip私人控股有限公司 | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
KR102638425B1 (en) | 2019-02-20 | 2024-02-21 | 에이에스엠 아이피 홀딩 비.브이. | Method and apparatus for filling a recess formed within a substrate surface |
KR102626263B1 (en) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | Cyclical deposition method including treatment step and apparatus for same |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
TW202100794A (en) | 2019-02-22 | 2021-01-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing apparatus and method for processing substrate |
KR20200108243A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Structure Including SiOC Layer and Method of Forming Same |
KR20200108242A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer |
KR20200108248A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME |
JP2020167398A (en) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | Door opener and substrate processing apparatus provided therewith |
KR20200116855A (en) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | Method of manufacturing semiconductor device |
KR20200123380A (en) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | Layer forming method and apparatus |
KR20200125453A (en) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system and method of using same |
KR20200130118A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for Reforming Amorphous Carbon Polymer Film |
KR20200130121A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Chemical source vessel with dip tube |
KR20200130652A (en) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing material onto a surface and structure formed according to the method |
JP2020188255A (en) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | Wafer boat handling device, vertical batch furnace, and method |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (en) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | Method of using a gas-phase reactor system including analyzing exhausted gas |
KR20200143254A (en) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (en) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | Temperature control assembly for substrate processing apparatus and method of using same |
JP2021015791A (en) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | Plasma device and substrate processing method using coaxial waveguide |
CN112216646A (en) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | Substrate supporting assembly and substrate processing device comprising same |
KR20210010307A (en) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210010816A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Radical assist ignition plasma system and method |
KR20210010820A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods of forming silicon germanium structures |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (en) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | Method of forming topologically controlled amorphous carbon polymer films |
TW202113936A (en) | 2019-07-29 | 2021-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
CN112309899A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112309900A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (en) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | Liquid level sensor for a chemical source vessel |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (en) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | Production apparatus of mixed gas of film deposition raw material and film deposition apparatus |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (en) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for forming a structure with a hole |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024420A (en) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (en) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selective deposition using a sacrificial capping layer |
KR20210029663A (en) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (en) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process |
TW202129060A (en) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | Substrate processing device, and substrate processing method |
TW202115273A (en) | 2019-10-10 | 2021-04-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming a photoresist underlayer and structure including same |
KR20210045930A (en) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | Method of Topology-Selective Film Formation of Silicon Oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (en) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for selectively etching films |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (en) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (en) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112951697A (en) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885692A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885693A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
JP2021090042A (en) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | Substrate processing apparatus and substrate processing method |
KR20210070898A (en) * | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
CN112992667A (en) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | Method of forming vanadium nitride layer and structure including vanadium nitride layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210095050A (en) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming thin film and method of modifying surface of thin film |
TW202130846A (en) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming structures including a vanadium or indium layer |
KR20210100010A (en) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | Method and apparatus for transmittance measurements of large articles |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (en) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method for growing phosphorous-doped silicon layer and system of the same |
KR20210116249A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | lockout tagout assembly and system and method of using same |
KR20210116240A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate handling device with adjustable joints |
CN113394086A (en) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | Method for producing a layer structure having a target topological profile |
KR20210124042A (en) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | Thin film forming method |
CN111270221B (en) * | 2020-04-03 | 2022-07-22 | 北京北方华创微电子装备有限公司 | Gas distributor in semiconductor equipment and semiconductor equipment |
TW202146689A (en) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | Method for forming barrier layer and method for manufacturing semiconductor device |
TW202145344A (en) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus and methods for selectively etching silcon oxide films |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210132600A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
TW202146831A (en) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Vertical batch furnace assembly, and method for cooling vertical batch furnace |
KR20210132576A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming vanadium nitride-containing layer and structure comprising the same |
KR20210134226A (en) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | Solid source precursor vessel |
KR20210134869A (en) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Fast FOUP swapping with a FOUP handler |
KR20210141379A (en) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Laser alignment fixture for a reactor system |
KR20210143653A (en) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210145078A (en) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | Structures including multiple carbon layers and methods of forming and using same |
TW202201602A (en) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
TW202218133A (en) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming a layer provided with silicon |
TW202217953A (en) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing method |
KR20220010438A (en) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | Structures and methods for use in photolithography |
TW202204662A (en) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | Method and system for depositing molybdenum layers |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202229613A (en) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing material on stepped structure |
TW202217037A (en) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing vanadium metal, structure, device and a deposition assembly |
TW202223136A (en) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming layer on substrate, and semiconductor processing system |
TW202235675A (en) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | Injector, and substrate processing apparatus |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (en) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH1171679A (en) * | 1997-06-16 | 1999-03-16 | Trikon Equip Ltd | Shower head |
US5958140A (en) * | 1995-07-27 | 1999-09-28 | Tokyo Electron Limited | One-by-one type heat-processing apparatus |
JP2969596B2 (en) * | 1989-10-06 | 1999-11-02 | アネルバ株式会社 | CVD equipment |
JP2000144432A (en) * | 1998-11-04 | 2000-05-26 | Ebara Corp | Gas injection head |
JP2001262352A (en) * | 2000-02-16 | 2001-09-26 | Apex Co Ltd | Shower head device for radical vapor deposition |
US20030077388A1 (en) * | 2001-10-18 | 2003-04-24 | Chulsoo Byun | Method and apparatus for chemical vapor deposition capable of preventing contamination and enhancing film growth rate |
JP2005129712A (en) * | 2003-10-23 | 2005-05-19 | Tokyo Electron Ltd | Shower head structure and film forming device employing it |
Family Cites Families (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5556476A (en) * | 1994-02-23 | 1996-09-17 | Applied Materials, Inc. | Controlling edge deposition on semiconductor substrates |
JP3360098B2 (en) * | 1995-04-20 | 2002-12-24 | 東京エレクトロン株式会社 | Shower head structure of processing equipment |
US6143081A (en) * | 1996-07-12 | 2000-11-07 | Tokyo Electron Limited | Film forming apparatus and method, and film modifying apparatus and method |
US6143082A (en) * | 1998-10-08 | 2000-11-07 | Novellus Systems, Inc. | Isolation of incompatible processes in a multi-station processing chamber |
KR100331544B1 (en) * | 1999-01-18 | 2002-04-06 | 윤종용 | Method for introducing gases into a reactor chamber and a shower head used therein |
JP3595853B2 (en) * | 1999-03-18 | 2004-12-02 | 日本エー・エス・エム株式会社 | Plasma CVD film forming equipment |
JP2000290777A (en) * | 1999-04-07 | 2000-10-17 | Tokyo Electron Ltd | Gas treating device, buffle member, and gas treating method |
US6206972B1 (en) * | 1999-07-08 | 2001-03-27 | Genus, Inc. | Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes |
US6623656B2 (en) * | 1999-10-07 | 2003-09-23 | Advanced Technology Materials, Inc. | Source reagent composition for CVD formation of Zr/Hf doped gate dielectric and high dielectric constant metal oxide thin films and method of using same |
JP3905678B2 (en) * | 2000-02-28 | 2007-04-18 | 株式会社堀場製作所 | Thin film deposition method and apparatus, FTIR gas analyzer used for thin film deposition method, and mixed gas supply apparatus used for thin film deposition method |
US6221166B1 (en) * | 2000-06-07 | 2001-04-24 | Simplus Systems Corporation | Multi-thermal zone shielding apparatus |
KR100406174B1 (en) * | 2000-06-15 | 2003-11-19 | 주식회사 하이닉스반도체 | Showerhead used chemically enhanced chemical vapor deposition equipment |
AU2002241496A1 (en) * | 2000-11-20 | 2002-06-18 | Applied Epi, Inc. | Surface sealing showerhead for vapor deposition reactor having integrated flow diverters |
CN1302152C (en) * | 2001-03-19 | 2007-02-28 | 株式会社Ips | Chemical vapor depositing apparatus |
JP4121269B2 (en) * | 2001-11-27 | 2008-07-23 | 日本エー・エス・エム株式会社 | Plasma CVD apparatus and method for performing self-cleaning |
US7229666B2 (en) * | 2002-01-22 | 2007-06-12 | Micron Technology, Inc. | Chemical vapor deposition method |
US7018940B2 (en) * | 2002-12-30 | 2006-03-28 | Genus, Inc. | Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes |
JP4513329B2 (en) * | 2004-01-16 | 2010-07-28 | 東京エレクトロン株式会社 | Processing equipment |
JP4542807B2 (en) * | 2004-03-31 | 2010-09-15 | 東京エレクトロン株式会社 | Film forming method and apparatus, and gate insulating film forming method |
CN102154628B (en) * | 2004-08-02 | 2014-05-07 | 维高仪器股份有限公司 | Multi-gas distribution injector for chemical vapor deposition reactors |
KR100685823B1 (en) * | 2005-01-31 | 2007-02-22 | 삼성에스디아이 주식회사 | Method for depositing |
KR100731164B1 (en) * | 2005-05-19 | 2007-06-20 | 주식회사 피에조닉스 | Apparatus of chemical vapor deposition with a shower head and method therof |
-
2006
- 2006-03-27 KR KR1020060027444A patent/KR100731164B1/en active IP Right Grant
- 2006-05-11 WO PCT/KR2006/001760 patent/WO2006123870A1/en active Application Filing
- 2006-05-17 US US11/436,727 patent/US7479303B2/en active Active
- 2006-05-19 JP JP2006139817A patent/JP4630226B2/en active Active
-
2009
- 2009-01-09 US US12/351,540 patent/US8298370B2/en active Active
Patent Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2969596B2 (en) * | 1989-10-06 | 1999-11-02 | アネルバ株式会社 | CVD equipment |
US5958140A (en) * | 1995-07-27 | 1999-09-28 | Tokyo Electron Limited | One-by-one type heat-processing apparatus |
JPH1171679A (en) * | 1997-06-16 | 1999-03-16 | Trikon Equip Ltd | Shower head |
JP2000144432A (en) * | 1998-11-04 | 2000-05-26 | Ebara Corp | Gas injection head |
JP2001262352A (en) * | 2000-02-16 | 2001-09-26 | Apex Co Ltd | Shower head device for radical vapor deposition |
US20030077388A1 (en) * | 2001-10-18 | 2003-04-24 | Chulsoo Byun | Method and apparatus for chemical vapor deposition capable of preventing contamination and enhancing film growth rate |
JP2005129712A (en) * | 2003-10-23 | 2005-05-19 | Tokyo Electron Ltd | Shower head structure and film forming device employing it |
Cited By (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP2730674A1 (en) * | 2007-01-08 | 2014-05-14 | Eastman Kodak Company | Delivery device for thin film deposition |
WO2009052212A1 (en) * | 2007-10-16 | 2009-04-23 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
US9644267B2 (en) | 2007-10-16 | 2017-05-09 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
US8481118B2 (en) | 2007-10-16 | 2013-07-09 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
US7976631B2 (en) | 2007-10-16 | 2011-07-12 | Applied Materials, Inc. | Multi-gas straight channel showerhead |
EP2147987A1 (en) * | 2008-07-18 | 2010-01-27 | Kabushiki Kaisha Toshiba | Vapor growth apparatus, vapor growth method, and method for manufacturing semiconductor device |
US8071165B2 (en) | 2008-08-08 | 2011-12-06 | International Solar Electric Technology, Inc. | Chemical vapor deposition method and system for semiconductor devices |
WO2010016852A1 (en) * | 2008-08-08 | 2010-02-11 | International Solar Electric Technology, Inc. | Chemical vapor deposition method and system for semiconductor devices |
WO2010019008A3 (en) * | 2008-08-13 | 2010-04-15 | Synos Technology, Inc. | Vapor deposition reactor |
WO2010019008A2 (en) * | 2008-08-13 | 2010-02-18 | Synos Technology, Inc. | Vapor deposition reactor |
CN102422394A (en) * | 2009-03-16 | 2012-04-18 | 奥塔装置公司 | Reactor lid assembly for vapor deposition |
TWI480415B (en) * | 2013-11-27 | 2015-04-11 | Ind Tech Res Inst | A muti-mode membrane deposition apparatus and a membrane deposition method |
US9023693B1 (en) | 2013-11-27 | 2015-05-05 | Industrial Technology Research Institute | Multi-mode thin film deposition apparatus and method of depositing a thin film |
CN104674191A (en) * | 2013-11-27 | 2015-06-03 | 财团法人工业技术研究院 | Multi-mode thin film deposition apparatus and thin film deposition method |
Also Published As
Publication number | Publication date |
---|---|
US20090178616A1 (en) | 2009-07-16 |
JP2006322074A (en) | 2006-11-30 |
US7479303B2 (en) | 2009-01-20 |
JP4630226B2 (en) | 2011-02-09 |
KR100731164B1 (en) | 2007-06-20 |
US20060263522A1 (en) | 2006-11-23 |
US8298370B2 (en) | 2012-10-30 |
KR20060120402A (en) | 2006-11-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7479303B2 (en) | Method for chemical vapor deposition (CVD) with showerhead and method thereof | |
US8882913B2 (en) | Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof | |
KR101522725B1 (en) | High Temperature ALD Inlet Manifold | |
JP5519105B2 (en) | Chemical vapor deposition method and gas supply system for chemical vapor deposition reactor | |
TWI490366B (en) | Flow control features of cvd chambers | |
KR100770461B1 (en) | Gas treating device and film forming device | |
US20100263588A1 (en) | Methods and apparatus for epitaxial growth of semiconductor materials | |
CN110904432B (en) | MOCVD reactor | |
KR20010052904A (en) | Dual channel gas distribution plate | |
WO2008032910A1 (en) | Apparatus of chemical vapor deposition with a showerhead regulating injection velocity of reactive gases positively and method thereof | |
CN112242324A (en) | Showerhead arrangement for semiconductor processing system | |
CN112695302B (en) | MOCVD reactor | |
KR101473403B1 (en) | Shower head assembly and apparatus for chemical vapor deposition having the same | |
KR20020011510A (en) | Single wafer type chemical vapor deposition equipment and method of forming a thin film using the same | |
WO2004032200A2 (en) | Systems and methods for improved gas delivery | |
JPS62154617A (en) | Vapor growth apparatus |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
121 | Ep: the epo has been informed by wipo that ep was designated in this application | ||
NENP | Non-entry into the national phase |
Ref country code: DE |
|
NENP | Non-entry into the national phase |
Ref country code: RU |
|
122 | Ep: pct application non-entry in european phase |
Ref document number: 06757692 Country of ref document: EP Kind code of ref document: A1 |