WO2006124321A2 - Treatment of substrate using fuctionalizing agent in supercritical carbon dioxide - Google Patents

Treatment of substrate using fuctionalizing agent in supercritical carbon dioxide Download PDF

Info

Publication number
WO2006124321A2
WO2006124321A2 PCT/US2006/017294 US2006017294W WO2006124321A2 WO 2006124321 A2 WO2006124321 A2 WO 2006124321A2 US 2006017294 W US2006017294 W US 2006017294W WO 2006124321 A2 WO2006124321 A2 WO 2006124321A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
supercritical
processing chamber
pressure
chemistry
Prior art date
Application number
PCT/US2006/017294
Other languages
French (fr)
Other versions
WO2006124321A3 (en
Inventor
Robert Kevwitch
Original Assignee
Tokyo Electron Limited
Tokyo Electron America, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited, Tokyo Electron America, Inc. filed Critical Tokyo Electron Limited
Publication of WO2006124321A2 publication Critical patent/WO2006124321A2/en
Publication of WO2006124321A3 publication Critical patent/WO2006124321A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers

Definitions

  • This invention relates to the field of processing substrates using supercritical carbon dioxide. More particularly, the present invention relates to the field of processing porous low-k dielectric materials using supercritical carbon dioxide processes.
  • Carbon dioxide (CO 2 ) is an environmentally friendly, naturally abundant, non-polar molecule. Being non-polar, CO 2 has the capacity to dissolve a variety of non-polar materials or contaminates. The degree to which the contaminants found in non-polar CO 2 are soluble is dependant on the physical state of the CO 2 .
  • the four phases of CO 2 are solid, liquid, gas, and supercritical. The four phases or states are differentiated by appropriate combinations of specific pressures and temperatures.
  • CO 2 in a supercritical state sc-CO 2
  • Sc-CO 2 lacks any meaningful surface tension while interacting with solid surfaces, and hence, can readily penetrate high aspect ratio geometrical features more readily than liquid CO 2 .
  • the SC-CO 2 can easily dissolve large quantities of many other chemicals. It has been shown that as the temperature and pressure are increased into the supercritical phase, the solubility of CO 2 also increases. This increase in solubility has lead to the development of a number of Sc-CO 2 cleaning processes.
  • a method of processing a substrate comprising positioning the substrate on a substrate holder in a processing chamber; performing a cleaning process using a first supercritical fluid comprising supercritical CO 2 and a cleaning chemistry; and thereafter performing a treatment process using a second supercritical fluid comprising supercritical CO 2 and one or more functionalizing agents selected from an acyl halide, an alkyl halide, or an acyl alcohol, or a combination thereof.
  • FIG. 1 shows an exemplary block diagram of a processing system in accordance with embodiments of the invention
  • FIG. 2 illustrates an exemplary graph of pressure versus time for a supercritical process step in accordance with an embodiment of the invention.
  • FIG. 3 illustrates a flow chart of a method of performing a supercritical residue removal process on a substrate in accordance with embodiments of the present invention.
  • the present invention is directed to processing substrates using supercritical carbon dioxide.
  • the substrate includes a patterned low-k and/or ultra low-k material thereon.
  • the substrate is cleaned using a first supercritical fluid comprising supercritical CO 2 and a cleaning chemistry.
  • the cleaning chemistry may comprise an acid and a solvent, such as an organic acid and an alcohol or ketone solvent.
  • the cleaning process can be an oxidation process and/or an etching process effective to oxidize or partially oxidize the substrate surface, such as the patterned dielectric material, and can remove at least a portion thereof, but some small fragments of oxidized residue are only minimally soluble in supercritical CO 2 , and may thus be left behind.
  • the substrate is treated using a second supercritical fluid comprising supercritical CO 2 and one or more functionalizing agents selected from an acyl halide, an alkyl halide, and/or an acyl alcohol.
  • the functionalizing agent is effective to render small fragments of oxidized residue more soluble in supercritical CO 2 to thereby enable removal of the fragments during the treatment process.
  • the method of the present invention includes at least a cleaning process and a treatment process, wherein the treatment process includes a functionalizing agent effective to remove surface matter, such as particulate, contaminants, and/or residues, not removed during the cleaning process, such as by rendering the un-removed matter more soluble in the supercritical CO 2 .
  • the invention should not be considered limited to only the cleaning and treatment steps. Other method steps may be performed prior to the cleaning process, after the treatment process, and/or between the cleaning and treatment processes. Further, individual steps and/or combinations of steps may be repeated any desired number of times.
  • FIG. 1 shows an exemplary block diagram of a processing system in accordance with embodiments of the invention.
  • processing system 100 comprises a process module 110 including a process chamber 108 for processing a substrate 105, a recirculation system 120, a process chemistry supply system 130, a high-pressure fluid supply system 140, a pressure control system 150, an exhaust system 160, and a controller 180.
  • the processing system 100 can operate at pressures that can range from 1000 psi to 10,000 psi.
  • the processing system 100 can operate at temperatures that can range from 40 to 300 degrees Celsius.
  • the controller 180 can be coupled to the process module 110, the recirculation system 120, the process chemistry supply system 130, the high- pressure fluid supply system 140, the pressure control system 150, and the exhaust system 160. Alternately, controller 180 can be coupled to one or more additional controllers/computers (not shown), and controller 180 can obtain setup, configuration, and/or recipe information from an additional controller/computer. [0017] In FIG 1 , singular processing elements (110, 120, 130, 140, 150, 160, and 180) are shown, but this is not required for the invention.
  • the semiconductor processing system 100 can comprise any number of processing elements having any number of controllers associated with them in addition to independent processing elements.
  • the controller 180 can 1 be used to configure any number of processing elements (110, 120, 130, 140, 150, and 160), and the controller 180 can collect, provide, process, store, and display data from processing elements.
  • the controller 180 can comprise a number of applications for controlling one or more of the processing elements.
  • controller 180 can include a graphic user interface (GUI) component (not shown) that can provide easy to use interfaces that enable a user to monitor and/or control one or more processing elements.
  • GUI graphic user interface
  • the process module 110 can include a processing chamber 108 enclosed by an upper assembly 112 and a lower assembly 116, and the upper assembly 112 can be coupled to the lower assembly 116.
  • a frame and or injection ring may be included and may be coupled to an upper assembly and a lower assembly.
  • the upper assembly 112 can comprise a heater (not shown) for heating the processing chamber 108, a substrate 105 in the processing chamber 108, or the processing fluid, or a combination of two or more thereof.
  • a heater is not required in the upper assembly 112.
  • the lower assembly 116 can comprise a heater (not shown) for heating the processing chamber 108, the substrate 105, or the processing fluid, or a combination of two or more thereof.
  • the process module 110 can include means for flowing a processing fluid through the processing chamber 108.
  • a circular flow pattern can be established, and in another example, a substantially linear flow pattern can be established.
  • the means for flowing can be configured differently.
  • the process module 110 can include a holder or chuck 118 for supporting and holding the substrate 105 while processing the substrate 105.
  • the lower assembly 116 can comprise one or more lifters (not shown) for moving the chuck 118 and/or the substrate 105. Alternately, a lifter is not required.
  • the holder or chuck 118 can also be configured to heat or cool the substrate 105 before, during, and/or after processing the substrate 105.
  • the process module 110 can include a platen for supporting and holding the substrate 105 while processing the substrate 105.
  • a transfer system (not shown) can be used to move a substrate 105 into and out of the processing chamber 108 through a slot (not shown).
  • the slot can be opened and closed by moving the chuck 118, and in another example, the slot can be controlled using a gate valve.
  • the substrate 105 can include semiconductor material, metallic material, dielectric material, ceramic material, or polymer material, or a combination of two or more thereof.
  • the semiconductor material can include Si, Ge, Si/Ge, or GaAs.
  • the metallic material can include Cu, Al, Ni, Pb, Ti, Ta, or W, or combinations of two or more thereof.
  • the dielectric material can include Si, O, N, or C, or combinations of two or more thereof.
  • the ceramic material can include Al, N, Si, C, or O, or combinations of two or more thereof.
  • the substrate includes a patterned dielectric material, for example a low-k material or an ultra low-k material or combination thereof.
  • the recirculation system 120 can be coupled to the process module 110 using one or more inlet lines 122 and one or more outlet lines 124 to form a recirculation loop 115.
  • the recirculation system 120 can comprise one or more valves (not shown) for regulating the flow of a supercritical processing solution through the recirculation system 120 and through the process module 110.
  • the recirculation system 120 can comprise any number of back-flow valves, filters, pumps, and/or heaters (not shown) for maintaining a supercritical processing solution and flowing the supercritical processing solution through the recirculation system 120 and through the processing chamber 108 in the process module 110. After introducing a fluid to the processing chamber 108, the fluid can be recirc ⁇ lated through the processing chamber 108 via recirculation loop 115, such as continuously for a desired period of time or discontinuously a desired number of times.
  • Processing system 100 can comprise a process chemistry supply system 130.
  • the process chemistry supply system is coupled to the recirculation system 120 using one or more lines 135, but this is not required for the invention.
  • the process chemical supply system 130 can be configured differently and can be coupled to different elements in the processing system 100.
  • the process chemistry supply system 130 can be coupled to the process module 110.
  • the process chemistry is introduced by the process chemistry supply system 130 into the fluid introduced by the high-pressure fluid supply system 140 at ratios that vary with the substrate properties, the chemistry being used, and the process being performed in the processing chamber 108. The ratio can vary from approximately 0.001 to approximately 15 percent by volume.
  • the process chemistry volumes can range from approximately ten microliters to approximately one hundred fifty milliliters. In alternate embodiments, the volume and/or the ratio may be higher or lower.
  • the process chemistry supply system 130 may be configured to introduce, at a minimum, a cleaning chemistry and a functionalizing agent, as discussed further below.
  • the process chemistry supply system 130 can be configured to introduce one or more of the following process compositions, but not limited to: cleaning compositions for removing contaminants, residues, hardened residues, photoresist, hardened photoresist, post-etch residue, post-ash residue, post chemical-mechanical polishing (CMP) residue, post-polishing residue, or post- implant residue, or any combination thereof; cleaning compositions for removing particulate; drying compositions for drying thin films, porous thin films, porous low dielectric constant materials, or air-gap dielectrics, or any combination thereof; film-forming compositions for preparing dielectric thin films, metal thin films, or any combination thereof; healing compositions for restoring the dielectric constant of low dielectric constant (low-k) films; sealing compositions for sealing porous films; or any combination thereof. Additionally, the process chemistry supply system 130 can be configured to introduce solvents, surfactants, etchants, acids, bases, chelators, oxidizers, film-forming precursors, or reducing agents, or any combination thereof
  • the process chemistry supply system 130 can be configured to introduce N-methyl pyrrolidone (NMP), diglycol amine, hydroxyl amine, di- isopropyl amine, tri-isopropyl amine, tertiary amines, catechol, ammonium fluoride, ammonium bifluoride, methylacetoacetamide, ozone, propylene glycol monoethyl ether acetate, acetylacetone, dibasic esters, ethyl lactate, CHF3, BF3, HF, other fluorine containing chemicals, or any mixture thereof.
  • Other chemicals such as organic solvents may be utilized independently or in conjunction with the above chemicals to remove organic materials.
  • the organic solvents may include, for example, an alcohol, ether, and/or glycol, such as acetone, diacetone alcohol, dimethyl sulfoxide (DMSO), ethylene glycol, methanol, ethanol, propanol, or isopropanol (IPA).
  • an alcohol such as acetone, diacetone alcohol, dimethyl sulfoxide (DMSO), ethylene glycol, methanol, ethanol, propanol, or isopropanol (IPA).
  • DMSO dimethyl sulfoxide
  • IPA isopropanol
  • the process chemistry supply system 130 can comprise post-treating chemistry assemblies (not shown) for introducing post-treating chemistry for curing, cleaning, healing (or restoring the dielectric constant of low-k materials), or sealing, or any combination, low dielectric constant films (porous or non-porous).
  • the chemistry can include hexamethyldisilazane (HMDS), chlorotrimethylsilane (TMCS), trichloromethylsilane (TCMS), dimethylsilyldiethylamine (DMSDEA), tetramethyldisilazane (TMDS), trimethylsilyldimethylamine (TMSDMA), dimethylsilyldimethylamine (DMSDMA), trimethylsilyldiethylamine (TMSDEA), bistrimethylsilyl urea (BTSU), bis(dimethylamino)methyl silane (B[DMA]MS), bis (dimethylamino)dimethyl silane (B[DMA]DS), HMCTS, dimethylamino- pentamethyldisilane (DMAPMDS), dimethylaminodimethyldisilane (DMADMDS), disila-aza-cyclopentane (TDACP), disila-oza-cyclopentane (TDOCP), methyltrimethoxysi
  • the chemistry may include N-tert- butyl-1 , 1 -dimethyl-1 -(2,3,4, 5-tetramethyl-2,4-cyclopentadiene-1-yl)silanamine, 1 ,3-diphenyl-1 ,1 ,3,3-tetramethyldisilazane, or tert-butylchlorodiphenylsilane.
  • N-tert- butyl-1 1 -dimethyl-1 -(2,3,4, 5-tetramethyl-2,4-cyclopentadiene-1-yl)silanamine
  • 1 ,3-diphenyl-1 ,1 ,3,3-tetramethyldisilazane or tert-butylchlorodiphenylsilane.
  • the process chemistry supply system 130 can comprise a rinsing chemistry assembly (not shown) for providing rinsing chemistry for generating supercritical rinsing solutions within the processing chamber 108.
  • the rinsing chemistry can include one or more organic solvents including, but not limited to, alcohols (such as methanol, ethanol, isopropanol and 1-propanol) and ketones.
  • the rinsing chemistry can comprise an alcohol and a carrier solvent.
  • the process chemistry supply system 130 can comprise a drying chemistry assembly (not shown) for providing drying chemistry for generating supercritical drying solutions within the processing chamber 108.
  • the process chemistry can include chelating agents, complexing agents, oxidants, organic acids, and inorganic acids that can be introduced into supercritical carbon dioxide with one or more carrier solvents, such as N.N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, and alcohols (such as methanol, ethanol, isopropanol and 1-propanol).
  • carrier solvents such as N.N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, and alcohols (such as methanol, ethanol, isopropanol and 1-propanol).
  • the process chemistry can include solvents, co-solvents, surfactants, and/or other ingredients.
  • solvents, co-solvents, and surfactants are disclosed in co-owned United States Patent No. 6,500,605, entitled “REMOVAL OF PHOTORESIST AND RESIDUE FROM SUBSTRATE USING SUPERCRITICAL CARBON DIOXIDE PROCESS", issued December 31 , 2002, and United States Patent No. 6,277,753, entitled “REMOVAL OF CMP RESIDUE FROM SEMICONDUCTORS USING SUPERCRITICAL CARBON DIOXIDE PROCESS", issued August 21 , 2001 , both of which are incorporated by reference herein.
  • the process chemistry supply system 130 can be configured to introduce a peroxide during, for instance, cleaning processes.
  • the peroxide can be introduced with any one of the above process chemistries, or any mixture thereof.
  • the peroxide can include organic peroxides, or inorganic peroxides, or a combination thereof.
  • organic peroxides can include 2-butanone peroxide; 2,4-pentanedione peroxide; peracetic acid; t-butyl hydroperoxide; benzoyl peroxide; or m-chloroperbenzoic acid (mCPBA).
  • Other peroxides can include hydrogen peroxide.
  • the peroxide can include a diacyl peroxide, such as: decanoyl peroxide; lauroyl peroxide; succinic acid peroxide; or benzoyl peroxide; or any combination thereof.
  • the peroxide can include a dialkyl peroxide, such as: dicumyl peroxide; 2,5-di(t-butylperoxy)-2,5- dimethylhexane; t-butyl cumyl peroxide; ⁇ , ⁇ -bis(t-butylperoxy)diisopropylbenzene mixture of isomers; di(t-amyl) peroxide; di(t-butyl) peroxide; or 2,5-di(t- butylperoxy)-2,5-dimethyl-3-hexyne; or any combination thereof.
  • the peroxide can include a diperoxyketal, such as: 1 ,1-di(t-butylperoxy)-3,3,5-trimethyl cyclohexane; 1 ,1-di(t-butylperoxy)cyclohexane; 1 ,1-di(t-amylperoxy)-cyclohexane; n-butyl 4,4-di(t-butylperoxy)valerate; ethyl 3,3-di-(t-amylperoxy)butanoate; t-butyl peroxy-2-ethylhexanoate; or ethyl .3,3-di(t-butylperoxy)butyrate; or any combination thereof.
  • a diperoxyketal such as: 1 ,1-di(t-butylperoxy)-3,3,5-trimethyl cyclohexane; 1 ,1-di(t-butylperoxy)
  • the peroxide can include a hydroperoxide, such as: cumene hydroperoxide; or t-butyl hydroperoxide; or any combination thereof.
  • the peroxide can include a ketone peroxide, such as: methyl ethyl ketone peroxide, or 2,4-pentanedione peroxide, or any combination thereof.
  • the peroxide can include a peroxydicarbonate, such as: di(n-propyl)peroxydicarbonate, di(sec-butyl)peroxydicarbonate, or di(2-ethylhexyl) peroxydicarbonate, or any combination thereof.
  • the peroxide can include a peroxyester, such as: 3-hydroxyl-1 ,1-dimethylbutyl peroxyneo- decanoate, ⁇ -cumyi peroxyneodecanoate; t-amyl peroxyneodecanoate, t-butyl peroxyneodecanoate, t-butyl peroxypivalate, 2,5-di(2-ethylhexanoylperoxy)-2,5- dimethylhexane, t-amyl peroxy-2-ethylhexanoate, t-butyl peroxy-2-ethylhexanoate, t-amyl peroxyacetate, t-butyl peroxyacetate, t-butyl peroxybenzoate, OO-(t-amyl) O-(2-ethylhexyl)monoperoxycarbonate, OO-(t-butyl) O-isopropy
  • the process chemistry supply system 130 can be configured to introduce fluorosilicic acid.
  • the process chemistry supply system 130 is configured to introduce fluorosilicic acid with a solvent, a co- solvent, a surfactant, another acid, a base, a peroxide, or an etchant.
  • the fluorosilicic acid can be introduced in combination with any of the chemicals presented above.
  • fluorosilicic acid can be introduced with N,N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), butylene carbonate (BC), propylene carbonate (PC), N-methyl pyrrolidone (NMP), dimethylpiperidone, propylene carbonate, or an alcohol (such a methanol (MeOH), 1-propanol, isopropyl alcohol (IPA), or ethanol).
  • DMAc N,N-dimethylacetamide
  • BLO gamma-butyrolactone
  • DMSO dimethyl sulfoxide
  • EC ethylene carbonate
  • BC butylene carbonate
  • PC propylene carbonate
  • NMP N-methyl pyrrolidone
  • dimethylpiperidone propylene carbonate
  • propylene carbonate or an alcohol (such a methanol (MeOH), 1-propanol, isopropy
  • the process chemistry supply system 130 can be configured to introduce a functionalizing agent.
  • the functionalizing agent can include an acyl halide (e.g., benzyl chloride), an alkyl halide (e.g., chloromethane, chloroethane, 2-chloroisopropane, etc.), and/or an acyl alcohol (e.g., benzyl alcohol).
  • the functionalizing agent can be introduced with a solvent or a co-solvent.
  • the functionalizing agent can be introduced in combination with any of the chemicals presented above.
  • the processing system 100 can comprise a high-pressure fluid supply system 140. As shown in FIG.
  • the high-pressure fluid supply system 140 can be coupled to the recirculation system 120 using one or more lines 145, but this is not required.
  • the inlet line 145 can be equipped with one or more back-flow valves, and/or heaters (not shown) for controlling the fluid flow from the high- pressure fluid supply system 140.
  • high-pressure fluid supply system 140 can be configured differently and coupled differently.
  • the high-pressure fluid supply system 140 can be coupled to the process module 110.
  • the high-pressure fluid supply system 140 can comprise a carbon dioxide source (not shown) and a plurality of flow control elements (not shown) for generating a supercritical fluid.
  • the carbon dioxide source can include a CO 2 feed system
  • the flow control elements can include supply lines, valves, filters, pumps, and heaters.
  • the high-pressure fluid supply system 140 can comprise an inlet valve (not shown) that is configured to open and close to allow or prevent the stream of supercritical carbon dioxide from flowing into the processing chamber 108.
  • controller 180 can be used to determine fluid parameters such as pressure, temperature, process time, and flow rate.
  • the processing system 100 can also comprise a pressure control system 150. As shown in FIG.
  • the pressure control system 150 can be coupled to the process module 110 using one or more lines 155, but this is not required.
  • Line 155 can be equipped with one or more back-flow valves, and/or heaters (not shown) for controlling the fluid flow to pressure control system 150.
  • pressure control system 150 can be configured differently and coupled differently.
  • the pressure control system 150 can include one or more pressure valves (not shown) for exhausting the processing chamber 108 and/or for regulating the pressure within the processing chamber 108.
  • the pressure control system 150 can also include one or more pumps (not shown). For example, one pump may be used to increase the pressure within the processing chamber 108, and another pump may be used to evacuate the processing chamber 108.
  • the pressure control system 150 can comprise means for sealing the processing chamber 108.
  • the pressure control system 150 can comprise means for raising and lowering the substrate 105 and/or the chuck 118.
  • the processing system 100 can comprise an exhaust control system 160.
  • the exhaust control system 160 can be coupled to the process module 110 using one or more lines 165, but this is not required.
  • Line 165 can be equipped with one or more back-flow valves, and/or heaters (not shown) for controlling the fluid flow to the exhaust control system 160.
  • exhaust control system 160 can be configured differently and coupled differently.
  • the exhaust control system 160 can include an exhaust gas collection vessel (not shown) and can be used to remove contaminants from the processing fluid. Alternately, the exhaust control system 160 can be used to recycle the processing fluid.
  • controller 180 can comprise a processor 182 and a memory 184.
  • Memory 184 can be coupled to processor 182, and can be used for storing information and instructions to be executed by processor 182. Alternately, different controller configurations can be used.
  • controller 180 can comprise a port 185 that can be used to couple processing system 100 to another system (not shown).
  • controller 180 can comprise input and/or output devices (not shown).
  • one or more of the processing elements may include memory (not shown) for storing information and instructions to be executed during processing and processors for processing information and/or executing instructions.
  • the memory may be used for storing temporary variables or other intermediate information during the execution of instructions by the various processors in the system.
  • One or more of the processing elements can comprise the means for reading data and/or instructions from a computer readable medium.
  • one or more of the processing elements can comprise the means for writing data and/or instructions to a computer readable medium.
  • Memory devices can include at least one computer readable medium or memory for holding computer-executable instructions programmed according to the teachings of the invention and for containing data structures, tables, records, or other data described herein.
  • Controller 180 can use data from computer readable medium memory to generate and/or execute computer executable instructions.
  • the processing system 100 can perform a portion or all of the processing steps of the invention in response to the controller 180 executing one or more sequences of one or more computer-executable instructions contained in a memory. Such instructions may be received by the controller from another computer, a computer readable medium, or a network connection.
  • the present invention includes software for controlling the processing system 100, for driving a device or devices for implementing the invention, and for enabling the processing system 100 to interact with a human user and/or another system, such as a factory system.
  • software may include, but is not limited to, device drivers, operating systems, development tools, and applications software.
  • Such computer readable media further includes the computer program product of the present invention for performing all or a portion (if processing is distributed) of the processing performed in implementing the invention.
  • computer readable medium refers to any medium that participates in providing instructions to a processor for execution and/or that participates in storing information before, during, and/or after executing an instruction.
  • a computer readable medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media.
  • computer-executable instruction refers to any computer code and/or software that can be executed by a processor, that provides instructions to a processor for execution and/or that participates in storing information before, during, and/or after executing an instruction.
  • Controller 180, processor 182, memory 184 and other processors and memory in other system elements as described thus far can, unless indicated otherwise below, be constituted by components known in the art or constructed according to principles known in the art.
  • the computer readable medium and the computer executable instructions can also, unless indicated otherwise below, be constituted by components known in the art or constructed according to principles known in the art.
  • Controller 180 can use port 185 to obtain computer code and/or software from another system (not shown), such as a factory system.
  • the computer code and/or software can be used to establish a control hierarchy.
  • the processing system 100 can operate independently, or can be controlled to some degree by a higher-level system (not shown).
  • the controller 180 can use data from one or more of the system components to determine when to alter, pause, and/or stop a process.
  • the controller 180 can use the data and operational rules to determine when to change a process and how to change the process, and rules can be used to specify the action taken for normal processing and the actions taken on exceptional conditions.
  • Operational rules can be used to determine which processes are monitored and which data is used. For example, rules can be used to determine how to manage the data when a process is changed, paused, and/or stopped. In general, rules allow system and/or tool operation to change based on the dynamic state of the system.
  • Controller 180 can receive, send, use, and/or generate pre-process data, process data, and post-process data, and this data can include lot data, batch data, run data, composition data, and history data.
  • Pre-process data can be associated with an incoming substrate and can be used to establish an input state for a substrate and/or a current state for a process module.
  • Process data can include process parameters.
  • Post processing data can be associated with a processed substrate and can be used to establish an output state for a substrate.
  • the controller 180 can use the pre-process data to predict, select, or calculate a set of process parameters to use to process the substrate.
  • the pre- process data can include data describing the substrate to be processed.
  • the pre-process data can include information concerning the substrate's materials, the number of layers, the materials used for the different layers, the thickness of materials in the layers, the size of vias and trenches, the amount/type of process residue, the amount/type of oxidized and/or partially oxidized process residue, and a desired process result.
  • the pre-process data can be used to determine a process recipe and/or process model.
  • a process model can provide the relationship between one or more process recipe parameters and one or more process results.
  • a process recipe can include a multi-step process involving a set of process modules.
  • Post-process data can be obtained at some point after the substrate has been processed. For example, post-process data can be obtained after a time delay that can vary from minutes to days.
  • the controller can compute a predicted state for the substrate based on the pre-process data, the process characteristics, and a process model.
  • a treatment model can be used along with a material type and thickness to compute a predicted process residue removal time.
  • a removal rate model can be used along with the type of process residue and/or residue amount to compute a processing time for a removal process.
  • the substrate can comprise at least one of a semiconductor material, a metallic material, a polysilicon material, low-k material, and process-related material.
  • the process-related material can include photoresist and/or photoresist residue, oxidized and/or partially oxidized residues.
  • One process recipe can include steps for oxidizing residues and removing oxidized and/or partially oxidized residues from patterned or un- patterned low-k material. Additional process steps can include steps for cleaning, rinsing, and sealing low-k material. Those skilled in the art will recognize that low- k material can include low-k and ultra-low-k material.
  • the controller 180 can perform other functions in addition to those discussed here.
  • the controller 180 can monitor the pressure, temperature, flow, or other variables associated with the processing system 100 and take actions based on these values.
  • the controller 180 can process measured data, display data and/or results on a GUI screen, determine a fault condition, determine a response to a fault condition, and alert an operator.
  • the controller 180 can comprise a database component (not shown) for storing input and output data.
  • FIG. 2 illustrates an exemplary graph of pressure versus time for a supercritical process in accordance with an embodiment of the invention.
  • a graph 200 of pressure versus time is shown, and the graph 200 can be used to represent a supercritical residue removal process.
  • different pressures, different timing, and different sequences may be used for different processes.
  • the substrate to be processed can be placed within the processing chamber 108 and the processing chamber 108 can be sealed.
  • a substrate having dielectric material and process-related residue on exposed surfaces can be positioned in the chamber.
  • a substrate can comprise low-k and/or ultra low-k material, exposed and/or unexposed metal, and process-related residue, such as photoresist, photoresist residue, oxidized residue, and/or partially oxidized residue.
  • the substrate 105, the processing chamber 108, and the other elements in the recirculation loop 115 can be heated to an operational temperature.
  • the operational temperature can range from 40 to 300 degrees Celsius.
  • the processing chamber 108 and the other elements in the recirculation loop 115 can be pressurized.
  • a supercritical fluid such as substantially pure CO 2
  • a pump (not shown), can be started and can be used to circulate the supercritical fluid through the processing chamber 108 and the other elements in the recirculation loop 115 (FIG. 1).
  • the recirculation system 120 can comprise a recirculation pump.
  • process chemistry may be injected during time 201.
  • process chemistry can be introduced.
  • process chemistry when the pressure in the processing chamber 108 exceeds a critical pressure Pc (1 ,070 psi), process chemistry can be injected into the processing chamber 108, using the process chemistry supply system 130.
  • the injection(s) of the process chemistries can begin upon reaching about 1100-1200 psi.
  • process chemistry may be injected into the processing chamber 108 before the pressure exceeds the critical pressure Pc (1 ,070 psi) using the process chemistry supply system 130.
  • process chemistry is injected in a linear fashion, and the injection time can be based on a recirculation time.
  • the recirculation time can be determined based on the length of the recirculation path and the flow rate.
  • process chemistry may be injected in a non-linear fashion.
  • process chemistry can be injected in one or more steps.
  • the process chemistry can include a cleaning agent that is injected into the supercritical fluid.
  • the process chemistry may include a pre-treating agent, or a cleaning agent, or a rinsing agent, or a drying agent, or a combination thereof that is injected into the supercritical fluid.
  • One or more injections of process chemistries can be performed during time 202 to generate a supercritical processing solution with the desired concentrations of chemicals.
  • the process chemistry in accordance with the embodiments of the invention, can also include one or more carrier solvents.
  • the supercritical processing solution can also be re-circulated over the substrate and through the processing chamber 108 using the recirculation system 120, such as described above.
  • process chemistry is not injected during the second time 202.
  • process chemistry may be injected into the processing chamber 108 before the second time 202 or after the second time 202.
  • the process chemistry used during one or more steps in a residue removal process can include a high polarity solvent. Solvents, such as alcohols and water can be used. In another embodiment, the process chemistry used can include alcohol, acetic acid, and water. [0059]
  • the processing chamber 108 can operate at a pressure above 2,200 psi during the second time 202. For example, the pressure can range from approximately 2,500 psi to approximately 3,500 psi, but can be any value so long as the operating pressure is sufficient to maintain supercritical conditions. The supercritical conditions within the processing chamber 108 and the other elements in the recirculation loop 115 (FIG.
  • the pressure can be substantially constant. Alternately, the pressure may have different values during different portions of the second time 202.
  • the process chemistry used during one or more steps in a cleaning process can be injected at a pressure above approximately 2200 psi and circulated at a pressure above approximately 2700 psi.
  • the process chemistry used during one or more steps in a cleaning process can be injected at a pressure above approximately 2500 psi and circulated at a pressure above approximately 2500 psi.
  • a push-through process can be performed.
  • a push-through process may not be required after each cleaning step.
  • a new quantity of temperature-controlled supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115 from the high-pressure fluid supply system 140, and the supercritical corrosion inhibiting solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160.
  • supercritical carbon dioxide can be fed into the recirculation system 120 from the high-pressure fluid supply system 140, and the supercritical corrosion inhibiting solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160.
  • the high-pressure fluid supply system 140 can comprise means for providing one or more volumes of temperature-controlled fluid during a push- through process, and the volumes can be larger than the volume of the recirculation loop 115. Alternately, one or more of the volumes can be less than or approximately equal to the volume of the recirculation loop 115. In addition, the temperature differential within the one or more volumes of temperature-controlled fluid during the push-through process cycle can be controlled to be less than approximately ten degrees Celsius.
  • Providing temperature-controlled fluid during the push-through process prevents process residue suspended or dissolved within the fluid being displaced from the processing chamber 108 and the other elements in the recirculation loop 115 from dropping out and/or adhering to the processing chamber 108 and the other elements in the recirculation loop 115.
  • a single push-through process can be performed after a cleaning process is performed.
  • one or more push-through processes may be used after a cleaning process is performed.
  • a single second time 202 is followed by a single third time 203, but this is not required. In alternate embodiments, other time sequences may be used to process a substrate.
  • a decompression process can be performed. In an alternate embodiment, a decompression process is not required.
  • the processing chamber 108 can be cycled through one or more decompression cycles and one or more compression cycles.
  • the pressure can be cycled between a first pressure and a second pressure one or more times.
  • the first pressure and a second pressure can vary. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi.
  • the pressure can be lowered by venting through the exhaust control system 160.
  • the pressure can be increased by adding high- pressure carbon dioxide.
  • the high-pressure fluid supply system 140 can comprise means for providing a first volume of temperature-controlled fluid during a compression cycle, and the first volume can be larger than the volume of the recirculation loop 115. Alternately, the first volume can be less than or approximately equal to the volume of the recirculation loop 115. In addition, the temperature differential within the first volume of temperature-controlled fluid during the compression cycle can be controlled to be less than approximately ten degrees Celsius. In addition, the high-pressure fluid supply system 140 can comprise means for providing a second volume of temperature-controlled fluid during a decompression cycle, and the second volume can be larger than the volume of the recirculation loop 115.
  • the second volume can be less than or approximately equal to the volume of the recirculation loop 115.
  • the temperature differential within the second volume of temperature-controlled fluid during the decompression cycle can be controlled to be less than approximately twenty degrees Celsius.
  • the temperature variation of the temperature-controlled fluid can be controlled to be less than approximately ten degrees Celsius during a decompression cycle.
  • Process steps 202, 203, and 204 can be repeated a number of times to achieve a desired process result, and a unique process recipe can be established for each different combination of the process steps.
  • a process recipe can be used to establish the process parameters used during the different process recipes to process and/or remove different process-related residues.
  • process parameters can be different during the different process steps based on the type of removal process being performed.
  • a process recipe established for processing and/or removing process-related residues on one type of substrate from one manufacturer line can be different from the process recipe established for processing and/or removing process-related residues on another type of substrate from a different manufacturer line.
  • a substrate can be processed using one or more oxidation steps. For example, it is common to oxidize the substrate during the removal of post etch and post ash residue from the substrate. The process of oxidation causes the substrate structure to cleave at several points leaving smaller fragments of oxidized residue behind. This residue has only minimal solubility in supercritical CO 2 due to the polar constituents resulting from oxidation.
  • a treatment process can be performed in which the substrate can be treated using a functionalizing agent.
  • the functionalizing agent can include acyl halide (benzyl chloride), alkyl halide (chloromethane, chloroethane, 2-chloroisopropane, etc.), and/or acyl alcohols (benzyl alcohol).
  • the functionalizing agent can react with the smaller fragments and other less soluble components, and these functionalized components are rendered more soluble in supercritical CO 2 and are more easily removed than their predecessors.
  • the treatment process can be performed during a fifth time 205.
  • a single step treatment process is shown, but this is not required.
  • a multi-step treatment process may be performed.
  • a variable pressure treatment process may be performed. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi.
  • the pressure can be changed by adding and/or removing high-pressure carbon dioxide.
  • a treatment pressure is established during the fifth time 205 using supercritical carbon dioxide.
  • the processing chamber can be pressurized to above approximately 2500 psi.
  • a treatment chemistry can be introduced into the processing chamber. Then, the treatment chemistry can be recirculated through the processing chamber, such as by a recirculation loop, for a first period of time to remove the remaining portions of the process-related residue from a surface of the substrate.
  • the first period of time is less than about three minutes. Alternately, the first period of time may vary from approximately ten seconds to approximately ten minutes.
  • additional treatment chemistry (functionalizing agent) and/or supercritical fluid may be provided.
  • the functionalizing agent may be injected at a lower pressure; the pressure of the processing chamber can be increased; and the functionalizing agent can be recirculated through the processing chamber, such as by a recirculation loop, for a period of time.
  • a decompression process can be performed. In an alternate embodiment, a decompression process is not required.
  • the processing chamber 108 can be cycled through one or more decompression cycles and one or more compression cycles.
  • the pressure can be cycled between a first pressure and a second pressure one or more times.
  • the first pressure and a second pressure can vary. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi.
  • the pressure can be lowered by venting through the exhaust control system 160, and the pressure can be increased by adding supercritical carbon dioxide.
  • Process steps 205 and 206 can be repeated a number of times to achieve a desired process result, and different treatment recipes can be established for each different combination of the process parameters.
  • one or more push-through processes may be performed before process step 206.
  • a new quantity of supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115, and the supercritical treatment solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160.
  • Process steps 202, 203, 204, 205, and 206 can be repeated a number of times to achieve a desired process result for a particular material, and different combinations of cleaning recipes and treatment recipes can be established for each different combination of the process parameters.
  • a cleaning/treatment recipe can be used to establish the cleaning/treatment chemistry, cleaning/treatment time, and number of process cycles.
  • a rinsing process can be performed. In an alternate embodiment, a rinsing process is not required. Alternately, a drying step, a rinsing step, a cleaning step, a push-through step, a pore sealing step, a dielectric repair step, or an etching step, or a combination thereof can be performed.
  • a single step rinsing process is shown, but this is not required.
  • a multi-step rinsing process may be performed.
  • a variable pressure rinsing process may be performed. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi. The pressure can be changed by adding and/or removing high- pressure carbon dioxide.
  • a rinsing pressure is established during the seventh time 207 using supercritical carbon dioxide.
  • the processing chamber can be pressurized to above approximately 2500 psi.
  • a rinsing chemistry can be introduced into the processing chamber. Then, the rinsing chemistry can be recirculated through the processing chamber, such as by a recirculation loop, for a period of time to remove the remaining portions of the process-related residue from a surface of the substrate and/or to remove the remaining portions of the treatment chemistry.
  • the first period of time is less than about three minutes. Alternately, the period of time may vary from approximately ten seconds to approximately ten minutes.
  • rinsing chemistry may be injected at a lower pressure; the pressure of the processing chamber can be increased; and the rinsing chemistry can be recirculated through the processing chamber, such as by a recirculation loop, for a period of time.
  • a decompression process can be performed. In an alternate embodiment, a decompression process is not required.
  • the processing chamber 108 can be cycled through one or more decompression cycles and one or more compression cycles.
  • the pressure can be cycled between a first pressure and a second pressure one or more times.
  • the first pressure and a second pressure can vary. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi.
  • the pressure can be lowered by venting through the exhaust control system 160, and the pressure can be increased by adding supercritical carbon dioxide.
  • Process steps 207 and 208 can be repeated a number of times to achieve a desired process result, and different rinsing recipes can be established for each different combination of the process parameters.
  • the recipe for a rinsing process can be used to establish the rinsing chemistry, the rinsing process time, and number of decompression cycles.
  • one or more push-through processes may be performed before process step 208.
  • a new quantity of supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115, and the supercritical treatment solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160.
  • Process steps 202, 203, 204, 205, 206, 207, and 208 can be repeated a number of times to achieve a desired process result for a particular material, and different combinations of cleaning recipes, treatment recipes, and rinsing recipes can be established for each different combination of the process parameters.
  • a cleaning/treatment/ rinsing recipe can be used to establish the cleaning/treatment/ rinsing chemistry, cleaning/treatment/ rinsing time, and number of process cycles.
  • the processing chamber 108 can be returned to lower pressure. For example, after the decompression and compression cycles are complete, then the processing chamber can be vented or exhausted to a transfer system pressure.
  • the chamber pressure can be made substantially equal to the pressure inside of a transfer system (not shown) coupled to the processing chamber.
  • the substrate can be moved from the processing chamber into the transfer, and moved to a second process apparatus or module to continue processing.
  • the pressure returns to an initial pressure Po, but this is not required for the invention. In alternate embodiments, the pressure does not have to return to P 0 , and the process sequence can continue with additional time steps such as those shown in time steps 201 , 202, 203, 204, 205, 206, 207, and/or 208.
  • the graph 200 is provided for exemplary purposes only. It will be understood by those skilled in the art that a supercritical process can have any number of steps having different time/pressures or temperature profiles without departing from the scope of the invention. Further, any number of cleaning, treating, and rinsing process sequences with each step having any number of compression and decompression cycles are contemplated. In addition, as stated previously, concentrations of various chemicals and species within a supercritical processing solution can be readily tailored for the application at hand and altered at any time within a supercritical processing step.
  • additional processing steps can be performed after a residue removal process is performed.
  • a pore sealing, a k-value restoration, a rinsing process, a cleaning process, or a drying process, or a combination thereof can be performed.
  • These additional processes may require other processing chemistry to be circulated through the processing chamber, such as by a recirculation loop.
  • the removal chemistry can include alcohol and water, and the rinsing chemistry does not include water.
  • drying steps may be included.
  • the controller 180 can use historical data and/or process models to compute an expected value for the temperature of the fluid at various times during the process. The controller 180 can compare an expected temperature value to a measured temperature value to determine when to alter, pause, and/or stop a process.
  • the desired process result can be a process result that is measurable using an optical measuring device, such as a Scanning Electron Microscope (SEM) and/or Transmission Electron Microscope (TEM).
  • SEM Scanning Electron Microscope
  • TEM Transmission Electron Microscope
  • the desired process result can be an amount of residue and/or contaminant in a via or on the surface of a substrate.
  • the desired process can be measured.
  • the desired process result can be a process result that is measurable using Fourier Transform Infrared Spectroscopy (FTIR) which is an analytical technique used to identify materials.
  • FTIR Fourier Transform Infrared Spectroscopy
  • the FTIR technique measures the absorption of various infrared light wavelengths by the material of interest. These infrared absorption bands identify specific molecular components and structures.
  • the absorption bands in the region between 1500 - 400 wave numbers are generally due to intra-molecular phenomena, and are highly specific for each material.
  • the specificity of these bands allows computerized data searches to be performed against reference libraries to identify a material and/or identify the presence of a material.
  • FlG. 3 illustrates a flow chart of a method of performing a supercritical residue removal process on a substrate in accordance with embodiments of the present invention.
  • Procedure 300 can start in 305.
  • the substrate 105 to be processed can be placed within the processing chamber 108 and the processing chamber 108 can be sealed.
  • the substrate 105 being processed can comprise semiconductor material, low-k dielectric material, metallic material, and can have process-related residue thereon.
  • the substrate 105, the processing chamber 108, and the other elements in the recirculation loop 115 can be heated to an operational temperature.
  • the operational temperature can range from approximately 40 degrees Celsius to approximately 300 degrees Celsius. In some examples, the temperature can range from approximately 80 degrees Celsius to approximately 150 degrees Celsius.
  • the processing chamber 108 and the other elements in the recirculation loop 115 can be pressurized.
  • a supercritical fluid such as substantially pure CO 2
  • a pump (not shown) can be used to circulate the supercritical fluid through the processing chamber 108 and the other elements in the recirculation loop 115.
  • a cleaning process can be performed.
  • a supercritical cleaning process can be performed.
  • a non-supercritical cleaning process can be performed.
  • a supercritical cleaning process 310 can include recirculating the cleaning chemistry through the processing chamber 108, such as via recirculation loop 115. Recirculating the cleaning chemistry over the substrate 105 within the processing chamber 108 can comprise recirculating the cleaning chemistry for a period of time to process and/or remove one or more materials and/or residues from the substrate.
  • one or more push-through steps can be performed as a part of the cleaning process.
  • a new quantity of supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115, and the supercritical cleaning solution along with the process byproducts suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160.
  • a push-through step is not required during a cleaning step.
  • process byproducts can include photoresist materials and/or residues including oxidized and partially oxidized materials.
  • dielectric material can be processed and one or more photoresist materials and/or residues can be removed from the low-k dielectric material using process chemistry that includes one or more cleaning agents and one or more solvents.
  • a query is performed to determine when the cleaning process has been completed.
  • procedure 300 can branch via 317 to 320 and continues.
  • procedure 300 branches back via 316 to 310 and the cleaning process continues.
  • One or more process recipes can be performed during a cleaning process. For example, different chemistries, different concentrations, different process conditions, and/or different times can be used in different cleaning process steps.
  • a treatment process can be performed while maintaining the processing system in a high pressure state.
  • a treatment process can be performed in which the substrate is treated using a functionalizing agent.
  • the functionalizing agent can include an acyl halide (e.g., benzyl chloride), an alkyl halide (e.g., chloromethane, chloroethane, 2-chloroisopropane, etc.), and/or an acyl alcohol (e.g., benzyl alcohol).
  • the functionalizing agent can react with the smaller fragments and other less soluble components, and these functionalized components are rendered more soluble in supercritical CO2 and are more easily removed than their predecessors.
  • Treatment processes can be performed after an oxidation process, or after an etching process, or after an oxidation/etching process.
  • the treatment process can be a multi-step process.
  • the processing chamber can be pressurized to above approximately 2500 psi, and a treatment chemistry can be introduced into the processing chamber. Then, the treatment chemistry can be re-circulated through the processing chamber 108, such as via recirculation loop 115, for a period of time to remove the remaining portions of the process-related residue from a surface of the substrate.
  • the period of time is less than about three minutes. Alternately, the period of time may vary from approximately ten seconds to approximately ten minutes.
  • additional treatment chemistry (functionalizing agent) and/or supercritical fluid may be provided.
  • the functionalizing agent may be injected at a lower pressure; the pressure of the processing chamber can be increased; and the functionalizing agent can be recirculated through the processing chamber 108, such as via recirculation loop 115, for a period of time.
  • one or more push-through steps can be performed as a part of the treatment process.
  • a push-through step a new quantity of temperature-controlled supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115, and the supercritical treatment solution along with the process byproducts suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160.
  • a push-through step is not required during a cleaning step.
  • process byproducts can include photoresist materials and/or residues including oxidized and partially oxidized materials.
  • one or more decompression processes can be performed as a part of the treatment process.
  • the processing chamber 108 can be cycled through one or more decompression cycles and one or more compression cycles.
  • the pressure can be cycled between a higher pressure and a lower pressure one or more times. In alternate embodiments, the pressures can vary.
  • the pressure can be lowered by venting through the exhaust control system 160. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi.
  • the pressure can be increased by adding temperature-controlled supercritical carbon dioxide.
  • a query is performed to determine when the treatment process 320 has been completed.
  • procedure 300 can branch via 327 to 330, and procedure 300 can continue on to step 330 if no additional treatment steps are required. Alternately, when the treatment process is completed and additional cleaning steps are required, procedure 300 can branch via 328 back to 310, and procedure 300 can continue by performing additional removal steps as required.
  • procedure 300 can branch back via 326 to 320 and the treatment process 320 can continue.
  • different chemistries, different concentrations, different process conditions, and/or different times can be used in different treatment processes.
  • a rinsing process can be performed.
  • a supercritical rinsing process can be performed.
  • a non-supercritical rinsing process can be performed.
  • a supercritical rinsing process 310 can include recirculating the rinsing chemistry through the processing chamber 108, such as via recirculation loop 115.
  • Recirculating the rinsing chemistry can comprise recirculating the rinsing chemistry for a period of time to process and/or remove one or more materials and/or residues from the substrate.
  • one or more push-through steps can be performed as a part of the rinsing process.
  • a new quantity of supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115, and the supercritical rinsing solution along with the process byproducts suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160.
  • a push-through step is not required during a rinsing step.
  • process byproducts can include photoresist materials and/or residues including oxidized and partially oxidized materials.
  • dielectric material can be processed and one or more process byproducts can be removed from the low-k dielectric material using process chemistry that includes one or more rinsing agents and one or more solvents.
  • a query is performed to determine when the rinsing process has been completed.
  • procedure 300 can branch via 337 to 350 and continues.
  • procedure 300 can branch back via 338 to 310 or 320, and procedure 300 can continue by performing additional removal and/or treatment steps as required.
  • procedure 300 branches back via 336 to 330 and the rinsing process continues.
  • One or more process recipes can be performed during a rinsing process. For example, different chemistries, different concentrations, different process conditions, and/or different times can be used in different rinsing process steps.
  • a venting process can be performed.
  • a variable pressure venting process can be performed.
  • a multi-pressure venting process can be performed.
  • the pressure in the processing chamber 108 can be lower to a pressure that is compatible with a transfer system pressure.
  • the pressure can be lowered by venting through the exhaust control system 160.
  • a k-value restoration process or a pore sealing process, or a combination process can be performed.
  • the processes described herein can be further supplemented by ozone processing.
  • the substrate when performing a cleaning process, the substrate can be subjected to ozone treatment prior to treating with a supercritical processing solution.
  • ozone treatment the substrate enters an ozone module, and the surface residues to be removed are exposed to an ozone atmosphere.
  • a partial pressure of ozone formed in oxygen can be flowed over the surface of the substrate for a period of time sufficient to oxidize residues either partly or wholly.
  • the ozone process gas flow rate can, for example, range from 1 to 50 slm (standard liters per minute) and, by way of further example, the flow rate can range from 5 to 15 slm. Additionally, the pressure can, for example, range from 1 to 5 atm and, by way of further example, range from 1 to 3 atm. Further details are provided in co-pending U.S. Patent Application No. 10/987,594, entitled “Method for Removing a Residue from a Substrate Using Supercritical Carbon Dioxide Processing," filed on November 12, 2004, and co-pending U.S. Patent Application No.

Abstract

During the processing of substrates (105), the substrate surface may be subjected to a cleaning process using supercritical CO2. Surface matter may remain, for example, because it is only minimally soluble in the supercritical CO2. For example, an oxidation cleaning process causes the substrate structure (105) to cleave at several points leaving smaller fragments of oxidized residue behind. This residue has only minimal solubility in supercritical CO2 due to the polar constituents resulting from oxidation. The method thus further includes processing the substrate (105) with supercritical CO2 and a functionalizing agent that can react with the smaller fragments and/or other less soluble components. These functionalized components are rendered more soluble in supercritical CO2 and are more easily removed than their predecessors.

Description

TREATMENT OF SUBSTRATE USING FUNCTIONALIZING AGENT IN SUPERCRITICAL CARBON DIOXIDE
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This patent application is related to commonly owned co-pending U.S. Patent Application No. 10/908,396 (Attorney Docket No. SS1T-100), filed May 10, 2005 entitled "Removal of Particles from Substrate Surfaces Using Supercritical Processing," which is hereby incorporated by reference in its entirety.
FIELD OF THE INVENTION
[0002] This invention relates to the field of processing substrates using supercritical carbon dioxide. More particularly, the present invention relates to the field of processing porous low-k dielectric materials using supercritical carbon dioxide processes.
BACKGROUND OF THE INVENTION
[0003] Carbon dioxide (CO2) is an environmentally friendly, naturally abundant, non-polar molecule. Being non-polar, CO2 has the capacity to dissolve a variety of non-polar materials or contaminates. The degree to which the contaminants found in non-polar CO2 are soluble is dependant on the physical state of the CO2. The four phases of CO2 are solid, liquid, gas, and supercritical. The four phases or states are differentiated by appropriate combinations of specific pressures and temperatures. CO2 in a supercritical state (sc-CO2) is neither liquid nor gas but embodies properties of both. In addition, Sc-CO2 lacks any meaningful surface tension while interacting with solid surfaces, and hence, can readily penetrate high aspect ratio geometrical features more readily than liquid CO2. Moreover, because of its low viscosity and liquid-like characteristics, the SC-CO2 can easily dissolve large quantities of many other chemicals. It has been shown that as the temperature and pressure are increased into the supercritical phase, the solubility of CO2 also increases. This increase in solubility has lead to the development of a number of Sc-CO2 cleaning processes.
[0004] One problem in semiconductor manufacturing is that the cleaning process sometimes does not completely remove photoresist residue and other residues and contaminants on the surface of the wafer. For example, during some cleaning processes, oxidized residues can be created that adversely affect the cleaning process. It would be advantageous during the cleaning process to be able to remove both oxidized and non-oxidized residues and/or contaminants from the surface features on the wafer surface.
[0005] What is needed is an improved method for removing oxidized and/or partially oxidized residues from substrate surfaces.
SUMMARY OF THE INVENTION
[0006] In accordance with the present invention, a method of processing a substrate is provided, the method comprising positioning the substrate on a substrate holder in a processing chamber; performing a cleaning process using a first supercritical fluid comprising supercritical CO2 and a cleaning chemistry; and thereafter performing a treatment process using a second supercritical fluid comprising supercritical CO2 and one or more functionalizing agents selected from an acyl halide, an alkyl halide, or an acyl alcohol, or a combination thereof.
BRIEF DESCRIPTION OF THE DRAWINGS
[0007] A more complete appreciation of various embodiments of the invention and many of the attendant advantages thereof will become readily apparent with reference to the following detailed description, particularly when considered in conjunction with the accompanying drawings, in which:
[0008] FIG. 1 shows an exemplary block diagram of a processing system in accordance with embodiments of the invention;
[0009] FIG. 2 illustrates an exemplary graph of pressure versus time for a supercritical process step in accordance with an embodiment of the invention; and
[0010] FIG. 3 illustrates a flow chart of a method of performing a supercritical residue removal process on a substrate in accordance with embodiments of the present invention.
DETAILED DESCRIPTION OF SEVERAL EMBODIMENTS
[0011] The present invention is directed to processing substrates using supercritical carbon dioxide. In one embodiment, the substrate includes a patterned low-k and/or ultra low-k material thereon. In accordance with the method of the present invention, the substrate is cleaned using a first supercritical fluid comprising supercritical CO2 and a cleaning chemistry. For example, the cleaning chemistry may comprise an acid and a solvent, such as an organic acid and an alcohol or ketone solvent. In one embodiment, the cleaning process can be an oxidation process and/or an etching process effective to oxidize or partially oxidize the substrate surface, such as the patterned dielectric material, and can remove at least a portion thereof, but some small fragments of oxidized residue are only minimally soluble in supercritical CO2, and may thus be left behind. [0012] After the cleaning process, and in further accordance with the present invention, the substrate is treated using a second supercritical fluid comprising supercritical CO2 and one or more functionalizing agents selected from an acyl halide, an alkyl halide, and/or an acyl alcohol. In one embodiment, the functionalizing agent is effective to render small fragments of oxidized residue more soluble in supercritical CO2 to thereby enable removal of the fragments during the treatment process.
[0013] Thus, the method of the present invention includes at least a cleaning process and a treatment process, wherein the treatment process includes a functionalizing agent effective to remove surface matter, such as particulate, contaminants, and/or residues, not removed during the cleaning process, such as by rendering the un-removed matter more soluble in the supercritical CO2. The invention should not be considered limited to only the cleaning and treatment steps. Other method steps may be performed prior to the cleaning process, after the treatment process, and/or between the cleaning and treatment processes. Further, individual steps and/or combinations of steps may be repeated any desired number of times.
[0014] The present invention will now be explained further by reference to the Figures. FIG. 1 shows an exemplary block diagram of a processing system in accordance with embodiments of the invention. In the illustrated embodiment, processing system 100 comprises a process module 110 including a process chamber 108 for processing a substrate 105, a recirculation system 120, a process chemistry supply system 130, a high-pressure fluid supply system 140, a pressure control system 150, an exhaust system 160, and a controller 180. The processing system 100 can operate at pressures that can range from 1000 psi to 10,000 psi. In addition, the processing system 100 can operate at temperatures that can range from 40 to 300 degrees Celsius.
[0015] The details concerning one example of a processing chamber are disclosed in co-owned and co-pending U.S. Patent Application Nos. 09/912,844, entitled "High Pressure Processing Chamber for Semiconductor Substrate," filed July 24, 2001 ; 09/970,309, entitled "High Pressure Processing Chamber for Multiple Semiconductor Substrates," filed October 3, 2001; 10/121 ,791 , entitled "High Pressure Processing Chamber for Semiconductor Substrate Including Flow Enhancing Features," filed April 10, 2002; and 10/364,284, entitled "High- Pressure Processing Chamber for a Semiconductor Wafer," filed February 10, 2003, the contents of which are incorporated herein by reference. [0016] The controller 180 can be coupled to the process module 110, the recirculation system 120, the process chemistry supply system 130, the high- pressure fluid supply system 140, the pressure control system 150, and the exhaust system 160. Alternately, controller 180 can be coupled to one or more additional controllers/computers (not shown), and controller 180 can obtain setup, configuration, and/or recipe information from an additional controller/computer. [0017] In FIG 1 , singular processing elements (110, 120, 130, 140, 150, 160, and 180) are shown, but this is not required for the invention. The semiconductor processing system 100 can comprise any number of processing elements having any number of controllers associated with them in addition to independent processing elements.
[0018] The controller 180 can1 be used to configure any number of processing elements (110, 120, 130, 140, 150, and 160), and the controller 180 can collect, provide, process, store, and display data from processing elements. The controller 180 can comprise a number of applications for controlling one or more of the processing elements. For example, controller 180 can include a graphic user interface (GUI) component (not shown) that can provide easy to use interfaces that enable a user to monitor and/or control one or more processing elements.
[0019] The process module 110 can include a processing chamber 108 enclosed by an upper assembly 112 and a lower assembly 116, and the upper assembly 112 can be coupled to the lower assembly 116. In an alternate embodiment, a frame and or injection ring may be included and may be coupled to an upper assembly and a lower assembly. The upper assembly 112 can comprise a heater (not shown) for heating the processing chamber 108, a substrate 105 in the processing chamber 108, or the processing fluid, or a combination of two or more thereof. Alternately, a heater is not required in the upper assembly 112. In another embodiment, the lower assembly 116 can comprise a heater (not shown) for heating the processing chamber 108, the substrate 105, or the processing fluid, or a combination of two or more thereof. The process module 110 can include means for flowing a processing fluid through the processing chamber 108. In one example, a circular flow pattern can be established, and in another example, a substantially linear flow pattern can be established. Alternately, the means for flowing can be configured differently. [0020] In one embodiment, the process module 110 can include a holder or chuck 118 for supporting and holding the substrate 105 while processing the substrate 105. The lower assembly 116 can comprise one or more lifters (not shown) for moving the chuck 118 and/or the substrate 105. Alternately, a lifter is not required. The holder or chuck 118 can also be configured to heat or cool the substrate 105 before, during, and/or after processing the substrate 105. Alternately, the process module 110 can include a platen for supporting and holding the substrate 105 while processing the substrate 105. [0021] A transfer system (not shown) can be used to move a substrate 105 into and out of the processing chamber 108 through a slot (not shown). In one example, the slot can be opened and closed by moving the chuck 118, and in another example, the slot can be controlled using a gate valve. [0022] The substrate 105 can include semiconductor material, metallic material, dielectric material, ceramic material, or polymer material, or a combination of two or more thereof. The semiconductor material can include Si, Ge, Si/Ge, or GaAs. The metallic material can include Cu, Al, Ni, Pb, Ti, Ta, or W, or combinations of two or more thereof. The dielectric material can include Si, O, N, or C, or combinations of two or more thereof. The ceramic material can include Al, N, Si, C, or O, or combinations of two or more thereof. In one embodiment, the substrate includes a patterned dielectric material, for example a low-k material or an ultra low-k material or combination thereof.
[0023] The recirculation system 120 can be coupled to the process module 110 using one or more inlet lines 122 and one or more outlet lines 124 to form a recirculation loop 115. The recirculation system 120 can comprise one or more valves (not shown) for regulating the flow of a supercritical processing solution through the recirculation system 120 and through the process module 110. The recirculation system 120 can comprise any number of back-flow valves, filters, pumps, and/or heaters (not shown) for maintaining a supercritical processing solution and flowing the supercritical processing solution through the recirculation system 120 and through the processing chamber 108 in the process module 110. After introducing a fluid to the processing chamber 108, the fluid can be recircύlated through the processing chamber 108 via recirculation loop 115, such as continuously for a desired period of time or discontinuously a desired number of times.
[0024] Processing system 100 can comprise a process chemistry supply system 130. In the illustrated embodiment, the process chemistry supply system is coupled to the recirculation system 120 using one or more lines 135, but this is not required for the invention. In alternate embodiments, the process chemical supply system 130 can be configured differently and can be coupled to different elements in the processing system 100. For example, the process chemistry supply system 130 can be coupled to the process module 110. [0025] The process chemistry is introduced by the process chemistry supply system 130 into the fluid introduced by the high-pressure fluid supply system 140 at ratios that vary with the substrate properties, the chemistry being used, and the process being performed in the processing chamber 108. The ratio can vary from approximately 0.001 to approximately 15 percent by volume. For example, when the recirculation loop 115 comprises a volume of about one liter, the process chemistry volumes can range from approximately ten microliters to approximately one hundred fifty milliliters. In alternate embodiments, the volume and/or the ratio may be higher or lower. In accordance with the present invention, the process chemistry supply system 130 may be configured to introduce, at a minimum, a cleaning chemistry and a functionalizing agent, as discussed further below. [0026] The process chemistry supply system 130 can be configured to introduce one or more of the following process compositions, but not limited to: cleaning compositions for removing contaminants, residues, hardened residues, photoresist, hardened photoresist, post-etch residue, post-ash residue, post chemical-mechanical polishing (CMP) residue, post-polishing residue, or post- implant residue, or any combination thereof; cleaning compositions for removing particulate; drying compositions for drying thin films, porous thin films, porous low dielectric constant materials, or air-gap dielectrics, or any combination thereof; film-forming compositions for preparing dielectric thin films, metal thin films, or any combination thereof; healing compositions for restoring the dielectric constant of low dielectric constant (low-k) films; sealing compositions for sealing porous films; or any combination thereof. Additionally, the process chemistry supply system 130 can be configured to introduce solvents, surfactants, etchants, acids, bases, chelators, oxidizers, film-forming precursors, or reducing agents, or any combination thereof.
[0027] The process chemistry supply system 130 can be configured to introduce N-methyl pyrrolidone (NMP), diglycol amine, hydroxyl amine, di- isopropyl amine, tri-isopropyl amine, tertiary amines, catechol, ammonium fluoride, ammonium bifluoride, methylacetoacetamide, ozone, propylene glycol monoethyl ether acetate, acetylacetone, dibasic esters, ethyl lactate, CHF3, BF3, HF, other fluorine containing chemicals, or any mixture thereof. Other chemicals such as organic solvents may be utilized independently or in conjunction with the above chemicals to remove organic materials. The organic solvents may include, for example, an alcohol, ether, and/or glycol, such as acetone, diacetone alcohol, dimethyl sulfoxide (DMSO), ethylene glycol, methanol, ethanol, propanol, or isopropanol (IPA). For further details, see U.S. Patent No. 6,306,564B1 , filed May 27, 1998, and titled "REMOVAL OF RESIST OR RESIDUE FROM SEMICONDUCTORS USING SUPERCRITICAL CARBON DIOXIDE", and U.S. Patent No. 6,509,141 B2, filed September 3, 1999, and titled "REMOVAL OF PHOTORESIST AND PHOTORESIST RESIDUE FROM SEMICONDUCTORS USING SUPERCRITICAL CARBON DIOXIDE PROCESS," both incorporated by reference herein. [0028] The process chemistry supply system 130 can comprise post-treating chemistry assemblies (not shown) for introducing post-treating chemistry for curing, cleaning, healing (or restoring the dielectric constant of low-k materials), or sealing, or any combination, low dielectric constant films (porous or non-porous). The chemistry can include hexamethyldisilazane (HMDS), chlorotrimethylsilane (TMCS), trichloromethylsilane (TCMS), dimethylsilyldiethylamine (DMSDEA), tetramethyldisilazane (TMDS), trimethylsilyldimethylamine (TMSDMA), dimethylsilyldimethylamine (DMSDMA), trimethylsilyldiethylamine (TMSDEA), bistrimethylsilyl urea (BTSU), bis(dimethylamino)methyl silane (B[DMA]MS), bis (dimethylamino)dimethyl silane (B[DMA]DS), HMCTS, dimethylamino- pentamethyldisilane (DMAPMDS), dimethylaminodimethyldisilane (DMADMDS), disila-aza-cyclopentane (TDACP), disila-oza-cyclopentane (TDOCP), methyltrimethoxysilane (MTMOS), vinyltrimethoxysilane (VTMOS), or trimethylsilylimidazole (TMSI). Additionally, the chemistry may include N-tert- butyl-1 , 1 -dimethyl-1 -(2,3,4, 5-tetramethyl-2,4-cyclopentadiene-1-yl)silanamine, 1 ,3-diphenyl-1 ,1 ,3,3-tetramethyldisilazane, or tert-butylchlorodiphenylsilane. For further details, see U.S. Patent Application No. 10/682,196, filed October 10, 2003, and titled "Method and System for Treating a Dielectric Film," and U.S. Patent Application No. 10/379,984, filed March 4, 2003, and titled "Method of Passivating Low Dielectric Materials in Wafer Processing," both incorporated by reference herein.
[0029] The process chemistry supply system 130 can comprise a rinsing chemistry assembly (not shown) for providing rinsing chemistry for generating supercritical rinsing solutions within the processing chamber 108. The rinsing chemistry can include one or more organic solvents including, but not limited to, alcohols (such as methanol, ethanol, isopropanol and 1-propanol) and ketones. In one embodiment, the rinsing chemistry can comprise an alcohol and a carrier solvent. The process chemistry supply system 130 can comprise a drying chemistry assembly (not shown) for providing drying chemistry for generating supercritical drying solutions within the processing chamber 108. [0030] In addition, the process chemistry can include chelating agents, complexing agents, oxidants, organic acids, and inorganic acids that can be introduced into supercritical carbon dioxide with one or more carrier solvents, such as N.N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), N-methylpyrrolidone (NMP), dimethylpiperidone, propylene carbonate, and alcohols (such as methanol, ethanol, isopropanol and 1-propanol).
[0031] Furthermore, the process chemistry can include solvents, co-solvents, surfactants, and/or other ingredients. Examples of solvents, co-solvents, and surfactants are disclosed in co-owned United States Patent No. 6,500,605, entitled "REMOVAL OF PHOTORESIST AND RESIDUE FROM SUBSTRATE USING SUPERCRITICAL CARBON DIOXIDE PROCESS", issued December 31 , 2002, and United States Patent No. 6,277,753, entitled "REMOVAL OF CMP RESIDUE FROM SEMICONDUCTORS USING SUPERCRITICAL CARBON DIOXIDE PROCESS", issued August 21 , 2001 , both of which are incorporated by reference herein.
[0032] Moreover, the process chemistry supply system 130 can be configured to introduce a peroxide during, for instance, cleaning processes. The peroxide can be introduced with any one of the above process chemistries, or any mixture thereof. The peroxide can include organic peroxides, or inorganic peroxides, or a combination thereof. For example, organic peroxides can include 2-butanone peroxide; 2,4-pentanedione peroxide; peracetic acid; t-butyl hydroperoxide; benzoyl peroxide; or m-chloroperbenzoic acid (mCPBA). Other peroxides can include hydrogen peroxide. Alternatively, the peroxide can include a diacyl peroxide, such as: decanoyl peroxide; lauroyl peroxide; succinic acid peroxide; or benzoyl peroxide; or any combination thereof. Alternatively, the peroxide can include a dialkyl peroxide, such as: dicumyl peroxide; 2,5-di(t-butylperoxy)-2,5- dimethylhexane; t-butyl cumyl peroxide; α,α-bis(t-butylperoxy)diisopropylbenzene mixture of isomers; di(t-amyl) peroxide; di(t-butyl) peroxide; or 2,5-di(t- butylperoxy)-2,5-dimethyl-3-hexyne; or any combination thereof. Alternatively, the peroxide can include a diperoxyketal, such as: 1 ,1-di(t-butylperoxy)-3,3,5-trimethyl cyclohexane; 1 ,1-di(t-butylperoxy)cyclohexane; 1 ,1-di(t-amylperoxy)-cyclohexane; n-butyl 4,4-di(t-butylperoxy)valerate; ethyl 3,3-di-(t-amylperoxy)butanoate; t-butyl peroxy-2-ethylhexanoate; or ethyl .3,3-di(t-butylperoxy)butyrate; or any combination thereof. Alternatively, the peroxide can include a hydroperoxide, such as: cumene hydroperoxide; or t-butyl hydroperoxide; or any combination thereof. Alternatively, the peroxide can include a ketone peroxide, such as: methyl ethyl ketone peroxide, or 2,4-pentanedione peroxide, or any combination thereof. Alternatively, the peroxide can include a peroxydicarbonate, such as: di(n-propyl)peroxydicarbonate, di(sec-butyl)peroxydicarbonate, or di(2-ethylhexyl) peroxydicarbonate, or any combination thereof. Alternatively, the peroxide can include a peroxyester, such as: 3-hydroxyl-1 ,1-dimethylbutyl peroxyneo- decanoate, α-cumyi peroxyneodecanoate; t-amyl peroxyneodecanoate, t-butyl peroxyneodecanoate, t-butyl peroxypivalate, 2,5-di(2-ethylhexanoylperoxy)-2,5- dimethylhexane, t-amyl peroxy-2-ethylhexanoate, t-butyl peroxy-2-ethylhexanoate, t-amyl peroxyacetate, t-butyl peroxyacetate, t-butyl peroxybenzoate, OO-(t-amyl) O-(2-ethylhexyl)monoperoxycarbonate, OO-(t-butyl) O-isopropyl monoperoxy- carbonate, OO-(t-butyl) 0-(2-ethylhexyl) monoperoxycarbonate, polyether poly-t- butylperoxy carbonate, or t-butyl peroxy-3,5,5-trimethylhexanoate, or any combination thereof. Alternatively, the peroxide can include any combination of peroxides listed above.
[0033] In other embodiments, the process chemistry supply system 130 can be configured to introduce fluorosilicic acid. Alternatively, the process chemistry supply system 130 is configured to introduce fluorosilicic acid with a solvent, a co- solvent, a surfactant, another acid, a base, a peroxide, or an etchant. Alternatively, the fluorosilicic acid can be introduced in combination with any of the chemicals presented above. For example, fluorosilicic acid can be introduced with N,N-dimethylacetamide (DMAc), gamma-butyrolactone (BLO), dimethyl sulfoxide (DMSO), ethylene carbonate (EC), butylene carbonate (BC), propylene carbonate (PC), N-methyl pyrrolidone (NMP), dimethylpiperidone, propylene carbonate, or an alcohol (such a methanol (MeOH), 1-propanol, isopropyl alcohol (IPA), or ethanol).
[0034] In one embodiment, the process chemistry supply system 130 can be configured to introduce a functionalizing agent. For example, the functionalizing agent can include an acyl halide (e.g., benzyl chloride), an alkyl halide (e.g., chloromethane, chloroethane, 2-chloroisopropane, etc.), and/or an acyl alcohol (e.g., benzyl alcohol). The functionalizing agent can be introduced with a solvent or a co-solvent. Alternatively, the functionalizing agent can be introduced in combination with any of the chemicals presented above. [0035] The processing system 100 can comprise a high-pressure fluid supply system 140. As shown in FIG. 1 , the high-pressure fluid supply system 140 can be coupled to the recirculation system 120 using one or more lines 145, but this is not required. The inlet line 145 can be equipped with one or more back-flow valves, and/or heaters (not shown) for controlling the fluid flow from the high- pressure fluid supply system 140. In alternate embodiments, high-pressure fluid supply system 140 can be configured differently and coupled differently. For example, the high-pressure fluid supply system 140 can be coupled to the process module 110.
[0036] The high-pressure fluid supply system 140 can comprise a carbon dioxide source (not shown) and a plurality of flow control elements (not shown) for generating a supercritical fluid. For example, the carbon dioxide source can include a CO2 feed system, and the flow control elements can include supply lines, valves, filters, pumps, and heaters. The high-pressure fluid supply system 140 can comprise an inlet valve (not shown) that is configured to open and close to allow or prevent the stream of supercritical carbon dioxide from flowing into the processing chamber 108. For example, controller 180 can be used to determine fluid parameters such as pressure, temperature, process time, and flow rate. [0037] The processing system 100 can also comprise a pressure control system 150. As shown in FIG. 1 , the pressure control system 150 can be coupled to the process module 110 using one or more lines 155, but this is not required. Line 155 can be equipped with one or more back-flow valves, and/or heaters (not shown) for controlling the fluid flow to pressure control system 150. In alternate embodiments, pressure control system 150 can be configured differently and coupled differently. The pressure control system 150 can include one or more pressure valves (not shown) for exhausting the processing chamber 108 and/or for regulating the pressure within the processing chamber 108. Alternately, the pressure control system 150 can also include one or more pumps (not shown). For example, one pump may be used to increase the pressure within the processing chamber 108, and another pump may be used to evacuate the processing chamber 108. In another embodiment, the pressure control system 150 can comprise means for sealing the processing chamber 108. In addition, the pressure control system 150 can comprise means for raising and lowering the substrate 105 and/or the chuck 118.
[0038] Furthermore, the processing system 100 can comprise an exhaust control system 160. As shown in FIG. 1 , the exhaust control system 160 can be coupled to the process module 110 using one or more lines 165, but this is not required. Line 165 can be equipped with one or more back-flow valves, and/or heaters (not shown) for controlling the fluid flow to the exhaust control system 160. In alternate embodiments, exhaust control system 160 can be configured differently and coupled differently. The exhaust control system 160 can include an exhaust gas collection vessel (not shown) and can be used to remove contaminants from the processing fluid. Alternately, the exhaust control system 160 can be used to recycle the processing fluid.
[0039] In one embodiment, controller 180 can comprise a processor 182 and a memory 184. Memory 184 can be coupled to processor 182, and can be used for storing information and instructions to be executed by processor 182. Alternately, different controller configurations can be used. In addition, controller 180 can comprise a port 185 that can be used to couple processing system 100 to another system (not shown). Furthermore, controller 180 can comprise input and/or output devices (not shown).
[0040] In addition, one or more of the processing elements (110, 120, 130, 140, 150, 160, and 180) may include memory (not shown) for storing information and instructions to be executed during processing and processors for processing information and/or executing instructions. For example, the memory may be used for storing temporary variables or other intermediate information during the execution of instructions by the various processors in the system. One or more of the processing elements can comprise the means for reading data and/or instructions from a computer readable medium. In addition, one or more of the processing elements can comprise the means for writing data and/or instructions to a computer readable medium.
[0041] Memory devices can include at least one computer readable medium or memory for holding computer-executable instructions programmed according to the teachings of the invention and for containing data structures, tables, records, or other data described herein. Controller 180 can use data from computer readable medium memory to generate and/or execute computer executable instructions. The processing system 100 can perform a portion or all of the processing steps of the invention in response to the controller 180 executing one or more sequences of one or more computer-executable instructions contained in a memory. Such instructions may be received by the controller from another computer, a computer readable medium, or a network connection. [0042] Stored on any one or on a combination of computer readable media, the present invention includes software for controlling the processing system 100, for driving a device or devices for implementing the invention, and for enabling the processing system 100 to interact with a human user and/or another system, such as a factory system. Such software may include, but is not limited to, device drivers, operating systems, development tools, and applications software. Such computer readable media further includes the computer program product of the present invention for performing all or a portion (if processing is distributed) of the processing performed in implementing the invention.
[0043] The term "computer readable medium" as used herein refers to any medium that participates in providing instructions to a processor for execution and/or that participates in storing information before, during, and/or after executing an instruction. A computer readable medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. The term "computer-executable instruction" as used herein refers to any computer code and/or software that can be executed by a processor, that provides instructions to a processor for execution and/or that participates in storing information before, during, and/or after executing an instruction. [0044] Controller 180, processor 182, memory 184 and other processors and memory in other system elements as described thus far can, unless indicated otherwise below, be constituted by components known in the art or constructed according to principles known in the art. The computer readable medium and the computer executable instructions can also, unless indicated otherwise below, be constituted by components known in the art or constructed according to principles known in the art.
[0045] Controller 180 can use port 185 to obtain computer code and/or software from another system (not shown), such as a factory system. The computer code and/or software can be used to establish a control hierarchy. For example, the processing system 100 can operate independently, or can be controlled to some degree by a higher-level system (not shown). [0046] The controller 180 can use data from one or more of the system components to determine when to alter, pause, and/or stop a process. The controller 180 can use the data and operational rules to determine when to change a process and how to change the process, and rules can be used to specify the action taken for normal processing and the actions taken on exceptional conditions. Operational rules can be used to determine which processes are monitored and which data is used. For example, rules can be used to determine how to manage the data when a process is changed, paused, and/or stopped. In general, rules allow system and/or tool operation to change based on the dynamic state of the system.
[0047] Controller 180 can receive, send, use, and/or generate pre-process data, process data, and post-process data, and this data can include lot data, batch data, run data, composition data, and history data. Pre-process data can be associated with an incoming substrate and can be used to establish an input state for a substrate and/or a current state for a process module. Process data can include process parameters. Post processing data can be associated with a processed substrate and can be used to establish an output state for a substrate. [0048] The controller 180 can use the pre-process data to predict, select, or calculate a set of process parameters to use to process the substrate. The pre- process data can include data describing the substrate to be processed. For example, the pre-process data can include information concerning the substrate's materials, the number of layers, the materials used for the different layers, the thickness of materials in the layers, the size of vias and trenches, the amount/type of process residue, the amount/type of oxidized and/or partially oxidized process residue, and a desired process result. The pre-process data can be used to determine a process recipe and/or process model. A process model can provide the relationship between one or more process recipe parameters and one or more process results. A process recipe can include a multi-step process involving a set of process modules. Post-process data can be obtained at some point after the substrate has been processed. For example, post-process data can be obtained after a time delay that can vary from minutes to days.
[0049] The controller can compute a predicted state for the substrate based on the pre-process data, the process characteristics, and a process model. For example, a treatment model can be used along with a material type and thickness to compute a predicted process residue removal time. In addition, a removal rate model can be used along with the type of process residue and/or residue amount to compute a processing time for a removal process. [0050] In one embodiment, the substrate can comprise at least one of a semiconductor material, a metallic material, a polysilicon material, low-k material, and process-related material. For example, the process-related material can include photoresist and/or photoresist residue, oxidized and/or partially oxidized residues. One process recipe can include steps for oxidizing residues and removing oxidized and/or partially oxidized residues from patterned or un- patterned low-k material. Additional process steps can include steps for cleaning, rinsing, and sealing low-k material. Those skilled in the art will recognize that low- k material can include low-k and ultra-low-k material.
[0051] It will be appreciated that the controller 180 can perform other functions in addition to those discussed here. The controller 180 can monitor the pressure, temperature, flow, or other variables associated with the processing system 100 and take actions based on these values. For example, the controller 180 can process measured data, display data and/or results on a GUI screen, determine a fault condition, determine a response to a fault condition, and alert an operator. The controller 180 can comprise a database component (not shown) for storing input and output data.
[0052] FIG. 2 illustrates an exemplary graph of pressure versus time for a supercritical process in accordance with an embodiment of the invention. In the illustrated embodiment, a graph 200 of pressure versus time is shown, and the graph 200 can be used to represent a supercritical residue removal process. Alternately, different pressures, different timing, and different sequences may be used for different processes.
[0053] Referring to FIGS. 1 and 2, prior to an initial time T0, the substrate to be processed can be placed within the processing chamber 108 and the processing chamber 108 can be sealed. During a residue removal process, a substrate having dielectric material and process-related residue on exposed surfaces can be positioned in the chamber. For example, a substrate can comprise low-k and/or ultra low-k material, exposed and/or unexposed metal, and process-related residue, such as photoresist, photoresist residue, oxidized residue, and/or partially oxidized residue. The substrate 105, the processing chamber 108, and the other elements in the recirculation loop 115 (FIG. 1 ) can be heated to an operational temperature. For example, the operational temperature can range from 40 to 300 degrees Celsius.
[0054] During time 201 , the processing chamber 108 and the other elements in the recirculation loop 115 can be pressurized. For example, a supercritical fluid, such as substantially pure CO2, can be used to pressurize the processing chamber 108 and the other elements in the recirculation loop 115 (FIG. 1 ). During time 201 , a pump (not shown), can be started and can be used to circulate the supercritical fluid through the processing chamber 108 and the other elements in the recirculation loop 115 (FIG. 1). For example, the recirculation system 120 can comprise a recirculation pump. In an alternate embodiment, process chemistry may be injected during time 201.
[0055] During a second time 202, process chemistry can be introduced. In one embodiment, when the pressure in the processing chamber 108 exceeds a critical pressure Pc (1 ,070 psi), process chemistry can be injected into the processing chamber 108, using the process chemistry supply system 130. For example, the injection(s) of the process chemistries can begin upon reaching about 1100-1200 psi. In alternate embodiments, process chemistry may be injected into the processing chamber 108 before the pressure exceeds the critical pressure Pc (1 ,070 psi) using the process chemistry supply system 130. In one embodiment, process chemistry is injected in a linear fashion, and the injection time can be based on a recirculation time. For example, the recirculation time can be determined based on the length of the recirculation path and the flow rate. In other embodiments, process chemistry may be injected in a non-linear fashion. For example, process chemistry can be injected in one or more steps. [0056] In one embodiment, the process chemistry can include a cleaning agent that is injected into the supercritical fluid. Alternately, the process chemistry may include a pre-treating agent, or a cleaning agent, or a rinsing agent, or a drying agent, or a combination thereof that is injected into the supercritical fluid. One or more injections of process chemistries can be performed during time 202 to generate a supercritical processing solution with the desired concentrations of chemicals. The process chemistry, in accordance with the embodiments of the invention, can also include one or more carrier solvents. [0057] During the second time 202, the supercritical processing solution can also be re-circulated over the substrate and through the processing chamber 108 using the recirculation system 120, such as described above. In one embodiment, process chemistry is not injected during the second time 202. Alternatively, process chemistry may be injected into the processing chamber 108 before the second time 202 or after the second time 202.
[0058] In one embodiment, the process chemistry used during one or more steps in a residue removal process can include a high polarity solvent. Solvents, such as alcohols and water can be used. In another embodiment, the process chemistry used can include alcohol, acetic acid, and water. [0059] The processing chamber 108 can operate at a pressure above 2,200 psi during the second time 202. For example, the pressure can range from approximately 2,500 psi to approximately 3,500 psi, but can be any value so long as the operating pressure is sufficient to maintain supercritical conditions. The supercritical conditions within the processing chamber 108 and the other elements in the recirculation loop 115 (FIG. 1) are maintained during the second time 202, and the supercritical processing solution continues to be circulated over the substrate and through the processing chamber 108 and the other elements in the recirculation loop 115 (FIG. 1). A pump (not shown) can be used to regulate the flow of the supercritical processing solution through the processing chamber 108 and the other elements in the recirculation loop 115 (FIG. 1 ). [0060] In one embodiment, during the second time 202, the pressure can be substantially constant. Alternately, the pressure may have different values during different portions of the second time 202.
[0061] In one embodiment, the process chemistry used during one or more steps in a cleaning process can be injected at a pressure above approximately 2200 psi and circulated at a pressure above approximately 2700 psi. In an alternate embodiment, the process chemistry used during one or more steps in a cleaning process can be injected at a pressure above approximately 2500 psi and circulated at a pressure above approximately 2500 psi.
[0062] During a third time 203, a push-through process can be performed. In an alternate embodiment, a push-through process may not be required after each cleaning step. During the third time 203, a new quantity of temperature-controlled supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115 from the high-pressure fluid supply system 140, and the supercritical corrosion inhibiting solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160. In an alternate embodiment, supercritical carbon dioxide can be fed into the recirculation system 120 from the high-pressure fluid supply system 140, and the supercritical corrosion inhibiting solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160.
[0063] The high-pressure fluid supply system 140 can comprise means for providing one or more volumes of temperature-controlled fluid during a push- through process, and the volumes can be larger than the volume of the recirculation loop 115. Alternately, one or more of the volumes can be less than or approximately equal to the volume of the recirculation loop 115. In addition, the temperature differential within the one or more volumes of temperature-controlled fluid during the push-through process cycle can be controlled to be less than approximately ten degrees Celsius. Providing temperature-controlled fluid during the push-through process prevents process residue suspended or dissolved within the fluid being displaced from the processing chamber 108 and the other elements in the recirculation loop 115 from dropping out and/or adhering to the processing chamber 108 and the other elements in the recirculation loop 115. [0064] In one embodiment, a single push-through process can be performed after a cleaning process is performed. In an alternate embodiment, one or more push-through processes may be used after a cleaning process is performed. [0065] In the illustrated embodiment shown in FIG. 2, a single second time 202 is followed by a single third time 203, but this is not required. In alternate embodiments, other time sequences may be used to process a substrate. [0066] During a fourth time 204, a decompression process can be performed. In an alternate embodiment, a decompression process is not required. During the fourth time 204, the processing chamber 108 can be cycled through one or more decompression cycles and one or more compression cycles. The pressure can be cycled between a first pressure and a second pressure one or more times. In alternate embodiments, the first pressure and a second pressure can vary. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi. In one embodiment, the pressure can be lowered by venting through the exhaust control system 160. The pressure can be increased by adding high- pressure carbon dioxide. In an alternate embodiment, during a portion of the fourth time 204, one or more additional pressures may be established. [0067] The high-pressure fluid supply system 140 can comprise means for providing a first volume of temperature-controlled fluid during a compression cycle, and the first volume can be larger than the volume of the recirculation loop 115. Alternately, the first volume can be less than or approximately equal to the volume of the recirculation loop 115. In addition, the temperature differential within the first volume of temperature-controlled fluid during the compression cycle can be controlled to be less than approximately ten degrees Celsius. In addition, the high-pressure fluid supply system 140 can comprise means for providing a second volume of temperature-controlled fluid during a decompression cycle, and the second volume can be larger than the volume of the recirculation loop 115. Alternately, the second volume can be less than or approximately equal to the volume of the recirculation loop 115. In addition, the temperature differential within the second volume of temperature-controlled fluid during the decompression cycle can be controlled to be less than approximately twenty degrees Celsius. Alternately, the temperature variation of the temperature- controlled fluid can be controlled to be less than approximately ten degrees Celsius during a decompression cycle. [0068] Process steps 202, 203, and 204 can be repeated a number of times to achieve a desired process result, and a unique process recipe can be established for each different combination of the process steps. A process recipe can be used to establish the process parameters used during the different process recipes to process and/or remove different process-related residues. In addition, the process parameters can be different during the different process steps based on the type of removal process being performed. For example, a process recipe established for processing and/or removing process-related residues on one type of substrate from one manufacturer line can be different from the process recipe established for processing and/or removing process-related residues on another type of substrate from a different manufacturer line.
[0069] During a cleaning process, for example during the second time 202, a substrate can be processed using one or more oxidation steps. For example, it is common to oxidize the substrate during the removal of post etch and post ash residue from the substrate. The process of oxidation causes the substrate structure to cleave at several points leaving smaller fragments of oxidized residue behind. This residue has only minimal solubility in supercritical CO2 due to the polar constituents resulting from oxidation.
[0070] After the cleaning process, a treatment process can be performed in which the substrate can be treated using a functionalizing agent. For example, the functionalizing agent can include acyl halide (benzyl chloride), alkyl halide (chloromethane, chloroethane, 2-chloroisopropane, etc.), and/or acyl alcohols (benzyl alcohol). The functionalizing agent can react with the smaller fragments and other less soluble components, and these functionalized components are rendered more soluble in supercritical CO2 and are more easily removed than their predecessors.
[0071] The treatment process can be performed during a fifth time 205. In the illustrated embodiment, a single step treatment process is shown, but this is not required. Alternately, a multi-step treatment process may be performed. In another embodiment, a variable pressure treatment process may be performed. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi. The pressure can be changed by adding and/or removing high-pressure carbon dioxide.
[0072] In one embodiment, a treatment pressure is established during the fifth time 205 using supercritical carbon dioxide. For example, the processing chamber can be pressurized to above approximately 2500 psi. In addition, a treatment chemistry can be introduced into the processing chamber. Then, the treatment chemistry can be recirculated through the processing chamber, such as by a recirculation loop, for a first period of time to remove the remaining portions of the process-related residue from a surface of the substrate. In one embodiment, the first period of time is less than about three minutes. Alternately, the first period of time may vary from approximately ten seconds to approximately ten minutes. Furthermore, additional treatment chemistry (functionalizing agent) and/or supercritical fluid may be provided.
[0073] In an alternate embodiment, the functionalizing agent may be injected at a lower pressure; the pressure of the processing chamber can be increased; and the functionalizing agent can be recirculated through the processing chamber, such as by a recirculation loop, for a period of time.
[0074] During a sixth time 206, a decompression process can be performed. In an alternate embodiment, a decompression process is not required. During the sixth time 206, the processing chamber 108 can be cycled through one or more decompression cycles and one or more compression cycles. The pressure can be cycled between a first pressure and a second pressure one or more times. In alternate embodiments, the first pressure and a second pressure can vary. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi. In one embodiment, the pressure can be lowered by venting through the exhaust control system 160, and the pressure can be increased by adding supercritical carbon dioxide.
[0075] Process steps 205 and 206 can be repeated a number of times to achieve a desired process result, and different treatment recipes can be established for each different combination of the process parameters. [0076] In an alternate embodiment, one or more push-through processes (not shown) may be performed before process step 206. During a push-through process, a new quantity of supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115, and the supercritical treatment solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160.
[0077] Process steps 202, 203, 204, 205, and 206 can be repeated a number of times to achieve a desired process result for a particular material, and different combinations of cleaning recipes and treatment recipes can be established for each different combination of the process parameters. A cleaning/treatment recipe can be used to establish the cleaning/treatment chemistry, cleaning/treatment time, and number of process cycles. [0078] During a seventh time 207, a rinsing process can be performed. In an alternate embodiment, a rinsing process is not required. Alternately, a drying step, a rinsing step, a cleaning step, a push-through step, a pore sealing step, a dielectric repair step, or an etching step, or a combination thereof can be performed.
[0079] In the illustrated embodiment, a single step rinsing process is shown, but this is not required. Alternately, a multi-step rinsing process may be performed. In another embodiment, a variable pressure rinsing process may be performed. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi. The pressure can be changed by adding and/or removing high- pressure carbon dioxide.
[0080] In one embodiment, a rinsing pressure is established during the seventh time 207 using supercritical carbon dioxide. For example, the processing chamber can be pressurized to above approximately 2500 psi. In addition, a rinsing chemistry can be introduced into the processing chamber. Then, the rinsing chemistry can be recirculated through the processing chamber, such as by a recirculation loop, for a period of time to remove the remaining portions of the process-related residue from a surface of the substrate and/or to remove the remaining portions of the treatment chemistry. In one embodiment, the first period of time is less than about three minutes. Alternately, the period of time may vary from approximately ten seconds to approximately ten minutes. Furthermore, additional rinsing chemistry and/or supercritical fluid may be provided. [0081] In an alternate embodiment, the rinsing chemistry may be injected at a lower pressure; the pressure of the processing chamber can be increased; and the rinsing chemistry can be recirculated through the processing chamber, such as by a recirculation loop, for a period of time.
[0082] During an eighth time 208, a decompression process can be performed. In an alternate embodiment, a decompression process is not required. During the eighth time 208, the processing chamber 108 can be cycled through one or more decompression cycles and one or more compression cycles. The pressure can be cycled between a first pressure and a second pressure one or more times. In alternate embodiments, the first pressure and a second pressure can vary. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi. In one embodiment, the pressure can be lowered by venting through the exhaust control system 160, and the pressure can be increased by adding supercritical carbon dioxide.
[0083] Process steps 207 and 208 can be repeated a number of times to achieve a desired process result, and different rinsing recipes can be established for each different combination of the process parameters. The recipe for a rinsing process can be used to establish the rinsing chemistry, the rinsing process time, and number of decompression cycles.
[0084] In an alternate embodiment, one or more push-through processes (not shown) may be performed before process step 208. During a push-through process, a new quantity of supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115, and the supercritical treatment solution along with process residue suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160.
[0085] Process steps 202, 203, 204, 205, 206, 207, and 208 can be repeated a number of times to achieve a desired process result for a particular material, and different combinations of cleaning recipes, treatment recipes, and rinsing recipes can be established for each different combination of the process parameters. A cleaning/treatment/ rinsing recipe can be used to establish the cleaning/treatment/ rinsing chemistry, cleaning/treatment/ rinsing time, and number of process cycles. [0086] During a ninth time 209, the processing chamber 108 can be returned to lower pressure. For example, after the decompression and compression cycles are complete, then the processing chamber can be vented or exhausted to a transfer system pressure. For substrate processing, the chamber pressure can be made substantially equal to the pressure inside of a transfer system (not shown) coupled to the processing chamber. In one embodiment, the substrate can be moved from the processing chamber into the transfer, and moved to a second process apparatus or module to continue processing.
[0087] In the illustrated embodiment shown in FIG. 2, the pressure returns to an initial pressure Po, but this is not required for the invention. In alternate embodiments, the pressure does not have to return to P0, and the process sequence can continue with additional time steps such as those shown in time steps 201 , 202, 203, 204, 205, 206, 207, and/or 208. [0088] The graph 200 is provided for exemplary purposes only. It will be understood by those skilled in the art that a supercritical process can have any number of steps having different time/pressures or temperature profiles without departing from the scope of the invention. Further, any number of cleaning, treating, and rinsing process sequences with each step having any number of compression and decompression cycles are contemplated. In addition, as stated previously, concentrations of various chemicals and species within a supercritical processing solution can be readily tailored for the application at hand and altered at any time within a supercritical processing step.
[0089] In addition, additional processing steps can be performed after a residue removal process is performed. For example, a pore sealing, a k-value restoration, a rinsing process, a cleaning process, or a drying process, or a combination thereof can be performed. These additional processes may require other processing chemistry to be circulated through the processing chamber, such as by a recirculation loop. For example, the removal chemistry can include alcohol and water, and the rinsing chemistry does not include water. Alternately, drying steps may be included. [0090] In another embodiment, the controller 180 can use historical data and/or process models to compute an expected value for the temperature of the fluid at various times during the process. The controller 180 can compare an expected temperature value to a measured temperature value to determine when to alter, pause, and/or stop a process.
[0091] In a supercritical process, the desired process result can be a process result that is measurable using an optical measuring device, such as a Scanning Electron Microscope (SEM) and/or Transmission Electron Microscope (TEM). For example, the desired process result can be an amount of residue and/or contaminant in a via or on the surface of a substrate. After one or more processing steps, the desired process can be measured. In other embodiments, the desired process result can be a process result that is measurable using Fourier Transform Infrared Spectroscopy (FTIR) which is an analytical technique used to identify materials. The FTIR technique measures the absorption of various infrared light wavelengths by the material of interest. These infrared absorption bands identify specific molecular components and structures. The absorption bands in the region between 1500 - 400 wave numbers are generally due to intra-molecular phenomena, and are highly specific for each material. The specificity of these bands allows computerized data searches to be performed against reference libraries to identify a material and/or identify the presence of a material.
[0092] FlG. 3 illustrates a flow chart of a method of performing a supercritical residue removal process on a substrate in accordance with embodiments of the present invention. Procedure 300 can start in 305. [0093] Referring to FIGS. 1-3, the substrate 105 to be processed can be placed within the processing chamber 108 and the processing chamber 108 can be sealed. For example, during a supercritical residue removal process, the substrate 105 being processed can comprise semiconductor material, low-k dielectric material, metallic material, and can have process-related residue thereon. The substrate 105, the processing chamber 108, and the other elements in the recirculation loop 115 can be heated to an operational temperature. For example, the operational temperature can range from approximately 40 degrees Celsius to approximately 300 degrees Celsius. In some examples, the temperature can range from approximately 80 degrees Celsius to approximately 150 degrees Celsius.
[0094] In addition, the processing chamber 108 and the other elements in the recirculation loop 115 can be pressurized. For example, a supercritical fluid, such as substantially pure CO2, can be used to pressurize the processing chamber 108 and the other elements in the recirculation loop 115. A pump (not shown) can be used to circulate the supercritical fluid through the processing chamber 108 and the other elements in the recirculation loop 115.
[0095] In 310, a cleaning process can be performed. In one embodiment, a supercritical cleaning process can be performed. Alternately, a non-supercritical cleaning process can be performed. In one embodiment, a supercritical cleaning process 310 can include recirculating the cleaning chemistry through the processing chamber 108, such as via recirculation loop 115. Recirculating the cleaning chemistry over the substrate 105 within the processing chamber 108 can comprise recirculating the cleaning chemistry for a period of time to process and/or remove one or more materials and/or residues from the substrate. [0096] In one embodiment, one or more push-through steps can be performed as a part of the cleaning process. During a push-through step, a new quantity of supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115, and the supercritical cleaning solution along with the process byproducts suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160. In an alternate embodiment, a push-through step is not required during a cleaning step. For example, process byproducts can include photoresist materials and/or residues including oxidized and partially oxidized materials.
[0097] In one embodiment, dielectric material can be processed and one or more photoresist materials and/or residues can be removed from the low-k dielectric material using process chemistry that includes one or more cleaning agents and one or more solvents.
[0098] In 315, a query is performed to determine when the cleaning process has been completed. When the cleaning process is completed, procedure 300 can branch via 317 to 320 and continues. When the cleaning process is not completed, procedure 300 branches back via 316 to 310 and the cleaning process continues. One or more process recipes can be performed during a cleaning process. For example, different chemistries, different concentrations, different process conditions, and/or different times can be used in different cleaning process steps.
[0099] In 320, a treatment process can be performed while maintaining the processing system in a high pressure state. A treatment process can be performed in which the substrate is treated using a functionalizing agent. For example, the functionalizing agent can include an acyl halide (e.g., benzyl chloride), an alkyl halide (e.g., chloromethane, chloroethane, 2-chloroisopropane, etc.), and/or an acyl alcohol (e.g., benzyl alcohol). The functionalizing agent can react with the smaller fragments and other less soluble components, and these functionalized components are rendered more soluble in supercritical CO2 and are more easily removed than their predecessors. Treatment processes can be performed after an oxidation process, or after an etching process, or after an oxidation/etching process.
[00100] The treatment process can be a multi-step process. For example, the processing chamber can be pressurized to above approximately 2500 psi, and a treatment chemistry can be introduced into the processing chamber. Then, the treatment chemistry can be re-circulated through the processing chamber 108, such as via recirculation loop 115, for a period of time to remove the remaining portions of the process-related residue from a surface of the substrate. In one embodiment, the period of time is less than about three minutes. Alternately, the period of time may vary from approximately ten seconds to approximately ten minutes. Furthermore, additional treatment chemistry (functionalizing agent) and/or supercritical fluid may be provided.
[00101] In an alternate embodiment, the functionalizing agent may be injected at a lower pressure; the pressure of the processing chamber can be increased; and the functionalizing agent can be recirculated through the processing chamber 108, such as via recirculation loop 115, for a period of time.
[00102] In one embodiment, one or more push-through steps can be performed as a part of the treatment process. During a push-through step, a new quantity of temperature-controlled supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115, and the supercritical treatment solution along with the process byproducts suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160. In an alternate embodiment, a push-through step is not required during a cleaning step. For example, process byproducts can include photoresist materials and/or residues including oxidized and partially oxidized materials. [00103] In one embodiment, one or more decompression processes can be performed as a part of the treatment process. During a decompression process, the processing chamber 108 can be cycled through one or more decompression cycles and one or more compression cycles. The pressure can be cycled between a higher pressure and a lower pressure one or more times. In alternate embodiments, the pressures can vary. In one embodiment, the pressure can be lowered by venting through the exhaust control system 160. For example, this can be accomplished by lowering the pressure to below approximately 2,500 psi and raising the pressure to above approximately 2,500 psi. The pressure can be increased by adding temperature-controlled supercritical carbon dioxide. [00104] In 325, a query is performed to determine when the treatment process 320 has been completed. When the treatment process is completed, procedure 300 can branch via 327 to 330, and procedure 300 can continue on to step 330 if no additional treatment steps are required. Alternately, when the treatment process is completed and additional cleaning steps are required, procedure 300 can branch via 328 back to 310, and procedure 300 can continue by performing additional removal steps as required.
[00105] When the treatment process is not completed, procedure 300 can branch back via 326 to 320 and the treatment process 320 can continue. For example, different chemistries, different concentrations, different process conditions, and/or different times can be used in different treatment processes. [00106] In 330, a rinsing process can be performed. In one embodiment, a supercritical rinsing process can be performed. Alternately, a non-supercritical rinsing process can be performed. In one embodiment, a supercritical rinsing process 310 can include recirculating the rinsing chemistry through the processing chamber 108, such as via recirculation loop 115. Recirculating the rinsing chemistry can comprise recirculating the rinsing chemistry for a period of time to process and/or remove one or more materials and/or residues from the substrate. [00107] In one embodiment, one or more push-through steps can be performed as a part of the rinsing process. During a push-through step, a new quantity of supercritical carbon dioxide can be fed into the processing chamber 108 and the other elements in the recirculation loop 115, and the supercritical rinsing solution along with the process byproducts suspended or dissolved therein can be displaced from the processing chamber 108 and the other elements in the recirculation loop 115 through the exhaust control system 160. In an alternate embodiment, a push-through step is not required during a rinsing step. For example, process byproducts can include photoresist materials and/or residues including oxidized and partially oxidized materials.
[00108] In one embodiment, dielectric material can be processed and one or more process byproducts can be removed from the low-k dielectric material using process chemistry that includes one or more rinsing agents and one or more solvents.
[00109] In 335, a query is performed to determine when the rinsing process has been completed. When the rinsing process is completed, procedure 300 can branch via 337 to 350 and continues. Alternately, when the rinsing process is completed and additional cleaning and/or treatment processes are required, procedure 300 can branch back via 338 to 310 or 320, and procedure 300 can continue by performing additional removal and/or treatment steps as required. When the rinsing process is not completed, procedure 300 branches back via 336 to 330 and the rinsing process continues. One or more process recipes can be performed during a rinsing process. For example, different chemistries, different concentrations, different process conditions, and/or different times can be used in different rinsing process steps.
[00110] In 350, a venting process can be performed. In one embodiment, a variable pressure venting process can be performed. Alternately, a multi-pressure venting process can be performed. During a venting process, the pressure in the processing chamber 108 can be lower to a pressure that is compatible with a transfer system pressure. In one embodiment, the pressure can be lowered by venting through the exhaust control system 160. [00111] Procedure 300 ends in 395.
[00112] After a removal process has been performed, a k-value restoration process, or a pore sealing process, or a combination process can be performed. [00113] In additional embodiments, the processes described herein can be further supplemented by ozone processing. For example, when performing a cleaning process, the substrate can be subjected to ozone treatment prior to treating with a supercritical processing solution. During ozone treatment, the substrate enters an ozone module, and the surface residues to be removed are exposed to an ozone atmosphere. For instance, a partial pressure of ozone formed in oxygen can be flowed over the surface of the substrate for a period of time sufficient to oxidize residues either partly or wholly. The ozone process gas flow rate can, for example, range from 1 to 50 slm (standard liters per minute) and, by way of further example, the flow rate can range from 5 to 15 slm. Additionally, the pressure can, for example, range from 1 to 5 atm and, by way of further example, range from 1 to 3 atm. Further details are provided in co-pending U.S. Patent Application No. 10/987,594, entitled "Method for Removing a Residue from a Substrate Using Supercritical Carbon Dioxide Processing," filed on November 12, 2004, and co-pending U.S. Patent Application No. 10/987,676, entitled "A System for Removing a Residue from a Substrate Using Supercritical Carbon Dioxide Processing," filed on November 12, 2004, the entire contents of which are incorporated herein by reference in their entirety. [00114] While the invention has been described in terms of specific embodiments incorporating details to facilitate the understanding of the principles of construction and operation of the invention, such reference herein to specific embodiments and details thereof is not intended to limit the scope of the claims appended hereto. It will be apparent to those skilled in the art that modifications may be made in the embodiments chosen for illustration without departing from the scope of the invention.

Claims

What is claimed is:
1. A method of processing a substrate comprising: positioning the substrate on a substrate holder in a processing chamber; performing a cleaning process, wherein the substrate is cleaned using a first supercritical fluid comprising supercritical CO2 and a cleaning chemistry; and performing a treatment process after the cleaning process, wherein the substrate is treated using a second supercritical fluid comprising supercritical CO2 and one or more functionalizing agents selected from an acyl halide, an alkyl halide, or an acyl alcohol, or a combination thereof.
2. The method of claim 1 , wherein the substrate comprises semiconductor material, metallic material, dielectric material, or ceramic material, or a combination of two or more thereof.
3. The method of claim 2, wherein the substrate comprises a patterned dielectric material comprising a low-k material, or ultra low-k material, or a combination thereof.
4. The method of claim 1 , wherein the one or more functionalizing agents comprise an acyl halide comprising benzyl chloride.
5. The method of claim 1 , wherein the one or more functionalizing agents comprise an alkyl halide selected from chloromethane, chloroethane, or 2-chloroisopropane, or a combination thereof.
6. The method of claim 1 , wherein the one or more functionalizing agents comprise an acyl alcohol comprising benzyl alcohol.
7. The method of claim 1 , wherein the cleaning chemistry comprises an acid and a solvent.
8. The method of claim 7, wherein the acid comprises an organic acid.
9. The method of claim 7, wherein the solvent comprises an alcohol or a ketone, or a combination thereof.
10. The method of claim 1 , further comprising performing a rinsing process after the treatment process, wherein the substrate is rinsed using a third supercritical fluid comprising supercritical CO2 and a rinsing chemistry, wherein the rinsing chemistry comprises an alcohol.
11. The method of claim 10, wherein the alcohol comprises ethanol, methanol, 1-propanol, or isopropanol, or a combination thereof.
12. The method of claim 10, wherein the alcohol comprises isopropyl alcohol.
13. The method of claim 10, wherein the performing a rinsing process further comprises: pressurizing the processing chamber to a first rinsing pressure; introducing the third supercritical fluid into the processing chamber; and recirculating the third supercritical fluid through the processing chamber.
14. The method of claim 1 , wherein the performing a treatment process comprises: introducing the second supercritical fluid into the processing chamber; and recirculating the second supercritical fluid through the processing chamber for a first period of time.
15. The method of claim 14, wherein the first period of time is in a range of thirty seconds to ten minutes.
16. The method of claim 14, wherein the performing a treatment process further comprises performing a push-through process wherein the processing chamber is pressurized to an elevated pressure and vented to push the second supercritical fluid out of the processing chamber after recirculating the second supercritical fluid.
17. The method of claim 16, wherein the elevated pressure is above approximately 2000 psi.
18. The method of claim 16, wherein the performing a treatment process further comprises performing a series of decompression cycles.
19. The method of claim 1 , wherein the performing a cleaning process further comprises: pressurizing the processing chamber to a first cleaning pressure; introducing the first supercritical fluid into the processing chamber; and recirculating the first supercritical fluid through the processing chamber.
20. A computer-readable medium comprising computer-executable instructions for: positioning the substrate on a substrate holder in a processing chamber; performing a cleaning process, wherein the substrate is cleaned using a first supercritical fluid comprising supercritical CO2 and a cleaning chemistry; and performing a treatment process, wherein the substrate is treated using a second supercritical fluid comprising supercritical CO2 and a functionalizing agent.
21. A method of operating a controller in a processing system configured to process a substrate, the method comprising the steps of: instructing the processing system to position a substrate on a substrate holder in a processing chamber; instructing the processing system to perform a cleaning process, wherein the substrate is cleaned using a first supercritical fluid comprising supercritical CO2 and a cleaning chemistry; and instructing the processing system to performing a treatment process, wherein the substrate is treated using a second supercritical fluid comprising supercritical CO2 and a functionalizing agent.
PCT/US2006/017294 2005-05-13 2006-05-02 Treatment of substrate using fuctionalizing agent in supercritical carbon dioxide WO2006124321A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/908,474 2005-05-13
US10/908,474 US7789971B2 (en) 2005-05-13 2005-05-13 Treatment of substrate using functionalizing agent in supercritical carbon dioxide

Publications (2)

Publication Number Publication Date
WO2006124321A2 true WO2006124321A2 (en) 2006-11-23
WO2006124321A3 WO2006124321A3 (en) 2007-01-11

Family

ID=37038332

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/017294 WO2006124321A2 (en) 2005-05-13 2006-05-02 Treatment of substrate using fuctionalizing agent in supercritical carbon dioxide

Country Status (3)

Country Link
US (1) US7789971B2 (en)
TW (1) TWI326110B (en)
WO (1) WO2006124321A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11515178B2 (en) 2020-03-16 2022-11-29 Tokyo Electron Limited System and methods for wafer drying

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7923424B2 (en) * 2005-02-14 2011-04-12 Advanced Process Technologies, Llc Semiconductor cleaning using superacids
TWI291714B (en) * 2005-12-09 2007-12-21 Ind Tech Res Inst Supercritical fluid washing method and system thereof
US20070249156A1 (en) * 2006-04-20 2007-10-25 Griselda Bonilla Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
US8369272B2 (en) * 2006-09-27 2013-02-05 Telecom Italia S.P.A. Apparatus and method for implementing configurable resource management policies
WO2008125638A1 (en) * 2007-04-13 2008-10-23 Solvay (Societe Anonyme) Use of oxidants for the processing of semiconductor wafers, use of a composition and composition therefore
JP5450494B2 (en) * 2011-03-25 2014-03-26 株式会社東芝 Supercritical drying method for semiconductor substrates
JP5686261B2 (en) 2011-07-29 2015-03-18 セメス株式会社SEMES CO., Ltd Substrate processing apparatus and substrate processing method
JP2013062417A (en) * 2011-09-14 2013-04-04 Toshiba Corp Supercritical drying method of semiconductor substrate and device
US9146551B2 (en) * 2012-11-29 2015-09-29 Asm Ip Holding B.V. Scheduler for processing system
WO2016007874A1 (en) * 2014-07-11 2016-01-14 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
JP6698446B2 (en) * 2016-07-05 2020-05-27 東京エレクトロン株式会社 Substrate liquid processing apparatus, substrate liquid processing method and storage medium
KR20180013337A (en) * 2016-07-29 2018-02-07 세메스 주식회사 Apparatus and method for treating substrate
US10695804B2 (en) * 2018-01-25 2020-06-30 Applied Materials, Inc. Equipment cleaning apparatus and method
CN110219008A (en) * 2019-07-23 2019-09-10 佛山市骏虎表面技术有限公司 A kind of environment-friendly high-efficiency degreasing agent and its application
JP7394563B2 (en) * 2019-09-12 2023-12-08 東京エレクトロン株式会社 Cleaning method for substrate processing equipment and substrate processing system

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004008249A2 (en) * 2002-07-17 2004-01-22 Scp Global Technologies, Inc. Compositions and method for removing photoresist and/or resist residue
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US20050077597A1 (en) * 2003-10-10 2005-04-14 Tokyo Electron Limited Method and system for treating a dielectric film
US20060003592A1 (en) * 2004-06-30 2006-01-05 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US20060102204A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for removing a residue from a substrate using supercritical carbon dioxide processing

Family Cites Families (395)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2439689A (en) * 1948-04-13 Method of rendering glass
US2625886A (en) * 1947-08-21 1953-01-20 American Brake Shoe Co Pump
US2617719A (en) 1950-12-29 1952-11-11 Stanolind Oil & Gas Co Cleaning porous media
FR1499491A (en) 1966-09-30 1967-10-27 Albert Handtmann Metallgiesser Pass-through and shut-off valve, in particular for drinks
US3642020A (en) * 1969-11-17 1972-02-15 Cameron Iron Works Inc Pressure operated{13 positive displacement shuttle valve
US3660160A (en) * 1969-12-31 1972-05-02 Exxon Research Engineering Co Dissolution of polymers
US3744660A (en) 1970-12-30 1973-07-10 Combustion Eng Shield for nuclear reactor vessel
FR2128426B1 (en) 1971-03-02 1980-03-07 Cnen
US3890176A (en) 1972-08-18 1975-06-17 Gen Electric Method for removing photoresist from substrate
US3968885A (en) 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4341592A (en) 1975-08-04 1982-07-27 Texas Instruments Incorporated Method for removing photoresist layer from substrate by ozone treatment
US4029517A (en) 1976-03-01 1977-06-14 Autosonics Inc. Vapor degreasing system having a divider wall between upper and lower vapor zone portions
US4091643A (en) 1976-05-14 1978-05-30 Ama Universal S.P.A. Circuit for the recovery of solvent vapor evolved in the course of a cleaning cycle in dry-cleaning machines or plants, and for the de-pressurizing of such machines
GB1594935A (en) 1976-11-01 1981-08-05 Gen Descaling Co Ltd Closure for pipe or pressure vessel and seal therefor
DE2741024A1 (en) 1977-09-12 1979-03-22 Wilms Gmbh DIAPHRAGM PUMP
JPS5448172A (en) * 1977-09-24 1979-04-16 Tokyo Ouka Kougiyou Kk Plasma reaction processor
US4219333A (en) 1978-07-03 1980-08-26 Harris Robert D Carbonated cleaning solution
US4349415A (en) 1979-09-28 1982-09-14 Critical Fluid Systems, Inc. Process for separating organic liquid solutes from their solvent mixtures
US4367140A (en) * 1979-11-05 1983-01-04 Sykes Ocean Water Ltd. Reverse osmosis liquid purification apparatus
US4355937A (en) 1980-12-24 1982-10-26 International Business Machines Corporation Low shock transmissive antechamber seal mechanisms for vacuum chamber type semi-conductor wafer electron beam writing apparatus
DE3112434A1 (en) 1981-03-28 1982-10-07 Depa GmbH, 4000 Düsseldorf PNEUMATIC DIAPHRAGM PUMP
US4682937A (en) 1981-11-12 1987-07-28 The Coca-Cola Company Double-acting diaphragm pump and reversing mechanism therefor
DE3145815C2 (en) 1981-11-19 1984-08-09 AGA Gas GmbH, 2102 Hamburg Process for removing peelable layers of material from coated objects,
US4522788A (en) 1982-03-05 1985-06-11 Leco Corporation Proximate analyzer
FR2536433A1 (en) 1982-11-19 1984-05-25 Privat Michel METHOD AND APPARATUS FOR CLEANING AND DECONTAMINATING PARTICULARLY CLOTHING, ESPECIALLY CLOTHES CONTAMINATED WITH RADIOACTIVE PARTICLES
US4626509A (en) 1983-07-11 1986-12-02 Data Packaging Corp. Culture media transfer assembly
US4865061A (en) 1983-07-22 1989-09-12 Quadrex Hps, Inc. Decontamination apparatus for chemically and/or radioactively contaminated tools and equipment
US4549467A (en) 1983-08-03 1985-10-29 Wilden Pump & Engineering Co. Actuator valve
US4475993A (en) 1983-08-15 1984-10-09 The United States Of America As Represented By The United States Department Of Energy Extraction of trace metals from fly ash
GB8332394D0 (en) 1983-12-05 1984-01-11 Pilkington Brothers Plc Coating apparatus
US4877530A (en) 1984-04-25 1989-10-31 Cf Systems Corporation Liquid CO2 /cosolvent extraction
US4960140A (en) 1984-11-30 1990-10-02 Ishijima Industrial Co., Ltd. Washing arrangement for and method of washing lead frames
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4788043A (en) 1985-04-17 1988-11-29 Tokuyama Soda Kabushiki Kaisha Process for washing semiconductor substrate with organic solvent
US4778356A (en) 1985-06-11 1988-10-18 Hicks Cecil T Diaphragm pump
US4749440A (en) 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
US4925790A (en) 1985-08-30 1990-05-15 The Regents Of The University Of California Method of producing products by enzyme-catalyzed reactions in supercritical fluids
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4827867A (en) 1985-11-28 1989-05-09 Daikin Industries, Ltd. Resist developing apparatus
DE3608783A1 (en) 1986-03-15 1987-09-17 Telefunken Electronic Gmbh Gas-phase epitaxial method and apparatus for carrying it out
DE3788973T2 (en) 1986-04-04 1994-08-11 Materials Research Corp Method and device for handling and treating disc-like materials.
GB8709064D0 (en) 1986-04-28 1987-05-20 Varian Associates Wafer handling arm
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4670126A (en) 1986-04-28 1987-06-02 Varian Associates, Inc. Sputter module for modular wafer processing system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5882165A (en) * 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
JPS63157870A (en) 1986-12-19 1988-06-30 Anelva Corp Substrate treatment device
ATE102397T1 (en) 1986-12-19 1994-03-15 Applied Materials Inc INTEGRATED PROCESSING SYSTEM WITH MULTIPLE CHAMBER.
US4759917A (en) 1987-02-24 1988-07-26 Monsanto Company Oxidative dissolution of gallium arsenide and separation of gallium from arsenic
DE3861050D1 (en) 1987-05-07 1990-12-20 Micafil Ag METHOD AND DEVICE FOR EXTRACTING OIL OR POLYCHLORIZED BIPHENYL FROM IMPREGNATED ELECTRICAL PARTS BY MEANS OF A SOLVENT AND DISTILLING THE SOLVENT.
US4924892A (en) 1987-07-28 1990-05-15 Mazda Motor Corporation Painting truck washing system
DE3725611A1 (en) 1987-08-01 1989-02-09 Henkel Kgaa METHOD FOR THE JOINT SEPARATION OF STONE ELEMENTS FROM VALUE METAL ELECTROLYTE SOLUTIONS
DE3725565A1 (en) * 1987-08-01 1989-02-16 Peter Weil METHOD AND SYSTEM FOR DE-PAINTING OBJECTS WITH A SUBMERSIBLE CONTAINER WITH SOLVENT
US5105556A (en) * 1987-08-12 1992-04-21 Hitachi, Ltd. Vapor washing process and apparatus
US4838476A (en) 1987-11-12 1989-06-13 Fluocon Technologies Inc. Vapour phase treatment process and apparatus
WO1989004858A1 (en) 1987-11-27 1989-06-01 Battelle Memorial Institute Supercritical fluid reverse micelle separation
US4933404A (en) 1987-11-27 1990-06-12 Battelle Memorial Institute Processes for microemulsion polymerization employing novel microemulsion systems
US5266205A (en) 1988-02-04 1993-11-30 Battelle Memorial Institute Supercritical fluid reverse micelle separation
US4789077A (en) 1988-02-24 1988-12-06 Public Service Electric & Gas Company Closure apparatus for a high pressure vessel
JP2663483B2 (en) 1988-02-29 1997-10-15 勝 西川 Method of forming resist pattern
US4823976A (en) * 1988-05-04 1989-04-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Quick actuating closure
US5224504A (en) 1988-05-25 1993-07-06 Semitool, Inc. Single wafer processor
US5185296A (en) * 1988-07-26 1993-02-09 Matsushita Electric Industrial Co., Ltd. Method for forming a dielectric thin film or its pattern of high accuracy on a substrate
DE3836731A1 (en) 1988-10-28 1990-05-03 Henkel Kgaa METHOD FOR SEPARATING STONE ELEMENTS FROM VALUE METAL ELECTROLYTE SOLUTIONS
US5013366A (en) 1988-12-07 1991-05-07 Hughes Aircraft Company Cleaning process using phase shifting of dense phase gases
US5051135A (en) * 1989-01-30 1991-09-24 Kabushiki Kaisha Tiyoda Seisakusho Cleaning method using a solvent while preventing discharge of solvent vapors to the environment
DE4004111C2 (en) 1989-02-15 1999-08-19 Deutsches Textilforschzentrum Process for the pretreatment of textile fabrics or yarns
DE3904514C2 (en) 1989-02-15 1999-03-11 Oeffentliche Pruefstelle Und T Process for cleaning or washing parts of clothing or the like
CA2027550C (en) 1989-02-16 1995-12-26 Janusz B. Pawliszyn Apparatus and method for delivering supercritical fluid
DE3906724C2 (en) 1989-03-03 1998-03-12 Deutsches Textilforschzentrum Process for dyeing textile substrates
DE3906735C2 (en) 1989-03-03 1999-04-15 Deutsches Textilforschzentrum Bleaching process
DE3906737A1 (en) 1989-03-03 1990-09-13 Deutsches Textilforschzentrum Process for mercerising, causticising or scouring
US4879431A (en) 1989-03-09 1989-11-07 Biomedical Research And Development Laboratories, Inc. Tubeless cell harvester
US5213485A (en) 1989-03-10 1993-05-25 Wilden James K Air driven double diaphragm pump
US5169296A (en) 1989-03-10 1992-12-08 Wilden James K Air driven double diaphragm pump
US5068040A (en) 1989-04-03 1991-11-26 Hughes Aircraft Company Dense phase gas photochemical process for substrate treatment
US5288333A (en) * 1989-05-06 1994-02-22 Dainippon Screen Mfg. Co., Ltd. Wafer cleaning method and apparatus therefore
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US4923828A (en) 1989-07-07 1990-05-08 Eastman Kodak Company Gaseous cleaning method for silicon devices
JP2888253B2 (en) * 1989-07-20 1999-05-10 富士通株式会社 Chemical vapor deposition and apparatus for its implementation
US5062770A (en) 1989-08-11 1991-11-05 Systems Chemistry, Inc. Fluid pumping apparatus and system with leak detection and containment
US4983223A (en) * 1989-10-24 1991-01-08 Chenpatents Apparatus and method for reducing solvent vapor losses
US5226441A (en) * 1989-11-13 1993-07-13 Cmb Industries Backflow preventor with adjustable outflow direction
US5213619A (en) 1989-11-30 1993-05-25 Jackson David P Processes for cleaning, sterilizing, and implanting materials using high energy dense fluids
US5269850A (en) 1989-12-20 1993-12-14 Hughes Aircraft Company Method of removing organic flux using peroxide composition
US5196134A (en) * 1989-12-20 1993-03-23 Hughes Aircraft Company Peroxide composition for removing organic contaminants and method of using same
US5169408A (en) 1990-01-26 1992-12-08 Fsi International, Inc. Apparatus for wafer processing with in situ rinse
US5217043A (en) 1990-04-19 1993-06-08 Milic Novakovic Control valve
US5186594A (en) * 1990-04-19 1993-02-16 Applied Materials, Inc. Dual cassette load lock
DE69103316T2 (en) 1990-04-20 1995-04-27 Applied Materials Inc Device and method for slit valve.
US5370741A (en) 1990-05-15 1994-12-06 Semitool, Inc. Dynamic semiconductor wafer processing using homogeneous chemical vapors
DE4018464A1 (en) * 1990-06-08 1991-12-12 Ott Kg Lewa DIAPHRAGM FOR A HYDRAULICALLY DRIVED DIAPHRAGM PUMP
US5071485A (en) 1990-09-11 1991-12-10 Fusion Systems Corporation Method for photoresist stripping using reverse flow
US5236669A (en) 1990-09-12 1993-08-17 E. I. Du Pont De Nemours And Company Pressure vessel
US5167716A (en) 1990-09-28 1992-12-01 Gasonics, Inc. Method and apparatus for batch processing a semiconductor wafer
DE4106180A1 (en) 1990-10-08 1992-04-09 Dirk Dipl Ing Budde DOUBLE DIAPHRAGM PUMP
US5279771A (en) 1990-11-05 1994-01-18 Ekc Technology, Inc. Stripping compositions comprising hydroxylamine and alkanolamine
JP2782560B2 (en) 1990-12-12 1998-08-06 富士写真フイルム株式会社 Stabilizing processing solution and method for processing silver halide color photographic light-sensitive material
US5306350A (en) * 1990-12-21 1994-04-26 Union Carbide Chemicals & Plastics Technology Corporation Methods for cleaning apparatus using compressed fluids
US5143103A (en) 1991-01-04 1992-09-01 International Business Machines Corporation Apparatus for cleaning and drying workpieces
EP0496605B1 (en) * 1991-01-24 2001-08-01 Wako Pure Chemical Industries Ltd Surface treating solutions for semiconductors
US5185058A (en) * 1991-01-29 1993-02-09 Micron Technology, Inc. Process for etching semiconductor devices
US5201960A (en) * 1991-02-04 1993-04-13 Applied Photonics Research, Inc. Method for removing photoresist and other adherent materials from substrates
AT395951B (en) 1991-02-19 1993-04-26 Union Ind Compr Gase Gmbh CLEANING OF WORKPIECES WITH ORGANIC RESIDUES
CH684402A5 (en) * 1991-03-04 1994-09-15 Xorella Ag Wettingen Device for sliding and pivoting of a container-closure.
DE59204395D1 (en) 1991-05-17 1996-01-04 Ciba Geigy Ag Process for dyeing hydrophobic textile material with disperse dyes from supercritical CO2.
US5195878A (en) * 1991-05-20 1993-03-23 Hytec Flow Systems Air-operated high-temperature corrosive liquid pump
US5274129A (en) 1991-06-12 1993-12-28 Idaho Research Foundation, Inc. Hydroxamic acid crown ethers
US5225173A (en) 1991-06-12 1993-07-06 Idaho Research Foundation, Inc. Methods and devices for the separation of radioactive rare earth metal isotopes from their alkaline earth metal precursors
US5730874A (en) * 1991-06-12 1998-03-24 Idaho Research Foundation, Inc. Extraction of metals using supercritical fluid and chelate forming legand
US5356538A (en) 1991-06-12 1994-10-18 Idaho Research Foundation, Inc. Supercritical fluid extraction
US5965025A (en) 1991-06-12 1999-10-12 Idaho Research Foundation, Inc. Fluid extraction
US5279615A (en) 1991-06-14 1994-01-18 The Clorox Company Method and composition using densified carbon dioxide and cleaning adjunct to clean fabrics
US5243821A (en) 1991-06-24 1993-09-14 Air Products And Chemicals, Inc. Method and apparatus for delivering a continuous quantity of gas over a wide range of flow rates
US5174917A (en) 1991-07-19 1992-12-29 Monsanto Company Compositions containing n-ethyl hydroxamic acid chelants
US5251776A (en) 1991-08-12 1993-10-12 H. William Morgan, Jr. Pressure vessel
US5320742A (en) 1991-08-15 1994-06-14 Mobil Oil Corporation Gasoline upgrading process
US5431843A (en) * 1991-09-04 1995-07-11 The Clorox Company Cleaning through perhydrolysis conducted in dense fluid medium
JP3040212B2 (en) 1991-09-05 2000-05-15 株式会社東芝 Vapor phase growth equipment
GB2259525B (en) * 1991-09-11 1995-06-28 Ciba Geigy Ag Process for dyeing cellulosic textile material with disperse dyes
US5213622A (en) 1991-10-11 1993-05-25 Air Products And Chemicals, Inc. Cleaning agents for fabricating integrated circuits and a process for using the same
DE9112761U1 (en) * 1991-10-14 1992-04-09 Krones Ag Hermann Kronseder Maschinenfabrik, 8402 Neutraubling, De
US5221019A (en) 1991-11-07 1993-06-22 Hahn & Clay Remotely operable vessel cover positioner
EP0543779A1 (en) 1991-11-20 1993-05-26 Ciba-Geigy Ag Process for optical bleaching of hydrophobic textile material with disperse optical brightness in supercritical CO2
KR930019861A (en) * 1991-12-12 1993-10-19 완다 케이. 덴슨-로우 Coating method using dense gas
WO1993012161A1 (en) 1991-12-18 1993-06-24 Schering Corporation Method for removing residual additives from elastomeric articles
US5190373A (en) * 1991-12-24 1993-03-02 Union Carbide Chemicals & Plastics Technology Corporation Method, apparatus, and article for forming a heated, pressurized mixture of fluids
US5474812A (en) 1992-01-10 1995-12-12 Amann & Sohne Gmbh & Co. Method for the application of a lubricant on a sewing yarn
AU3776393A (en) * 1992-03-27 1993-11-08 University Of North Carolina At Chapel Hill, The Method of making fluoropolymers
US5240390A (en) 1992-03-27 1993-08-31 Graco Inc. Air valve actuator for reciprocable machine
US5688879A (en) 1992-03-27 1997-11-18 The University Of North Carolina At Chapel Hill Method of making fluoropolymers
US5404894A (en) * 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5313965A (en) 1992-06-01 1994-05-24 Hughes Aircraft Company Continuous operation supercritical fluid treatment process and system
JPH0613361A (en) 1992-06-26 1994-01-21 Tokyo Electron Ltd Processing apparatus
US5401322A (en) 1992-06-30 1995-03-28 Southwest Research Institute Apparatus and method for cleaning articles utilizing supercritical and near supercritical fluids
US6165282A (en) * 1992-06-30 2000-12-26 Southwest Research Institute Method for contaminant removal using natural convection flow and changes in solubility concentration by temperature
US5352327A (en) 1992-07-10 1994-10-04 Harris Corporation Reduced temperature suppression of volatilization of photoexcited halogen reaction products from surface of silicon wafer
US5370742A (en) 1992-07-13 1994-12-06 The Clorox Company Liquid/supercritical cleaning with decreased polymer damage
US5267455A (en) 1992-07-13 1993-12-07 The Clorox Company Liquid/supercritical carbon dioxide dry cleaning system
US5285352A (en) * 1992-07-15 1994-02-08 Motorola, Inc. Pad array semiconductor device with thermal conductor and process for making the same
US5368171A (en) 1992-07-20 1994-11-29 Jackson; David P. Dense fluid microwave centrifuge
US5746008A (en) 1992-07-29 1998-05-05 Shinko Electric Co., Ltd. Electronic substrate processing system using portable closed containers
KR100304127B1 (en) * 1992-07-29 2001-11-30 이노마다 시게오 Electronic-substrate treatment system using portable sealed container and apparatus thereof
US5456759A (en) 1992-08-10 1995-10-10 Hughes Aircraft Company Method using megasonic energy in liquefied gases
US5316591A (en) 1992-08-10 1994-05-31 Hughes Aircraft Company Cleaning by cavitation in liquefied gas
US5339844A (en) 1992-08-10 1994-08-23 Hughes Aircraft Company Low cost equipment for cleaning using liquefiable gases
US5261965A (en) 1992-08-28 1993-11-16 Texas Instruments Incorporated Semiconductor wafer cleaning using condensed-phase processing
DE4230485A1 (en) 1992-09-11 1994-03-17 Linde Ag System for cleaning with liquefied or supercritical gases
US5589224A (en) 1992-09-30 1996-12-31 Applied Materials, Inc. Apparatus for full wafer deposition
EP0591595A1 (en) 1992-10-08 1994-04-13 International Business Machines Corporation Molecular recording/reproducing method and recording medium
US5355901A (en) 1992-10-27 1994-10-18 Autoclave Engineers, Ltd. Apparatus for supercritical cleaning
US5337446A (en) 1992-10-27 1994-08-16 Autoclave Engineers, Inc. Apparatus for applying ultrasonic energy in precision cleaning
US5294261A (en) * 1992-11-02 1994-03-15 Air Products And Chemicals, Inc. Surface cleaning using an argon or nitrogen aerosol
US5328722A (en) 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
JP2548062B2 (en) 1992-11-13 1996-10-30 日本エー・エス・エム株式会社 Load lock chamber for vertical heat treatment equipment
US5514220A (en) 1992-12-09 1996-05-07 Wetmore; Paula M. Pressure pulse cleaning
WO1994014240A1 (en) 1992-12-11 1994-06-23 The Regents Of The University Of California Microelectromechanical signal processors
US5447294A (en) 1993-01-21 1995-09-05 Tokyo Electron Limited Vertical type heat treatment system
AU671895B2 (en) 1993-04-12 1996-09-12 Colgate-Palmolive Company, The Tricritical point composition
US5403665A (en) * 1993-06-18 1995-04-04 Regents Of The University Of California Method of applying a monolayer lubricant to micromachines
US5312882A (en) 1993-07-30 1994-05-17 The University Of North Carolina At Chapel Hill Heterogeneous polymerization in carbon dioxide
JP3338134B2 (en) 1993-08-02 2002-10-28 株式会社東芝 Semiconductor wafer processing method
US5364497A (en) 1993-08-04 1994-11-15 Analog Devices, Inc. Method for fabricating microstructures using temporary bridges
DE4429470A1 (en) 1993-08-23 1995-03-02 Ciba Geigy Ag Process for improving the stability of dyeings on hydrophobic textile material
US5433334A (en) 1993-09-08 1995-07-18 Reneau; Raymond P. Closure member for pressure vessel
US5377705A (en) * 1993-09-16 1995-01-03 Autoclave Engineers, Inc. Precision cleaning system
US5370740A (en) 1993-10-01 1994-12-06 Hughes Aircraft Company Chemical decomposition by sonication in liquid carbon dioxide
US5656097A (en) 1993-10-20 1997-08-12 Verteq, Inc. Semiconductor wafer cleaning system
US5417768A (en) 1993-12-14 1995-05-23 Autoclave Engineers, Inc. Method of cleaning workpiece with solvent and then with liquid carbon dioxide
US5509431A (en) * 1993-12-14 1996-04-23 Snap-Tite, Inc. Precision cleaning vessel
DE4344021B4 (en) 1993-12-23 2006-06-29 Deutsches Textilforschungszentrum Nord-West E.V. Coloring of sized textile fabrics of synthetic fiber material in supercritical media
TW274630B (en) 1994-01-28 1996-04-21 Wako Zunyaku Kogyo Kk
US5641887A (en) 1994-04-01 1997-06-24 University Of Pittsburgh Extraction of metals in carbon dioxide and chelating agents therefor
US5872257A (en) * 1994-04-01 1999-02-16 University Of Pittsburgh Further extractions of metals in carbon dioxide and chelating agents therefor
EP0681317B1 (en) * 1994-04-08 2001-10-17 Texas Instruments Incorporated Method for cleaning semiconductor wafers using liquefied gases
JP3320549B2 (en) 1994-04-26 2002-09-03 岩手東芝エレクトロニクス株式会社 Film removing method and film removing agent
US5467492A (en) 1994-04-29 1995-11-21 Hughes Aircraft Company Dry-cleaning of garments using liquid carbon dioxide under agitation as cleaning medium
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
KR0137841B1 (en) * 1994-06-07 1998-04-27 문정환 Method for removing a etching waste material
US5482564A (en) * 1994-06-21 1996-01-09 Texas Instruments Incorporated Method of unsticking components of micro-mechanical devices
US5504042A (en) 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5637151A (en) 1994-06-27 1997-06-10 Siemens Components, Inc. Method for reducing metal contamination of silicon wafers during semiconductor manufacturing
US5522938A (en) 1994-08-08 1996-06-04 Texas Instruments Incorporated Particle removal in supercritical liquids using single frequency acoustic waves
US5501761A (en) * 1994-10-18 1996-03-26 At&T Corp. Method for stripping conformal coatings from circuit boards
DE69521267T2 (en) 1994-11-08 2002-03-07 Raytheon Co Dry cleaning clothes using gas jet swirling
WO1996015304A1 (en) 1994-11-09 1996-05-23 R.R. Street & Co. Inc. Method and system for rejuvenating pressurized fluid solvents used in cleaning substrates
US5505219A (en) * 1994-11-23 1996-04-09 Litton Systems, Inc. Supercritical fluid recirculating system for a precision inertial instrument parts cleaner
DE4443778A1 (en) 1994-12-08 1996-06-20 Abel Gmbh & Co Double diaphragm pump
US5556497A (en) 1995-01-09 1996-09-17 Essef Corporation Fitting installation process
US5629918A (en) 1995-01-20 1997-05-13 The Regents Of The University Of California Electromagnetically actuated micromachined flap
EP0726099B1 (en) 1995-01-26 2000-10-18 Texas Instruments Incorporated Method of removing surface contamination
WO1996025760A1 (en) 1995-02-15 1996-08-22 Hitachi, Ltd. Method and device for manufacturing semiconductor
EP0727711A3 (en) 1995-02-17 1997-04-09 Ocg Microelectronic Materials Photoresist compositions containing supercritical fluid fractionated polymeric binder resins
JP3277114B2 (en) 1995-02-17 2002-04-22 インターナショナル・ビジネス・マシーンズ・コーポレーション Method of producing negative tone resist image
DE19506404C1 (en) 1995-02-23 1996-03-14 Siemens Ag Separating and drying micro-mechanical elements without sticking
US5683977A (en) 1995-03-06 1997-11-04 Lever Brothers Company, Division Of Conopco, Inc. Dry cleaning system using densified carbon dioxide and a surfactant adjunct
US5676705A (en) 1995-03-06 1997-10-14 Lever Brothers Company, Division Of Conopco, Inc. Method of dry cleaning fabrics using densified carbon dioxide
US5681398A (en) 1995-03-17 1997-10-28 Purex Co., Ltd. Silicone wafer cleaning method
JPH08264500A (en) 1995-03-27 1996-10-11 Sony Corp Cleaning of substrate
US5644855A (en) 1995-04-06 1997-07-08 Air Products And Chemicals, Inc. Cryogenically purged mini environment
JPH08306632A (en) 1995-04-27 1996-11-22 Shin Etsu Handotai Co Ltd Vapor epitaxial growth equipment
US6097015A (en) 1995-05-22 2000-08-01 Healthbridge, Inc. Microwave pressure vessel and method of sterilization
JP3983831B2 (en) 1995-05-30 2007-09-26 シグマメルテック株式会社 Substrate baking apparatus and substrate baking method
JPH08330266A (en) * 1995-05-31 1996-12-13 Texas Instr Inc <Ti> Method of cleansing and processing surface of semiconductor device or the like
US6454945B1 (en) 1995-06-16 2002-09-24 University Of Washington Microfabricated devices and methods
EP0839318B1 (en) 1995-06-16 2003-05-07 University of Washington Microfabricated differential extraction device and method
JP2676334B2 (en) 1995-07-31 1997-11-12 住友重機械工業株式会社 Robot arm
US6239038B1 (en) 1995-10-13 2001-05-29 Ziying Wen Method for chemical processing semiconductor wafers
US5783082A (en) * 1995-11-03 1998-07-21 University Of North Carolina Cleaning process using carbon dioxide as a solvent and employing molecularly engineered surfactants
US5807607A (en) * 1995-11-16 1998-09-15 Texas Instruments Incorporated Polyol-based method for forming thin film aerogels on semiconductor substrates
US5736425A (en) * 1995-11-16 1998-04-07 Texas Instruments Incorporated Glycol-based method for forming a thin-film nanoporous dielectric
US5955140A (en) 1995-11-16 1999-09-21 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
US6037277A (en) * 1995-11-16 2000-03-14 Texas Instruments Incorporated Limited-volume apparatus and method for forming thin film aerogels on semiconductor substrates
US6159295A (en) 1995-11-16 2000-12-12 Texas Instruments Incorporated Limited-volume apparatus for forming thin film aerogels on semiconductor substrates
US6380105B1 (en) * 1996-11-14 2002-04-30 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
US5679169A (en) 1995-12-19 1997-10-21 Micron Technology, Inc. Method for post chemical-mechanical planarization cleaning of semiconductor wafers
US5992680A (en) 1996-01-29 1999-11-30 Smith; Philip E. Slidable sealing lid apparatus for subsurface storage containers
JPH09213772A (en) 1996-01-30 1997-08-15 Dainippon Screen Mfg Co Ltd Board holder
US6232417B1 (en) 1996-03-07 2001-05-15 The B. F. Goodrich Company Photoresist compositions comprising polycyclic polymers with acid labile pendant groups
US5804607A (en) 1996-03-21 1998-09-08 International Business Machines Corporation Process for making a foamed elastomeric polymer
US5726211A (en) * 1996-03-21 1998-03-10 International Business Machines Corporation Process for making a foamed elastometric polymer
JP3955340B2 (en) * 1996-04-26 2007-08-08 株式会社神戸製鋼所 High-temperature and high-pressure gas processing equipment
DK9600149U3 (en) * 1996-05-01 1997-09-12 Moerch & Soenner A S cover assembly
US5766367A (en) 1996-05-14 1998-06-16 Sandia Corporation Method for preventing micromechanical structures from adhering to another object
JPH09303557A (en) 1996-05-21 1997-11-25 Kobe Steel Ltd Sealing device for high-pressure vessel
US5618751A (en) * 1996-05-23 1997-04-08 International Business Machines Corporation Method of making single-step trenches using resist fill and recess
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5868856A (en) 1996-07-25 1999-02-09 Texas Instruments Incorporated Method for removing inorganic contamination by chemical derivitization and extraction
US5669251A (en) 1996-07-30 1997-09-23 Hughes Aircraft Company Liquid carbon dioxide dry cleaning system having a hydraulically powered basket
KR19980018262A (en) 1996-08-01 1998-06-05 윌리엄 비.켐플러 I / O port and RAM memory addressing technology
US5706319A (en) * 1996-08-12 1998-01-06 Joseph Oat Corporation Reactor vessel seal and method for temporarily sealing a reactor pressure vessel from the refueling canal
US6270948B1 (en) 1996-08-22 2001-08-07 Kabushiki Kaisha Toshiba Method of forming pattern
US5798438A (en) 1996-09-09 1998-08-25 University Of Massachusetts Polymers with increased order
US5881577A (en) * 1996-09-09 1999-03-16 Air Liquide America Corporation Pressure-swing absorption based cleaning methods and systems
US5908510A (en) 1996-10-16 1999-06-01 International Business Machines Corporation Residue removal by supercritical fluids
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5797719A (en) 1996-10-30 1998-08-25 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US5888050A (en) * 1996-10-30 1999-03-30 Supercritical Fluid Technologies, Inc. Precision high pressure control assembly
US5725987A (en) * 1996-11-01 1998-03-10 Xerox Corporation Supercritical processes
US5714299A (en) * 1996-11-04 1998-02-03 Xerox Corporation Processes for toner additives with liquid carbon dioxide
JPH10144757A (en) 1996-11-08 1998-05-29 Dainippon Screen Mfg Co Ltd Substrate processing device
JPH10144650A (en) 1996-11-11 1998-05-29 Mitsubishi Electric Corp Semiconductor material cleaner
US5994696A (en) 1997-01-27 1999-11-30 California Institute Of Technology MEMS electrospray nozzle for mass spectroscopy
US5906866A (en) 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
JP3437734B2 (en) * 1997-02-26 2003-08-18 富士通株式会社 manufacturing device
US5896870A (en) * 1997-03-11 1999-04-27 International Business Machines Corporation Method of removing slurry particles
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
JPH10261687A (en) * 1997-03-18 1998-09-29 Furontetsuku:Kk Production system for semiconductor and the like
JP4246804B2 (en) 1997-03-26 2009-04-02 株式会社神戸製鋼所 Heating / pressurizing equipment
JPH10288158A (en) 1997-04-10 1998-10-27 Kobe Steel Ltd Piston gas compressor and gas compression equipment
US6149828A (en) 1997-05-05 2000-11-21 Micron Technology, Inc. Supercritical etching compositions and method of using same
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
JP3764247B2 (en) 1997-05-27 2006-04-05 株式会社神戸製鋼所 Pressurizing device for plate
TW539918B (en) * 1997-05-27 2003-07-01 Tokyo Electron Ltd Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6500605B1 (en) 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6114044A (en) 1997-05-30 2000-09-05 Regents Of The University Of California Method of drying passivated micromachines by dewetting from a liquid-based process
US6344243B1 (en) * 1997-05-30 2002-02-05 Micell Technologies, Inc. Surface treatment
US6164297A (en) 1997-06-13 2000-12-26 Tokyo Electron Limited Cleaning and drying apparatus for objects to be processed
US5900354A (en) 1997-07-03 1999-05-04 Batchelder; John Samuel Method for optical inspection and lithography
TW524873B (en) 1997-07-11 2003-03-21 Applied Materials Inc Improved substrate supporting apparatus and processing chamber
US5975492A (en) 1997-07-14 1999-11-02 Brenes; Arthur Bellows driver slot valve
US5893756A (en) * 1997-08-26 1999-04-13 Lsi Logic Corporation Use of ethylene glycol as a corrosion inhibitor during cleaning after metal chemical mechanical polishing
WO1999010587A1 (en) 1997-08-29 1999-03-04 Micell Technologies End functionalized polysiloxane surfactants in carbon dioxide formulations
JP3194036B2 (en) * 1997-09-17 2001-07-30 東京エレクトロン株式会社 Drying treatment apparatus and drying treatment method
US6056008A (en) 1997-09-22 2000-05-02 Fisher Controls International, Inc. Intelligent pressure regulator
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6099619A (en) 1997-10-09 2000-08-08 Uop Llc Purification of carbon dioxide
US5872061A (en) * 1997-10-27 1999-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma etch method for forming residue free fluorine containing plasma etched layers
US6005226A (en) 1997-11-24 1999-12-21 Steag-Rtp Systems Rapid thermal processing (RTP) system with gas driven rotating substrate
DE69839935D1 (en) 1997-11-25 2008-10-09 Nec Lcd Technologies Ltd Active matrix liquid crystal display and its manufacturing method
US5904737A (en) 1997-11-26 1999-05-18 Mve, Inc. Carbon dioxide dry cleaning system
US5934991A (en) 1998-02-01 1999-08-10 Fortrend Engineering Corporation Pod loader interface improved clean air system
US6067728A (en) 1998-02-13 2000-05-30 G.T. Equipment Technologies, Inc. Supercritical phase wafer drying/cleaning system
US6100198A (en) 1998-02-27 2000-08-08 Micron Technology, Inc. Post-planarization, pre-oxide removal ozone treatment
US6122566A (en) 1998-03-03 2000-09-19 Applied Materials Inc. Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
KR100287173B1 (en) 1998-03-13 2001-06-01 윤종용 Method for removing photoresist and method for manufacturing semiconductor device using the same
US6453924B1 (en) 2000-07-24 2002-09-24 Advanced Technology Materials, Inc. Fluid distribution system and process, and semiconductor fabrication facility utilizing same
KR100452542B1 (en) * 1998-04-14 2004-10-12 가부시끼가이샤가이죠 Method and apparatus for driving washed objects
MXPA00011391A (en) 1998-05-18 2005-06-20 Mallinckrodt Inc Silicate-containing alkaline compositions for cleaning microelectronic substrates.
US6200943B1 (en) * 1998-05-28 2001-03-13 Micell Technologies, Inc. Combination surfactant systems for use in carbon dioxide-based cleaning formulations
US6021791A (en) * 1998-06-29 2000-02-08 Speedfam-Ipec Corporation Method and apparatus for immersion cleaning of semiconductor devices
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
US6085935A (en) 1998-08-10 2000-07-11 Alliance Laundry Systems Llc Pressure vessel door operating apparatus
JP3248492B2 (en) 1998-08-14 2002-01-21 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6780765B2 (en) 1998-08-14 2004-08-24 Avery N. Goldstein Integrated circuit trenched features and method of producing same
US6242165B1 (en) 1998-08-28 2001-06-05 Micron Technology, Inc. Supercritical compositions for removal of organic material and methods of using same
US6358673B1 (en) 1998-09-09 2002-03-19 Nippon Telegraph And Telephone Corporation Pattern formation method and apparatus
US6492277B1 (en) * 1999-09-10 2002-12-10 Hitachi, Ltd. Specimen surface processing method and apparatus
US6277753B1 (en) * 1998-09-28 2001-08-21 Supercritical Systems Inc. Removal of CMP residue from semiconductors using supercritical carbon dioxide process
US6110232A (en) 1998-10-01 2000-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for preventing corrosion in load-lock chambers
DE19860084B4 (en) 1998-12-23 2005-12-22 Infineon Technologies Ag Method for structuring a substrate
US6344174B1 (en) * 1999-01-25 2002-02-05 Mine Safety Appliances Company Gas sensor
EP1024524A2 (en) * 1999-01-27 2000-08-02 Matsushita Electric Industrial Co., Ltd. Deposition of dielectric layers using supercritical CO2
US6232238B1 (en) 1999-02-08 2001-05-15 United Microelectronics Corp. Method for preventing corrosion of bonding pad on a surface of a semiconductor wafer
US6305677B1 (en) 1999-03-30 2001-10-23 Lam Research Corporation Perimeter wafer lifting
US6241825B1 (en) 1999-04-16 2001-06-05 Cutek Research Inc. Compliant wafer chuck
KR100421034B1 (en) 1999-04-21 2004-03-04 삼성전자주식회사 Resist composition and fine pattern forming method using the same
US6520767B1 (en) * 1999-04-26 2003-02-18 Supercritical Combusion Corporation Fuel delivery system for combusting fuel mixtures
KR100290852B1 (en) 1999-04-29 2001-05-15 구자홍 method for etching
US6128830A (en) 1999-05-15 2000-10-10 Dean Bettcher Apparatus and method for drying solid articles
US6245849B1 (en) 1999-06-02 2001-06-12 Sandia Corporation Fabrication of ceramic microstructures from polymer compositions containing ceramic nanoparticles
US6436824B1 (en) 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
US6487994B2 (en) 1999-07-23 2002-12-03 Supercritical Combustion Corporation Sub-critical water-fuel composition and combustion system
JP2003506646A (en) 1999-08-05 2003-02-18 エス.シー.フルーイズ,インコーポレイテッド Inverted pressure vessel with horizontal penetration mounting
US6508259B1 (en) * 1999-08-05 2003-01-21 S.C. Fluids, Inc. Inverted pressure vessel with horizontal through loading
DE10038219A1 (en) 1999-08-05 2001-05-03 Tokyo Electron Ltd Cleaning device and treatment method for preventing oxidation in metal wire coating has a treatment cleaning container, a fluid reservoir to store cleaning fluid, feeder pipes to supply cleaning fluid and return-flow pipes.
US6334266B1 (en) * 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6251250B1 (en) 1999-09-03 2001-06-26 Arthur Keigler Method of and apparatus for controlling fluid flow and electric fields involved in the electroplating of substantially flat workpieces and the like and more generally controlling fluid flow in the processing of other work piece surfaces as well
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6355072B1 (en) * 1999-10-15 2002-03-12 R.R. Street & Co. Inc. Cleaning system utilizing an organic cleaning solvent and a pressurized fluid solvent
US6858089B2 (en) * 1999-10-29 2005-02-22 Paul P. Castrucci Apparatus and method for semiconductor wafer cleaning
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
JP4621400B2 (en) 1999-11-02 2011-01-26 東京エレクトロン株式会社 Semiconductor substrate processing equipment
US6286231B1 (en) 2000-01-12 2001-09-11 Semitool, Inc. Method and apparatus for high-pressure wafer processing and drying
US6361696B1 (en) * 2000-01-19 2002-03-26 Aeronex, Inc. Self-regenerative process for contaminant removal from liquid and supercritical CO2 fluid streams
WO2001055628A1 (en) 2000-01-26 2001-08-02 Tokyo Electron Limited High pressure lift valve for use in semiconductor processing environment
WO2001074538A1 (en) 2000-03-13 2001-10-11 The Deflex Llc Dense fluid spray cleaning process and apparatus
US6802961B2 (en) 2000-03-13 2004-10-12 David P. Jackson Dense fluid cleaning centrifugal phase shifting separation process and apparatus
JP2001291713A (en) 2000-04-07 2001-10-19 Canon Sales Co Inc Film forming method and semiconductor device
US6558475B1 (en) 2000-04-10 2003-05-06 International Business Machines Corporation Process for cleaning a workpiece using supercritical carbon dioxide
EP1425115A4 (en) 2000-04-18 2006-03-01 S C Fluids Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
AU2001255656A1 (en) 2000-04-25 2001-11-07 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6492090B2 (en) 2000-04-28 2002-12-10 Shin-Etsu Chemical Co., Ltd. Polymers, resist compositions and patterning process
US6487792B2 (en) 2000-05-08 2002-12-03 Tokyo Electron Limited Method and apparatus for agitation of workpiece in high pressure environment
AU2001275116A1 (en) 2000-06-02 2001-12-17 Tokyo Electron Limited Dual diaphragm pump
US6319858B1 (en) 2000-07-11 2001-11-20 Nano-Architect Research Corporation Methods for reducing a dielectric constant of a dielectric film and for forming a low dielectric constant porous film
KR100750018B1 (en) 2000-07-26 2007-08-16 동경 엘렉트론 주식회사 High pressure processing chamber for semiconductor substrate
WO2002011191A2 (en) 2000-07-31 2002-02-07 The Deflex Llc Near critical and supercritical ozone substrate treatment and apparatus for same
WO2002009894A2 (en) 2000-08-01 2002-02-07 The Deflex Llc Gas-vapor cleaning method and system therefor
EP1309990A1 (en) 2000-08-14 2003-05-14 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6486078B1 (en) 2000-08-22 2002-11-26 Advanced Micro Devices, Inc. Super critical drying of low k materials
AU2001288402A1 (en) 2000-08-23 2002-03-04 Deflex Llc Surface cleaning and modification processes, methods and apparatus using physicochemically modified dense fluid sprays
US6413852B1 (en) 2000-08-31 2002-07-02 International Business Machines Corporation Method of forming multilevel interconnect structure containing air gaps including utilizing both sacrificial and placeholder material
EP1315927A4 (en) 2000-09-07 2005-06-01 Cmb Ind Short-length reduced-pressure backflow preventor
US6388317B1 (en) 2000-09-25 2002-05-14 Lockheed Martin Corporation Solid-state chip cooling by use of microchannel coolant flow
WO2002043118A2 (en) 2000-11-02 2002-05-30 California Institute Of Technology Wafer-level transfer of membranes in semiconductor processing
US6623355B2 (en) 2000-11-07 2003-09-23 Micell Technologies, Inc. Methods, apparatus and slurries for chemical mechanical planarization
US6418956B1 (en) 2000-11-15 2002-07-16 Plast-O-Matic Valves, Inc. Pressure controller
US6673521B2 (en) * 2000-12-12 2004-01-06 Lnternational Business Machines Corporation Supercritical fluid(SCF) silylation process
US6576138B2 (en) 2000-12-14 2003-06-10 Praxair Technology, Inc. Method for purifying semiconductor gases
US6656666B2 (en) 2000-12-22 2003-12-02 International Business Machines Corporation Topcoat process to prevent image collapse
US6425956B1 (en) 2001-01-05 2002-07-30 International Business Machines Corporation Process for removing chemical mechanical polishing residual slurry
US20020117391A1 (en) 2001-01-31 2002-08-29 Beam Craig A. High purity CO2 and BTEX recovery
JP2002237481A (en) 2001-02-09 2002-08-23 Kobe Steel Ltd Method of cleaning microscopic structure
US6669916B2 (en) 2001-02-12 2003-12-30 Praxair Technology, Inc. Method and apparatus for purifying carbon dioxide feed streams
US6641678B2 (en) 2001-02-15 2003-11-04 Micell Technologies, Inc. Methods for cleaning microelectronic structures with aqueous carbon dioxide systems
US6613157B2 (en) 2001-02-15 2003-09-02 Micell Technologies, Inc. Methods for removing particles from microelectronic structures
US6905555B2 (en) 2001-02-15 2005-06-14 Micell Technologies, Inc. Methods for transferring supercritical fluids in microelectronic and other industrial processes
US6596093B2 (en) 2001-02-15 2003-07-22 Micell Technologies, Inc. Methods for cleaning microelectronic structures with cyclical phase modulation
US6562146B1 (en) 2001-02-15 2003-05-13 Micell Technologies, Inc. Processes for cleaning and drying microelectronic structures using liquid or supercritical carbon dioxide
US6635565B2 (en) 2001-02-20 2003-10-21 United Microelectronics Corp. Method of cleaning a dual damascene structure
US6451510B1 (en) 2001-02-21 2002-09-17 International Business Machines Corporation Developer/rinse formulation to prevent image collapse in resist
US6685903B2 (en) * 2001-03-01 2004-02-03 Praxair Technology, Inc. Method of purifying and recycling argon
US6503837B2 (en) * 2001-03-29 2003-01-07 Macronix International Co. Ltd. Method of rinsing residual etching reactants/products on a semiconductor wafer
US20020189543A1 (en) 2001-04-10 2002-12-19 Biberger Maximilian A. High pressure processing chamber for semiconductor substrate including flow enhancing features
US6561220B2 (en) 2001-04-23 2003-05-13 International Business Machines, Corp. Apparatus and method for increasing throughput in fluid processing
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6958123B2 (en) 2001-06-15 2005-10-25 Reflectivity, Inc Method for removing a sacrificial material with a compressed fluid
US6509136B1 (en) * 2001-06-27 2003-01-21 International Business Machines Corporation Process of drying a cast polymeric film disposed on a workpiece
US6890855B2 (en) 2001-06-27 2005-05-10 International Business Machines Corporation Process of removing residue material from a precision surface
US6979654B2 (en) 2001-07-03 2005-12-27 United Microelectronics Corp. Method of avoiding dielectric layer deterioation with a low dielectric constant during a stripping process
US6583067B2 (en) 2001-07-03 2003-06-24 United Microelectronics Corp. Method of avoiding dielectric layer deterioration with a low dielectric constant
US6564826B2 (en) 2001-07-24 2003-05-20 Der-Fan Shen Flow regulator for water pump
US6561767B2 (en) 2001-08-01 2003-05-13 Berger Instruments, Inc. Converting a pump for use in supercritical fluid chromatography
US6561481B1 (en) 2001-08-13 2003-05-13 Filonczuk Michael A Fluid flow control apparatus for controlling and delivering fluid at a continuously variable flow rate
US6748966B1 (en) 2001-09-04 2004-06-15 Steven G. Dvorak Combination air gap for dish washer and soap dispenser
US20040040660A1 (en) 2001-10-03 2004-03-04 Biberger Maximilian Albert High pressure processing chamber for multiple semiconductor substrates
JP3978023B2 (en) 2001-12-03 2007-09-19 株式会社神戸製鋼所 High pressure processing method
US6550484B1 (en) 2001-12-07 2003-04-22 Novellus Systems, Inc. Apparatus for maintaining wafer back side and edge exclusion during supercritical fluid processing
US7326673B2 (en) 2001-12-31 2008-02-05 Advanced Technology Materials, Inc. Treatment of semiconductor substrates using long-chain organothiols or long-chain acetates
JP2005516405A (en) 2002-01-25 2005-06-02 東京エレクトロン株式会社 Method for reducing the formation of contaminants during a supercritical carbon dioxide process
JP2003224099A (en) 2002-01-30 2003-08-08 Sony Corp Surface treatment method
US6924086B1 (en) 2002-02-15 2005-08-02 Tokyo Electron Limited Developing photoresist with supercritical fluid and developer
AU2003217547A1 (en) 2002-02-15 2003-09-09 Supercritical Systems Inc. Drying resist with a solvent bath and supercritical co2
WO2003077032A1 (en) 2002-03-04 2003-09-18 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
US6953654B2 (en) 2002-03-14 2005-10-11 Tokyo Electron Limited Process and apparatus for removing a contaminant from a substrate
US6521466B1 (en) * 2002-04-17 2003-02-18 Paul Castrucci Apparatus and method for semiconductor wafer test yield enhancement
US20030196679A1 (en) 2002-04-18 2003-10-23 International Business Machines Corporation Process and apparatus for contacting a precision surface with liquid or supercritical carbon dioxide
US6764552B1 (en) * 2002-04-18 2004-07-20 Novellus Systems, Inc. Supercritical solutions for cleaning photoresist and post-etch residue from low-k materials
JP4026750B2 (en) 2002-04-24 2007-12-26 東京エレクトロン株式会社 Substrate processing equipment
US6669785B2 (en) 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
US20040011386A1 (en) * 2002-07-17 2004-01-22 Scp Global Technologies Inc. Composition and method for removing photoresist and/or resist residue using supercritical fluids
US6989358B2 (en) 2002-10-31 2006-01-24 Advanced Technology Materials, Inc. Supercritical carbon dioxide/chemical formulation for removal of photoresists
US20040091813A1 (en) * 2002-11-05 2004-05-13 Honeywell International Inc. Fluorinated polymers
US6722642B1 (en) 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US20040177867A1 (en) 2002-12-16 2004-09-16 Supercritical Systems, Inc. Tetra-organic ammonium fluoride and HF in supercritical fluid for photoresist and residue removal
US20040136770A1 (en) * 2003-01-09 2004-07-15 Audrey Muhr-Sweeney Dual cleaning apparatus
US7077917B2 (en) 2003-02-10 2006-07-18 Tokyo Electric Limited High-pressure processing chamber for a semiconductor wafer
US6875285B2 (en) * 2003-04-24 2005-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for dampening high pressure impact on porous materials
US20040221871A1 (en) * 2003-05-07 2004-11-11 Fletcher Matthew F. Semiconductor wafer processing apparatus and method therefor
US7345000B2 (en) 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
JP2005187879A (en) 2003-12-25 2005-07-14 Tokyo Electron Ltd Film-forming apparatus and film-forming method
US20050203789A1 (en) 2004-03-15 2005-09-15 Tokyo Electron Limited Activity management system and method of using
US20050216228A1 (en) 2004-03-15 2005-09-29 Tokyo Electron Limited Method and system for correcting a fault in a semiconductor manufacturing system

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004008249A2 (en) * 2002-07-17 2004-01-22 Scp Global Technologies, Inc. Compositions and method for removing photoresist and/or resist residue
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US20050077597A1 (en) * 2003-10-10 2005-04-14 Tokyo Electron Limited Method and system for treating a dielectric film
US20060003592A1 (en) * 2004-06-30 2006-01-05 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US20060102204A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited Method for removing a residue from a substrate using supercritical carbon dioxide processing

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
WEIBEL G L ET AL: "An overview of supercritical CO2 applications in microelectronics processing" MICROELECTRONIC ENGINEERING, ELSEVIER PUBLISHERS BV., AMSTERDAM, NL, vol. 65, no. 1-2, January 2003 (2003-01), pages 145-152, XP004392358 ISSN: 0167-9317 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11515178B2 (en) 2020-03-16 2022-11-29 Tokyo Electron Limited System and methods for wafer drying

Also Published As

Publication number Publication date
WO2006124321A3 (en) 2007-01-11
TWI326110B (en) 2010-06-11
US20060254615A1 (en) 2006-11-16
US7789971B2 (en) 2010-09-07
TW200717627A (en) 2007-05-01

Similar Documents

Publication Publication Date Title
US7789971B2 (en) Treatment of substrate using functionalizing agent in supercritical carbon dioxide
US20070012337A1 (en) In-line metrology for supercritical fluid processing
US20060226117A1 (en) Phase change based heating element system and method
US20050191865A1 (en) Treatment of a dielectric layer using supercritical CO2
US7435447B2 (en) Method and system for determining flow conditions in a high pressure processing system
US7291565B2 (en) Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US20050227187A1 (en) Ionic fluid in supercritical fluid for semiconductor processing
US20060102208A1 (en) System for removing a residue from a substrate using supercritical carbon dioxide processing
US20060180572A1 (en) Removal of post etch residue for a substrate with open metal surfaces
US20060185693A1 (en) Cleaning step in supercritical processing
US7524383B2 (en) Method and system for passivating a processing chamber
US20060225769A1 (en) Isothermal control of a process chamber
US20060223899A1 (en) Removal of porogens and porogen residues using supercritical CO2
US20060186088A1 (en) Etching and cleaning BPSG material using supercritical processing
US20060180174A1 (en) Method and system for treating a substrate with a high pressure fluid using a peroxide-based process chemistry in conjunction with an initiator
US7442636B2 (en) Method of inhibiting copper corrosion during supercritical CO2 cleaning
US20060255012A1 (en) Removal of particles from substrate surfaces using supercritical processing
US20060185694A1 (en) Rinsing step in supercritical processing
US7767145B2 (en) High pressure fourier transform infrared cell
US20060102590A1 (en) Method for treating a substrate with a high pressure fluid using a preoxide-based process chemistry
US20060219268A1 (en) Neutralization of systemic poisoning in wafer processing
US20070000519A1 (en) Removal of residues for low-k dielectric materials in wafer processing
US20060225772A1 (en) Controlled pressure differential in a high-pressure processing chamber
WO2006091316A2 (en) Improved rinsing step in supercritical processing
US7399708B2 (en) Method of treating a composite spin-on glass/anti-reflective material prior to cleaning

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

NENP Non-entry into the national phase

Ref country code: RU

122 Ep: pct application non-entry in european phase

Ref document number: 06752275

Country of ref document: EP

Kind code of ref document: A2