WO2006136845A3 - Integrated circuit routing and compaction - Google Patents

Integrated circuit routing and compaction Download PDF

Info

Publication number
WO2006136845A3
WO2006136845A3 PCT/GB2006/002311 GB2006002311W WO2006136845A3 WO 2006136845 A3 WO2006136845 A3 WO 2006136845A3 GB 2006002311 W GB2006002311 W GB 2006002311W WO 2006136845 A3 WO2006136845 A3 WO 2006136845A3
Authority
WO
WIPO (PCT)
Prior art keywords
nets
integrated circuit
rows
compaction
channel
Prior art date
Application number
PCT/GB2006/002311
Other languages
French (fr)
Other versions
WO2006136845A2 (en
Inventor
Mark Waller
Original Assignee
Pulsic Ltd
Mark Waller
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Pulsic Ltd, Mark Waller filed Critical Pulsic Ltd
Publication of WO2006136845A2 publication Critical patent/WO2006136845A2/en
Publication of WO2006136845A3 publication Critical patent/WO2006136845A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

An iterative technique (401) is used to automatically route nets and alter spacing of an integrated circuit design to achieve a fully routed and compact result. After identifying cells rows and channel (405) , which are gaps between the rows, the technique determines which nets should be routed in which areas (408) . Spine routing is used for nets than span more than one row or channel (411) . The space is altered between rows, larger or smaller, which will allow routing of the nets .
PCT/GB2006/002311 2005-06-24 2006-06-23 Integrated circuit routing and compaction WO2006136845A2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US59535105P 2005-06-24 2005-06-24
US60/595,351 2005-06-24
US11/425,828 US7603644B2 (en) 2005-06-24 2006-06-22 Integrated circuit routing and compaction
US11/425,828 2006-06-22

Publications (2)

Publication Number Publication Date
WO2006136845A2 WO2006136845A2 (en) 2006-12-28
WO2006136845A3 true WO2006136845A3 (en) 2007-04-19

Family

ID=36754194

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/GB2006/002311 WO2006136845A2 (en) 2005-06-24 2006-06-23 Integrated circuit routing and compaction

Country Status (2)

Country Link
US (4) US7603644B2 (en)
WO (1) WO2006136845A2 (en)

Families Citing this family (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8095903B2 (en) * 2004-06-01 2012-01-10 Pulsic Limited Automatically routing nets with variable spacing
US7257797B1 (en) * 2004-06-07 2007-08-14 Pulsic Limited Method of automatic shape-based routing of interconnects in spines for integrated circuit design
US7617464B2 (en) * 2005-05-20 2009-11-10 Synopsys, Inc. Verifying an IC layout in individual regions and combining results
WO2007074402A2 (en) * 2005-06-21 2007-07-05 Pulsic Limited High-speed shape-based router
WO2007050799A2 (en) * 2005-10-24 2007-05-03 Clearshape Technologies, Inc. Incorporating manufacturing variations in the analysis of integrated circuit design
US7363607B2 (en) 2005-11-08 2008-04-22 Pulsic Limited Method of automatically routing nets according to parasitic constraint rules
US7302662B2 (en) * 2006-03-28 2007-11-27 National Tsing Hua University Method for post-routing redundant via insertion in integrated circuit layout
US20080148213A1 (en) * 2006-11-15 2008-06-19 Moussadek Belaidi Routing method for reducing coupling between wires of an electronic circuit
US8032851B2 (en) * 2006-11-15 2011-10-04 International Business Machines Corporation Structure for an integrated circuit design for reducing coupling between wires of an electronic circuit
JP2008204349A (en) * 2007-02-22 2008-09-04 Fujitsu Ltd Layout design program, recording medium with the same program recorded, layout design method and layout design device
US8037441B2 (en) * 2007-09-25 2011-10-11 International Business Machines Corporation Gridded-router based wiring on a non-gridded library
JP2009282638A (en) * 2008-05-20 2009-12-03 Nec Electronics Corp Layout design system, layout design method and program
US8683417B2 (en) 2010-11-29 2014-03-25 Synopsys Taiwan Co., Ltd Multiple level spine routing
US8671376B2 (en) * 2012-03-28 2014-03-11 Avago Technologies General Ip (Singapore) Pte. Ltd. Computer system and method for performing a routing supply and demand analysis during the floor planning stage of an integrated circuit design process
US9244880B2 (en) 2012-08-30 2016-01-26 Netspeed Systems Automatic construction of deadlock free interconnects
US8885510B2 (en) 2012-10-09 2014-11-11 Netspeed Systems Heterogeneous channel capacities in an interconnect
US8601423B1 (en) 2012-10-23 2013-12-03 Netspeed Systems Asymmetric mesh NoC topologies
US9774498B2 (en) 2012-12-21 2017-09-26 Netspeed Systems Hierarchical asymmetric mesh with virtual routers
US9185026B2 (en) 2012-12-21 2015-11-10 Netspeed Systems Tagging and synchronization for fairness in NOC interconnects
US9253085B2 (en) 2012-12-21 2016-02-02 Netspeed Systems Hierarchical asymmetric mesh with virtual routers
US8893073B2 (en) * 2012-12-27 2014-11-18 Synopsys, Inc. Displaying a congestion indicator for a channel in a circuit design layout
US9007920B2 (en) 2013-01-18 2015-04-14 Netspeed Systems QoS in heterogeneous NoC by assigning weights to NoC node channels and using weighted arbitration at NoC nodes
US9009648B2 (en) 2013-01-18 2015-04-14 Netspeed Systems Automatic deadlock detection and avoidance in a system interconnect by capturing internal dependencies of IP cores using high level specification
US9130856B2 (en) 2013-01-28 2015-09-08 Netspeed Systems Creating multiple NoC layers for isolation or avoiding NoC traffic congestion
US8934377B2 (en) 2013-03-11 2015-01-13 Netspeed Systems Reconfigurable NoC for customizing traffic and optimizing performance after NoC synthesis
US9160627B2 (en) 2013-04-04 2015-10-13 Netspeed Systems Multiple heterogeneous NoC layers
US9035679B2 (en) 2013-05-03 2015-05-19 Globalfoundries Inc. Standard cell connection for circuit routing
US9185023B2 (en) 2013-05-03 2015-11-10 Netspeed Systems Heterogeneous SoC IP core placement in an interconnect to optimize latency and interconnect performance
US9571402B2 (en) 2013-05-03 2017-02-14 Netspeed Systems Congestion control and QoS in NoC by regulating the injection traffic
US10027433B2 (en) 2013-06-19 2018-07-17 Netspeed Systems Multiple clock domains in NoC
US9781043B2 (en) 2013-07-15 2017-10-03 Netspeed Systems Identification of internal dependencies within system components for evaluating potential protocol level deadlocks
US9471726B2 (en) 2013-07-25 2016-10-18 Netspeed Systems System level simulation in network on chip architecture
US9054977B2 (en) 2013-08-05 2015-06-09 Netspeed Systems Automatic NoC topology generation
US9473388B2 (en) 2013-08-07 2016-10-18 Netspeed Systems Supporting multicast in NOC interconnect
US9223711B2 (en) 2013-08-13 2015-12-29 Netspeed Systems Combining associativity and cuckoo hashing
TWI643082B (en) 2013-09-03 2018-12-01 美商新思科技股份有限公司 A method and system for routing a solution path
US10192019B2 (en) 2013-09-25 2019-01-29 Synopsys, Inc. Separation and minimum wire length constrained maze routing method and system
US9294354B2 (en) 2013-10-24 2016-03-22 Netspeed Systems Using multiple traffic profiles to design a network on chip
US9830265B2 (en) 2013-11-20 2017-11-28 Netspeed Systems, Inc. Reuse of directory entries for holding state information through use of multiple formats
US9158882B2 (en) 2013-12-19 2015-10-13 Netspeed Systems Automatic pipelining of NoC channels to meet timing and/or performance
US9721056B2 (en) 2013-12-19 2017-08-01 Synopsys, Inc. Method for wire widening in circuit routing system
US9881118B2 (en) * 2013-12-20 2018-01-30 Synopsys, Inc. IR-aware sneak routing
US9699079B2 (en) 2013-12-30 2017-07-04 Netspeed Systems Streaming bridge design with host interfaces and network on chip (NoC) layers
US9473415B2 (en) 2014-02-20 2016-10-18 Netspeed Systems QoS in a system with end-to-end flow control and QoS aware buffer allocation
US9319232B2 (en) 2014-04-04 2016-04-19 Netspeed Systems Integrated NoC for performing data communication and NoC functions
US9165102B1 (en) 2014-04-07 2015-10-20 Freescale Semiconductor, Inc. Routing standard cell-based integrated circuits
US9762474B2 (en) 2014-04-07 2017-09-12 Netspeed Systems Systems and methods for selecting a router to connect a bridge in the network on chip (NoC)
US9244845B2 (en) 2014-05-12 2016-01-26 Netspeed Systems System and method for improving snoop performance
US9473359B2 (en) 2014-06-06 2016-10-18 Netspeed Systems Transactional traffic specification for network-on-chip design
US9535848B2 (en) 2014-06-18 2017-01-03 Netspeed Systems Using cuckoo movement for improved cache coherency
US9780040B1 (en) 2014-08-07 2017-10-03 Altera Corporation Integrated circuit package substrates having a common die dependent region and methods for designing the same
US10528682B2 (en) 2014-09-04 2020-01-07 Netspeed Systems Automatic performance characterization of a network-on-chip (NOC) interconnect
US9742630B2 (en) 2014-09-22 2017-08-22 Netspeed Systems Configurable router for a network on chip (NoC)
US9477280B1 (en) 2014-09-24 2016-10-25 Netspeed Systems Specification for automatic power management of network-on-chip and system-on-chip
US10042404B2 (en) 2014-09-26 2018-08-07 Netspeed Systems Automatic generation of power management sequence in a SoC or NoC
US9571341B1 (en) 2014-10-01 2017-02-14 Netspeed Systems Clock gating for system-on-chip elements
US9529400B1 (en) 2014-10-29 2016-12-27 Netspeed Systems Automatic power domain and voltage domain assignment to system-on-chip agents and network-on-chip elements
US10097182B2 (en) 2014-12-31 2018-10-09 Stmicroelectronics, Inc. Integrated circuit layout wiring for multi-core chips
US10102327B2 (en) 2014-12-31 2018-10-16 Stmicroelectronics, Inc. Integrated circuit layout wiring for multi-core chips
US9660942B2 (en) 2015-02-03 2017-05-23 Netspeed Systems Automatic buffer sizing for optimal network-on-chip design
US9444702B1 (en) 2015-02-06 2016-09-13 Netspeed Systems System and method for visualization of NoC performance based on simulation output
US9568970B1 (en) 2015-02-12 2017-02-14 Netspeed Systems, Inc. Hardware and software enabled implementation of power profile management instructions in system on chip
US9928204B2 (en) 2015-02-12 2018-03-27 Netspeed Systems, Inc. Transaction expansion for NoC simulation and NoC design
US10050843B2 (en) 2015-02-18 2018-08-14 Netspeed Systems Generation of network-on-chip layout based on user specified topological constraints
US10348563B2 (en) 2015-02-18 2019-07-09 Netspeed Systems, Inc. System-on-chip (SoC) optimization through transformation and generation of a network-on-chip (NoC) topology
US9864728B2 (en) 2015-05-29 2018-01-09 Netspeed Systems, Inc. Automatic generation of physically aware aggregation/distribution networks
US9825809B2 (en) 2015-05-29 2017-11-21 Netspeed Systems Dynamically configuring store-and-forward channels and cut-through channels in a network-on-chip
US10218580B2 (en) 2015-06-18 2019-02-26 Netspeed Systems Generating physically aware network-on-chip design from a physical system-on-chip specification
CN108604106B (en) * 2016-02-08 2021-06-01 科欧罗基克斯有限公司 Side channel aware automatic placement and routing
US9859891B1 (en) 2016-06-24 2018-01-02 Qualcomm Incorporated Standard cell architecture for reduced parasitic resistance and improved datapath speed
US10452124B2 (en) 2016-09-12 2019-10-22 Netspeed Systems, Inc. Systems and methods for facilitating low power on a network-on-chip
US20180159786A1 (en) 2016-12-02 2018-06-07 Netspeed Systems, Inc. Interface virtualization and fast path for network on chip
US10313269B2 (en) 2016-12-26 2019-06-04 Netspeed Systems, Inc. System and method for network on chip construction through machine learning
US10063496B2 (en) 2017-01-10 2018-08-28 Netspeed Systems Inc. Buffer sizing of a NoC through machine learning
US10084725B2 (en) 2017-01-11 2018-09-25 Netspeed Systems, Inc. Extracting features from a NoC for machine learning construction
US10469337B2 (en) 2017-02-01 2019-11-05 Netspeed Systems, Inc. Cost management against requirements for the generation of a NoC
US10298485B2 (en) 2017-02-06 2019-05-21 Netspeed Systems, Inc. Systems and methods for NoC construction
US10547514B2 (en) 2018-02-22 2020-01-28 Netspeed Systems, Inc. Automatic crossbar generation and router connections for network-on-chip (NOC) topology generation
US11144457B2 (en) 2018-02-22 2021-10-12 Netspeed Systems, Inc. Enhanced page locality in network-on-chip (NoC) architectures
US10896476B2 (en) 2018-02-22 2021-01-19 Netspeed Systems, Inc. Repository of integration description of hardware intellectual property for NoC construction and SoC integration
US10983910B2 (en) 2018-02-22 2021-04-20 Netspeed Systems, Inc. Bandwidth weighting mechanism based network-on-chip (NoC) configuration
US11176302B2 (en) 2018-02-23 2021-11-16 Netspeed Systems, Inc. System on chip (SoC) builder
US11023377B2 (en) 2018-02-23 2021-06-01 Netspeed Systems, Inc. Application mapping on hardened network-on-chip (NoC) of field-programmable gate array (FPGA)
US10860762B2 (en) 2019-07-11 2020-12-08 Intel Corpration Subsystem-based SoC integration

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4613941A (en) * 1985-07-02 1986-09-23 The United States Of America As Represented By The Secretary Of The Army Routing method in computer aided customization of a two level automated universal array
US4835705A (en) * 1986-02-17 1989-05-30 Mitsubishi Denki Kabushiki Kaisha Interconnection area decision processor
US5047949A (en) * 1987-06-10 1991-09-10 Matsushita Electric Industrial Co., Ltd. Standard cell LSI layout method
WO1996024904A1 (en) * 1995-02-07 1996-08-15 Silicon Valley Research, Inc. Integrated circuit layout
US7065729B1 (en) * 1998-10-19 2006-06-20 Chapman David C Approach for routing an integrated circuit

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59198733A (en) 1983-04-26 1984-11-10 Mitsubishi Electric Corp Semiconductor integrated circuit device
US4615011A (en) 1983-12-19 1986-09-30 Ibm Iterative method for establishing connections and resulting product
US4777606A (en) 1986-06-05 1988-10-11 Northern Telecom Limited Method for deriving an interconnection route between elements in an interconnection medium
US4965739A (en) 1987-03-26 1990-10-23 Vlsi Technology, Inc. Machine process for routing interconnections from one module to another module and for positioning said two modules after said modules are interconnected
US4815003A (en) 1987-06-19 1989-03-21 General Electric Company Structured design method for high density standard cell and macrocell layout of VLSI chips
US4782193A (en) 1987-09-25 1988-11-01 Ibm Corp. Polygonal wiring for improved package performance
US4855253A (en) 1988-01-29 1989-08-08 Hewlett-Packard Test method for random defects in electronic microstructures
US5072402A (en) 1989-10-10 1991-12-10 Vlsi Technology, Inc. Routing system and method for integrated circuits
JPH03188650A (en) 1989-12-18 1991-08-16 Hitachi Ltd Routing method, routing system and semiconductor integrated circuit
JP2663680B2 (en) 1990-05-24 1997-10-15 松下電器産業株式会社 Channel wiring method
JP3011510B2 (en) 1990-12-20 2000-02-21 株式会社東芝 Semiconductor device having interconnected circuit board and method of manufacturing the same
US5439636A (en) 1992-02-18 1995-08-08 International Business Machines Corporation Large ceramic articles and method of manufacturing
US5353235A (en) 1992-06-17 1994-10-04 Vlsi Technology, Inc. Wire length minimization in channel compactor
US5416722A (en) 1992-11-19 1995-05-16 Vlsi Technology, Inc. System and method for compacting integrated circuit layouts
US5723908A (en) 1993-03-11 1998-03-03 Kabushiki Kaisha Toshiba Multilayer wiring structure
US5500804A (en) 1993-12-08 1996-03-19 International Business Machines Corporation Method to optimize the wiring of multiple wiring media packages
WO1995020197A1 (en) 1994-01-25 1995-07-27 Advantage Logic, Inc. Apparatus and method for partitioning resources for interconnections
US5550748A (en) 1994-03-22 1996-08-27 Cadence Design Systems, Inc. Region search for delay routing and signal net matching
JP2687879B2 (en) 1994-05-26 1997-12-08 日本電気株式会社 Automatic wiring method
US5587923A (en) 1994-09-07 1996-12-24 Lsi Logic Corporation Method for estimating routability and congestion in a cell placement for integrated circuit chip
JP3410829B2 (en) 1994-09-16 2003-05-26 株式会社東芝 MOS gate type semiconductor device
US5822214A (en) 1994-11-02 1998-10-13 Lsi Logic Corporation CAD for hexagonal architecture
US5889329A (en) 1994-11-02 1999-03-30 Lsi Logic Corporation Tri-directional interconnect architecture for SRAM
US5811863A (en) 1994-11-02 1998-09-22 Lsi Logic Corporation Transistors having dynamically adjustable characteristics
US5650653A (en) 1995-05-10 1997-07-22 Lsi Logic Corporation Microelectronic integrated circuit including triangular CMOS "nand" gate device
US5981384A (en) 1995-08-14 1999-11-09 Micron Technology, Inc. Method of intermetal dielectric planarization by metal features layout modification
US5637920A (en) 1995-10-04 1997-06-10 Lsi Logic Corporation High contact density ball grid array package for flip-chips
JPH0998970A (en) 1995-10-06 1997-04-15 Canon Inc X-ray photographing equipment
JP3352583B2 (en) 1996-03-04 2002-12-03 インターナショナル・ビジネス・マシーンズ・コーポレーション Wiring path search method and apparatus, and inspection-free critical cut detection method and apparatus
US6219823B1 (en) 1996-11-26 2001-04-17 International Business Machines Corporation Method and apparatus for deciding a wiring route and for detecting a critical cut
US6150193A (en) 1996-10-31 2000-11-21 Amkor Technology, Inc. RF shielded device
US5980093A (en) 1996-12-04 1999-11-09 Lsi Logic Corporation Integrated circuit layout routing using multiprocessing
US6263475B1 (en) 1997-11-17 2001-07-17 Matsushita Electric Industrial Co., Ltd. Method for optimizing component placement in designing a semiconductor device by using a cost value
JP3120838B2 (en) 1998-03-24 2000-12-25 日本電気株式会社 Graphic layout compression system and graphic layout compression method
US6260183B1 (en) 1998-04-17 2001-07-10 Lsi Logic Corporation Method and apparatus for coarse global routing
US6324674B2 (en) 1998-04-17 2001-11-27 Lsi Logic Corporation Method and apparatus for parallel simultaneous global and detail routing
US6262487B1 (en) 1998-06-23 2001-07-17 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device, semiconductor integrated circuit wiring method, and cell arranging method
US6111756A (en) 1998-09-11 2000-08-29 Fujitsu Limited Universal multichip interconnect systems
JP2002527830A (en) * 1998-10-13 2002-08-27 モトローラ・インコーポレイテッド Channel relay method and apparatus
US6307256B1 (en) 1998-10-26 2001-10-23 Apack Technologies Inc. Semiconductor package with a stacked chip on a leadframe
US6282693B1 (en) 1998-12-16 2001-08-28 Synopsys, Inc. Non-linear optimization system and method for wire length and density within an automatic electronic circuit placer
US6301693B1 (en) 1998-12-16 2001-10-09 Synopsys, Inc. Non-linear optimization system and method for wire length and delay optimization for an automatic electric circuit placer
US6349403B1 (en) * 1998-12-18 2002-02-19 Synopsys, Inc. Interative, gridless, cost-based layer assignment coarse router for computer controlled IC design
US6324675B1 (en) 1998-12-18 2001-11-27 Synopsys, Inc. Efficient iterative, gridless, cost-based fine router for computer controlled integrated circuit design
JP4227304B2 (en) 1998-12-22 2009-02-18 富士通株式会社 Outline wiring method and apparatus, and recording medium storing outline wiring program
JP3077757B2 (en) 1999-02-02 2000-08-14 日本電気株式会社 Layout compaction method and layout compaction apparatus
US6507941B1 (en) 1999-04-28 2003-01-14 Magma Design Automation, Inc. Subgrid detailed routing
JP2001127246A (en) 1999-10-29 2001-05-11 Fujitsu Ltd Semiconductor device
JP3390393B2 (en) 1999-12-21 2003-03-24 エヌイーシーマイクロシステム株式会社 Wiring method of automatic placement and routing system and recording medium recording wiring method of automatic placement and routing system
US6480993B1 (en) 1999-12-28 2002-11-12 Intel Corporation Accurate layout modeling for centerline-based detail routing
US6510545B1 (en) 2000-01-19 2003-01-21 Sun Microsystems, Inc. Automated shielding algorithm for dynamic circuits
US6408427B1 (en) 2000-02-22 2002-06-18 The Regents Of The University Of California Wire width planning and performance optimization for VLSI interconnects
US6543043B1 (en) 2000-06-01 2003-04-01 Cadence Design Systems, Inc. Inter-region constraint-based router for use in electronic design automation
US6323097B1 (en) 2000-06-09 2001-11-27 Taiwan Semiconductor Manufacturing Company Electrical overlay/spacing monitor method using a ladder resistor
US6480996B1 (en) 2000-07-06 2002-11-12 Sun Microsystems, Inc. System and method for transposing wires in a circuit design
US6516455B1 (en) 2000-12-06 2003-02-04 Cadence Design Systems, Inc. Partitioning placement method using diagonal cutlines
US7055120B2 (en) 2000-12-06 2006-05-30 Cadence Design Systems, Inc. Method and apparatus for placing circuit modules
US6858928B1 (en) 2000-12-07 2005-02-22 Cadence Design Systems, Inc. Multi-directional wiring on a single metal layer
US6598215B2 (en) 2001-03-30 2003-07-22 Intel Corporation Datapath design methodology and routing apparatus
US6590289B2 (en) 2001-05-17 2003-07-08 Lsi Logic Corporation Hexadecagonal routing
US6895567B1 (en) 2001-06-03 2005-05-17 Cadence Design Systems, Inc. Method and arrangement for layout of gridless nonManhattan semiconductor integrated circuit designs
US6734472B2 (en) 2002-04-25 2004-05-11 Synplicity, Inc. Power and ground shield mesh to remove both capacitive and inductive signal coupling effects of routing in integrated circuit device
US7340711B2 (en) * 2004-06-04 2008-03-04 Cadence Design Systems, Inc. Method and apparatus for local preferred direction routing
US7257797B1 (en) * 2004-06-07 2007-08-14 Pulsic Limited Method of automatic shape-based routing of interconnects in spines for integrated circuit design

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4613941A (en) * 1985-07-02 1986-09-23 The United States Of America As Represented By The Secretary Of The Army Routing method in computer aided customization of a two level automated universal array
US4835705A (en) * 1986-02-17 1989-05-30 Mitsubishi Denki Kabushiki Kaisha Interconnection area decision processor
US5047949A (en) * 1987-06-10 1991-09-10 Matsushita Electric Industrial Co., Ltd. Standard cell LSI layout method
WO1996024904A1 (en) * 1995-02-07 1996-08-15 Silicon Valley Research, Inc. Integrated circuit layout
US7065729B1 (en) * 1998-10-19 2006-06-20 Chapman David C Approach for routing an integrated circuit

Also Published As

Publication number Publication date
US20130104095A1 (en) 2013-04-25
US8707239B2 (en) 2014-04-22
WO2006136845A2 (en) 2006-12-28
US20110276937A1 (en) 2011-11-10
US7603644B2 (en) 2009-10-13
US20060294488A1 (en) 2006-12-28
US7984411B2 (en) 2011-07-19
US8332799B2 (en) 2012-12-11
US20090327990A1 (en) 2009-12-31

Similar Documents

Publication Publication Date Title
WO2006136845A3 (en) Integrated circuit routing and compaction
DE60309017D1 (en) FUEL CELL ARRANGEMENT AND ASSOCIATED SEPARATOR
WO2007024910A3 (en) Splice chip device
DK1597382T3 (en) Genetically modified non-human mammals and cells
DE60336590D1 (en) FUEL CELL
TW200802995A (en) Solid state light emitting device and method of making same
NL1029240A1 (en) High-power switched amplifier with high reliability.
NO20044141D0 (en) Electrochemical fuel cells
WO2006024801A3 (en) Implant comprising one or more electrodes and corresponding insertion instrument
MX311052B (en) Insecticides based on selected neonicotinoids and strobilurins.
DE60326112D1 (en) The fuel cell system
FR2877407B1 (en) CONTRAROTATIVE TURBOMACHINE AND METHOD FOR ASSEMBLING THE SAME
DE60332583D1 (en) fuel cell stack
EP1714359A4 (en) 110 oriented group iv-vi semiconductor structure, and method for making and using the same
DE60325003D1 (en) fuel cell structure
DE602004020394D1 (en) fuel cell
DE60303345D1 (en) fuel cell
DK1665439T3 (en) solid oxide fuel cell
EP1705740A4 (en) Fuel for fuel cell, fuel cell and application thereof
NO20040649L (en) Connection device, fuel cell and fuel cell stack.
DE60317030D1 (en) Hydrophilic polyorganosiloxane composition
DE602004030528D1 (en) fuel cell stack
DE50313588D1 (en) FUEL CELL STACK
NL1021547A1 (en) Electrode-supported fuel cell.
WO2003042382A1 (en) ES CELLS WITH ENHANCED RNAi EFFECT

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Country of ref document: DE

122 Ep: pct application non-entry in european phase

Ref document number: 06755602

Country of ref document: EP

Kind code of ref document: A2