WO2007019269A3 - System and method for creating a focus-exposure model of a lithography process - Google Patents

System and method for creating a focus-exposure model of a lithography process Download PDF

Info

Publication number
WO2007019269A3
WO2007019269A3 PCT/US2006/030364 US2006030364W WO2007019269A3 WO 2007019269 A3 WO2007019269 A3 WO 2007019269A3 US 2006030364 W US2006030364 W US 2006030364W WO 2007019269 A3 WO2007019269 A3 WO 2007019269A3
Authority
WO
WIPO (PCT)
Prior art keywords
model
focus
exposure
creating
process window
Prior art date
Application number
PCT/US2006/030364
Other languages
French (fr)
Other versions
WO2007019269A2 (en
Inventor
Jun Ye
Yu Cao
Luoqi Chen
Hua-Yu Liu
Original Assignee
Brion Tech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brion Tech Inc filed Critical Brion Tech Inc
Priority to JP2008526083A priority Critical patent/JP4806020B2/en
Priority to CN2006800295124A priority patent/CN101258498B/en
Priority to EP06789361A priority patent/EP1920369A2/en
Publication of WO2007019269A2 publication Critical patent/WO2007019269A2/en
Publication of WO2007019269A3 publication Critical patent/WO2007019269A3/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70516Calibration of components of the microlithographic apparatus, e.g. light sources, addressable masks or detectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Abstract

A system and a method for creating a focus-exposure model of a lithography process are disclosed. The system and the method utilize calibration data along multiple dimensions of parameter variations, in particular within an exposure-defocus process window space. The system and the method provide a unified set of model parameter values that result in better accuracy and robustness of simulations at nominal process conditions, as well as the ability to predict lithographic performance at any point continuously throughout a complete process window area without a need for recalibration at different settings. With a smaller number of measurements required than the prior-art multiple-model calibration, the focus-exposure model provides more predictive and more robust model parameter values that can be used at any location in the process window.
PCT/US2006/030364 2005-08-08 2006-08-02 System and method for creating a focus-exposure model of a lithography process WO2007019269A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2008526083A JP4806020B2 (en) 2005-08-08 2006-08-02 Method for creating a focus exposure model of a lithographic process, method for creating a single model of a lithographic process for use at nominal conditions, and a computer readable medium
CN2006800295124A CN101258498B (en) 2005-08-08 2006-08-02 System and method for creating a focus-exposure model of a lithography process
EP06789361A EP1920369A2 (en) 2005-08-08 2006-08-02 System and method for creating a focus-exposure model of a lithography process

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US70614405P 2005-08-08 2005-08-08
US60/706,144 2005-08-08

Publications (2)

Publication Number Publication Date
WO2007019269A2 WO2007019269A2 (en) 2007-02-15
WO2007019269A3 true WO2007019269A3 (en) 2007-11-08

Family

ID=37727903

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/030364 WO2007019269A2 (en) 2005-08-08 2006-08-02 System and method for creating a focus-exposure model of a lithography process

Country Status (6)

Country Link
US (3) US7747978B2 (en)
EP (1) EP1920369A2 (en)
JP (2) JP4806020B2 (en)
KR (1) KR100958714B1 (en)
CN (1) CN101258498B (en)
WO (1) WO2007019269A2 (en)

Families Citing this family (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
JP4758358B2 (en) * 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション Computer-implemented method for detecting defects in reticle design data
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
JP4904034B2 (en) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション Method, system and carrier medium for evaluating reticle layout data
US7769225B2 (en) * 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
CN101258498B (en) * 2005-08-08 2011-04-13 Asml荷兰有限公司 System and method for creating a focus-exposure model of a lithography process
JP4954211B2 (en) * 2005-09-09 2012-06-13 エーエスエムエル ネザーランズ ビー.ブイ. System and method for performing mask verification using an individual mask error model
US7962868B2 (en) * 2005-10-28 2011-06-14 Freescale Semiconductor, Inc. Method for forming a semiconductor device using optical proximity correction for the optical lithography
US8041103B2 (en) * 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
EP1804119A1 (en) * 2005-12-27 2007-07-04 Interuniversitair Microelektronica Centrum Method for manufacturing attenuated phase- shift masks and devices obtained therefrom
US7493589B2 (en) * 2005-12-29 2009-02-17 Asml Masktools B.V. Method, program product and apparatus for model based geometry decomposition for use in a multiple exposure process
US7694267B1 (en) * 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US8370773B2 (en) * 2006-08-16 2013-02-05 Freescale Semiconductor, Inc. Method and apparatus for designing an integrated circuit using inverse lithography technology
US7642020B2 (en) * 2006-08-17 2010-01-05 International Business Machines Corporation Method for separating optical and resist effects in process models
US7900165B2 (en) * 2007-03-30 2011-03-01 Synopsys, Inc. Determining a design attribute by estimation and by calibration of estimated value
US7856612B1 (en) 2006-09-28 2010-12-21 Gauda, Inc. Lithography mask design through mask functional optimization and spatial frequency analysis
US7877722B2 (en) * 2006-12-19 2011-01-25 Kla-Tencor Corp. Systems and methods for creating inspection recipes
US8194968B2 (en) * 2007-01-05 2012-06-05 Kla-Tencor Corp. Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
JP5149307B2 (en) * 2007-01-18 2013-02-20 株式会社ニコン Scanner-based optical proximity correction system and method of use
US20080180696A1 (en) * 2007-01-30 2008-07-31 Sony Corporation Process window for EUV lithography
JP4328811B2 (en) 2007-02-27 2009-09-09 キヤノン株式会社 Resist pattern shape prediction method, program, and computer
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US8213704B2 (en) * 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7913196B2 (en) * 2007-05-23 2011-03-22 United Microelectronics Corp. Method of verifying a layout pattern
US8001492B2 (en) * 2007-06-28 2011-08-16 Linden Design Technologies, Inc. Evaluation method for interconnects interacted with integrated-circuit manufacture
US7796804B2 (en) * 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US7711514B2 (en) * 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
JP5425779B2 (en) * 2007-08-20 2014-02-26 ケーエルエー−テンカー・コーポレーション A computer-implemented method for determining whether an actual defect is a potential systematic defect or a potentially random defect
US7999920B2 (en) 2007-08-22 2011-08-16 Asml Netherlands B.V. Method of performing model-based scanner tuning
US7707539B2 (en) * 2007-09-28 2010-04-27 Synopsys, Inc. Facilitating process model accuracy by modeling mask corner rounding effects
US7805699B2 (en) * 2007-10-11 2010-09-28 Mentor Graphics Corporation Shape-based photolithographic model calibration
NL1036189A1 (en) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP4568341B2 (en) * 2008-03-19 2010-10-27 株式会社東芝 Simulation model creation method, mask data creation method, and semiconductor device manufacturing method
JP2009231767A (en) * 2008-03-25 2009-10-08 Toshiba Corp Method of analyzing lithography process window and its analyzing program
DE102008015806B4 (en) * 2008-03-27 2015-07-16 Infineon Technologies Ag A method of calibrating a simulation or design process, designing or making a mask, or fabricating a device
US8139844B2 (en) * 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US7974819B2 (en) * 2008-05-13 2011-07-05 Aptina Imaging Corporation Methods and systems for intensity modeling including polarization
KR101504504B1 (en) * 2008-05-21 2015-03-20 케이엘에이-텐코어 코오포레이션 Substrate matrix to decouple tool and process effects
US8015513B2 (en) * 2008-05-30 2011-09-06 Texas Instruments Incorporated OPC models generated from 2D high frequency test patterns
JP5225462B2 (en) 2008-06-03 2013-07-03 エーエスエムエル ネザーランズ ビー.ブイ. Model-based scanner adjustment method
JP2009302206A (en) * 2008-06-11 2009-12-24 Canon Inc Method of determining exposure parameter, program for determining exposure parameter, exposure method, and device manufacturing method
WO2010005957A1 (en) 2008-07-07 2010-01-14 Brion Technologies, Inc. Illumination optimization
US7966583B2 (en) * 2008-07-08 2011-06-21 Synopsys, Inc. Method and apparatus for determining the effect of process variations
KR101623747B1 (en) 2008-07-28 2016-05-26 케이엘에이-텐코어 코오포레이션 Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
NL2003654A (en) * 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
NL2003702A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
NL2003719A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Delta tcc for fast sensitivity model computation.
KR100990880B1 (en) 2008-11-12 2010-11-01 주식회사 동부하이텍 Method for generation hot spot
US8516401B2 (en) * 2008-11-19 2013-08-20 Mentor Graphics Corporation Mask model calibration technologies involving etch effect and exposure effect
US8136054B2 (en) * 2009-01-29 2012-03-13 Synopsys, Inc. Compact abbe's kernel generation using principal component analysis
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) * 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
US8255838B2 (en) * 2010-01-15 2012-08-28 Synopsys, Inc. Etch-aware OPC model calibration by using an etch bias filter
US8607168B2 (en) * 2010-02-16 2013-12-10 Mentor Graphics Corporation Contour alignment for model calibration
US9620426B2 (en) * 2010-02-18 2017-04-11 Kla-Tencor Corporation Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation
US8276102B2 (en) * 2010-03-05 2012-09-25 International Business Machines Corporation Spatial correlation-based estimation of yield of integrated circuits
US8285030B2 (en) * 2010-03-15 2012-10-09 Synopsys, Inc. Determining calibration parameters for a lithographic process
US8234601B2 (en) * 2010-05-14 2012-07-31 International Business Machines Corporation Test pattern for contour calibration in OPC model build
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
NL2007577A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US9588439B1 (en) * 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
NL2008041A (en) * 2011-01-28 2012-07-31 Asml Netherlands Bv Lithographic apparatus and methods for determining an improved configuration of a lithographic apparatus.
KR20120090362A (en) * 2011-02-07 2012-08-17 삼성전자주식회사 Method of correcting mask layout
US8443309B2 (en) * 2011-03-04 2013-05-14 International Business Machines Corporation Multifeature test pattern for optical proximity correction model verification
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
JP2013004672A (en) * 2011-06-15 2013-01-07 Toshiba Corp Method of creating simulation model
US8572518B2 (en) * 2011-06-23 2013-10-29 Nikon Precision Inc. Predicting pattern critical dimensions in a lithographic exposure process
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8468471B2 (en) * 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
JP5988569B2 (en) * 2011-12-07 2016-09-07 キヤノン株式会社 Determination method, determination device, and program
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
US8464193B1 (en) 2012-05-18 2013-06-11 International Business Machines Corporation Optical proximity correction (OPC) methodology employing multiple OPC programs
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
CN103472672B (en) * 2012-06-06 2016-01-06 中芯国际集成电路制造(上海)有限公司 The method of calibrating optical near-correction model
US9424372B1 (en) * 2012-06-11 2016-08-23 D2S, Inc. System and method for data path handling, shot count minimization, and proximity effects correction related to mask writing process
US8631359B1 (en) * 2012-08-07 2014-01-14 Synopsys, Inc. System and technique for modeling resist profile change sensitivity at different heights
JP5917337B2 (en) 2012-08-24 2016-05-11 株式会社東芝 Pattern data creation method
US9291920B2 (en) 2012-09-06 2016-03-22 Kla-Tencor Corporation Focus recipe determination for a lithographic scanner
US8739076B2 (en) * 2012-09-11 2014-05-27 Synopsys, Inc. Method and apparatus for process window modeling
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9404743B2 (en) 2012-11-01 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for validating measurement data
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US8741511B1 (en) 2012-12-19 2014-06-03 Globalfoundries Singapore Pte. Ltd. Determination of lithography tool process condition
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
WO2014149197A1 (en) 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US10274839B2 (en) * 2013-03-11 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Two-dimensional marks
US8782572B1 (en) 2013-03-13 2014-07-15 United Microelectronics Corp. Method of optical proximity correction
US9317632B2 (en) * 2013-03-14 2016-04-19 Coventor, Inc. System and method for modeling epitaxial growth in a 3-D virtual fabrication environment
US10242142B2 (en) 2013-03-14 2019-03-26 Coventor, Inc. Predictive 3-D virtual fabrication system and method
KR20150134373A (en) 2013-03-22 2015-12-01 에테하 취리히 Laser ablation cell
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US8910089B1 (en) * 2013-06-19 2014-12-09 International Business Machines Corporation Printing process calibration and correction
US9383661B2 (en) * 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US9070622B2 (en) * 2013-09-13 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for similarity-based semiconductor process control
WO2016012316A1 (en) * 2014-07-21 2016-01-28 Asml Netherlands B.V. Method for determining a process window for a lithographic process, associated apparatuses and a computer program
KR102238708B1 (en) 2014-08-19 2021-04-12 삼성전자주식회사 Method of detecting focus shift in lithograph process and method of analyzing error of transferred pattern using the same
KR102021450B1 (en) 2014-09-22 2019-11-04 에이에스엠엘 네델란즈 비.브이. Process window identifier
WO2016202559A1 (en) 2015-06-16 2016-12-22 Asml Netherlands B.V. Process window tracking
US9910348B2 (en) 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
US10699971B2 (en) * 2015-08-17 2020-06-30 Qoniac Gmbh Method for processing of a further layer on a semiconductor wafer
US10008422B2 (en) * 2015-08-17 2018-06-26 Qoniac Gmbh Method for assessing the usability of an exposed and developed semiconductor wafer
US9875534B2 (en) 2015-09-04 2018-01-23 Kla-Tencor Corporation Techniques and systems for model-based critical dimension measurements
WO2017055086A1 (en) * 2015-09-30 2017-04-06 Asml Netherlands B.V. Metrology method for process window definition
US11126092B2 (en) * 2015-11-13 2021-09-21 Asml Netherlands B.V. Methods for determining an approximate value of a processing parameter at which a characteristic of the patterning process has a target value
IL259633B (en) * 2015-12-22 2022-07-01 Asml Netherlands Bv Apparatus and method for process-window characterization
WO2017210153A1 (en) 2016-05-30 2017-12-07 Coventor, Inc. System and method for electrical behavior modeling in a 3d virtual fabrication environment
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
TWI631415B (en) * 2016-07-01 2018-08-01 美商格羅方德半導體公司 Method of simultaneous lithography and etch correction flow
CN106094423B (en) * 2016-08-22 2019-11-22 上海华力微电子有限公司 A kind of photoetching process optimization method
CN109863456B (en) * 2016-10-21 2021-06-18 Asml荷兰有限公司 Method of determining correction of patterning process
CN114578661A (en) * 2016-12-28 2022-06-03 Asml荷兰有限公司 Method for guiding process model and checking in manufacturing process
CN114415478A (en) * 2017-01-26 2022-04-29 Asml荷兰有限公司 Method for fine tuning process model
US10599046B2 (en) 2017-06-02 2020-03-24 Samsung Electronics Co., Ltd. Method, a non-transitory computer-readable medium, and/or an apparatus for determining whether to order a mask structure
KR102540941B1 (en) 2017-06-18 2023-06-05 코벤터, 인크. System and method for key parameter identification, process model calibration and variability analysis in a virtual semiconductor device fabrication environment
KR102550350B1 (en) 2017-09-08 2023-07-04 에이에스엠엘 네델란즈 비.브이. Training methods for machine learning assisted optical proximity error correction
US11029610B2 (en) * 2017-09-28 2021-06-08 Asml Netherlands B.V. Lithographic method
US11137690B2 (en) 2017-10-11 2021-10-05 Asml Netherlands B.V. Flows of optimization for patterning processes
WO2019115426A1 (en) 2017-12-13 2019-06-20 Asml Netherlands B.V. Prediction of out of specification physical items
WO2019121491A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Patterning process improvement involving optical aberration
US11079687B2 (en) 2017-12-22 2021-08-03 Asml Netherlands B.V. Process window based on defect probability
WO2019158682A1 (en) 2018-02-18 2019-08-22 Asml Netherlands B.V. Binarization method and freeform mask optimization flow
KR102641864B1 (en) 2018-03-19 2024-02-29 에이에스엠엘 네델란즈 비.브이. Method for determining curvilinear patterns for patterning device
CN111868634B (en) * 2018-03-20 2023-06-09 Asml荷兰有限公司 Real-time tuning method for accelerating resist and etch model calibration
US10572697B2 (en) * 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
CN111971551A (en) 2018-04-10 2020-11-20 朗姆研究公司 Optical metrology in machine learning to characterize features
WO2019199697A1 (en) 2018-04-10 2019-10-17 Lam Research Corporation Resist and etch modeling
US11899374B2 (en) 2018-05-07 2024-02-13 Asml Netherlands B.V. Method for determining an electromagnetic field associated with a computational lithography mask model
US20210208507A1 (en) 2018-06-04 2021-07-08 Asml Netherlands B.V. Method for improving a process for a patterning process
WO2019238372A1 (en) * 2018-06-15 2019-12-19 Asml Netherlands B.V. Machine learning based inverse optical proximity correction and process model calibration
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
EP3594750A1 (en) 2018-07-10 2020-01-15 ASML Netherlands B.V. Hidden defect detection and epe estimation based on the extracted 3d information from e-beam images
WO2020011513A1 (en) 2018-07-12 2020-01-16 Asml Netherlands B.V. Utilize pattern recognition to improve sem contour measurement accuracy and stability automatically
CN112602020A (en) 2018-08-15 2021-04-02 Asml荷兰有限公司 Automatic selection of high quality average scanning electron microscope images from raw images using machine learning
TWI794544B (en) 2018-10-09 2023-03-01 荷蘭商Asml荷蘭公司 Method for high numerical aperture thru-slit source mask optimization
WO2020078844A1 (en) 2018-10-19 2020-04-23 Asml Netherlands B.V. Method to create the ideal source spectra with source and mask optimization
US20210405539A1 (en) 2018-11-05 2021-12-30 Asml Holding N.V. A method to manufacture nano ridges in hard ceramic coatings
WO2020094385A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Prediction of out of specification based on spatial characteristic of process variability
EP3657257A1 (en) * 2018-11-26 2020-05-27 ASML Netherlands B.V. Method for of measuring a focus parameter relating to a structure formed using a lithographic process
WO2020109074A1 (en) 2018-11-30 2020-06-04 Asml Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
WO2020108902A1 (en) 2018-11-30 2020-06-04 Asml Netherlands B.V. Method for determining patterning device pattern based on manufacturability
EP3660744A1 (en) 2018-11-30 2020-06-03 ASML Netherlands B.V. Method for decreasing uncertainty in machine learning model predictions
EP3663855A1 (en) 2018-12-04 2020-06-10 ASML Netherlands B.V. Sem fov fingerprint in stochastic epe and placement measurements in large fov sem devices
CN113227899A (en) 2018-12-28 2021-08-06 Asml荷兰有限公司 Method for generating patterning device patterns at segment boundaries
EP3906442A1 (en) 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
TWI738169B (en) 2019-01-29 2021-09-01 荷蘭商Asml荷蘭公司 Method for determining a training pattern for a layout patterning process and related computer program product
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
US11086230B2 (en) 2019-02-01 2021-08-10 Asml Netherlands B.V. Method and apparatus for source mask optimization configured to increase scanner throughput for a patterning process
US20220134480A1 (en) 2019-02-19 2022-05-05 Asml Holding N.V. Laser roughening: engineering the roughness of the burl top
CN113508339A (en) 2019-02-27 2021-10-15 Asml荷兰有限公司 Improved gauge selection for model calibration
US11747739B2 (en) 2019-03-03 2023-09-05 Asml Netherlands Method and apparatus for imaging using narrowed bandwidth
WO2020182440A1 (en) 2019-03-08 2020-09-17 Asml Netherlands B.V. Method and apparatus for diffraction pattern guided source mask optimization
US11815820B2 (en) 2019-03-21 2023-11-14 Asml Netherlands B.V. Training method for machine learning assisted optical proximity error correction
EP3742229A1 (en) 2019-05-21 2020-11-25 ASML Netherlands B.V. Systems and methods for adjusting prediction models between facility locations
CN113678064B (en) 2019-04-09 2023-12-08 Asml荷兰有限公司 System and method for adjusting predictive models between facility locations
WO2020221556A1 (en) 2019-04-30 2020-11-05 Asml Netherlands B.V. Method and apparatus for photolithographic imaging
EP3734365A1 (en) 2019-04-30 2020-11-04 ASML Netherlands B.V. Method and apparatus for photolithographic imaging
KR20220018050A (en) 2019-07-10 2022-02-14 에이에스엠엘 네델란즈 비.브이. Predictive data selection for model calibration to reduce model prediction uncertainty
CN114503035A (en) 2019-08-08 2022-05-13 Asml荷兰有限公司 Method and apparatus for lithographic imaging
US20220327364A1 (en) 2019-08-30 2022-10-13 Asml Netherlands B.V. Semiconductor device geometry method and system
EP3789923A1 (en) 2019-09-06 2021-03-10 ASML Netherlands B.V. Method for increasing certainty in parameterized model predictions
CN114341885A (en) 2019-09-06 2022-04-12 Asml荷兰有限公司 Method for increasing the certainty of a parameterized model prediction
WO2021083608A1 (en) 2019-11-01 2021-05-06 Asml Netherlands B.V. Machine learning based image generation for model base alignments
US20220390832A1 (en) 2019-11-19 2022-12-08 Asml Holding N.V. Optimization using a non-uniform illumination intensity profile
CN114787715A (en) 2019-12-02 2022-07-22 西默有限公司 Method and system for enhancing target features of a pattern imaged onto a substrate
EP3848953A1 (en) 2020-01-07 2021-07-14 ASML Netherlands B.V. High brightness electron source
WO2021140020A2 (en) 2020-01-07 2021-07-15 Asml Netherlands B.V. High brightness low energy spread pulsed electron source
US20230100578A1 (en) 2020-02-12 2023-03-30 Asml Netherlands B.V. Method for determining a mask pattern comprising optical proximity corrections using a trained machine learning model
KR20220127925A (en) 2020-02-21 2022-09-20 에이에스엠엘 네델란즈 비.브이. A method for calibrating a simulation process based on a defect-based process window
EP3872567A1 (en) 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control
US20230107556A1 (en) 2020-03-03 2023-04-06 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
CN115516381A (en) 2020-05-09 2022-12-23 Asml荷兰有限公司 Determining an indicator of a portion of a pattern on a substrate
US20230185187A1 (en) 2020-06-02 2023-06-15 Asml Netherlands B.V. Verifying freeform curvilinear features of a mask design
EP4162322A1 (en) 2020-06-03 2023-04-12 ASML Netherlands B.V. Systems, products, and methods for generating patterning devices and patterns therefor
US20230205096A1 (en) 2020-06-10 2023-06-29 Asml Netherlands B.V. Aberration impact systems, models, and manufacturing processes
EP3951496A1 (en) 2020-08-07 2022-02-09 ASML Netherlands B.V. Apparatus and method for selecting informative patterns for training machine learning models
CN115885216A (en) 2020-08-19 2023-03-31 Asml荷兰有限公司 System, product, and method for image-based pattern selection
KR20230051509A (en) 2020-08-19 2023-04-18 에이에스엠엘 네델란즈 비.브이. Apparatus and method for automatically selecting high-quality images from raw images
CN116490824A (en) 2020-09-25 2023-07-25 Asml荷兰有限公司 Scanner productivity and imaging quality optimization for patterning process
US20230332669A1 (en) 2020-09-30 2023-10-19 Asml Netherlands B.V. Vacuum system for mitigating damage due to a vacuum pump malfunction
CN116391157A (en) 2020-10-23 2023-07-04 Asml荷兰有限公司 Method for producing mask pattern
CN116648672A (en) 2020-12-18 2023-08-25 Asml荷兰有限公司 Method for determining mask patterns and training machine learning models
WO2022135818A1 (en) 2020-12-21 2022-06-30 Asml Netherlands B.V. Feature based cell extraction for pattern regions
WO2022179802A1 (en) 2021-02-23 2022-09-01 Asml Netherlands B.V. A machine learning model using target pattern and reference layer pattern to determine optical proximity correction for mask
KR20230154852A (en) 2021-03-08 2023-11-09 에이에스엠엘 네델란즈 비.브이. How to select patterns for semiconductor manufacturing-related processes
CN117480449A (en) 2021-06-18 2024-01-30 Asml荷兰有限公司 Computer readable medium for generating assist features using machine learning model
WO2022268434A1 (en) 2021-06-23 2022-12-29 Asml Netherlands B.V. Etch simulation model including a correlation between etch biases and curvatures of contours
KR20240029778A (en) 2021-07-06 2024-03-06 에이에스엠엘 네델란즈 비.브이. Determination of local image prediction error to improve machine learning models in image prediction
KR20240031314A (en) 2021-07-13 2024-03-07 에이에스엠엘 네델란즈 비.브이. Pattern selection for source mask optimization and target optimization
CN117651914A (en) 2021-07-21 2024-03-05 Asml荷兰有限公司 System and method for thermally stable mounting of an optical column
WO2023006346A1 (en) 2021-07-30 2023-02-02 Asml Netherlands B.V. Method for generating mask pattern
WO2023016752A1 (en) 2021-08-10 2023-02-16 Asml Netherlands B.V. Match the aberration sensitivity of the metrology mark and the device pattern
WO2023030807A1 (en) 2021-09-02 2023-03-09 Asml Netherlands B.V. Method of evaluating selected set of patterns
WO2023046385A1 (en) 2021-09-22 2023-03-30 Asml Netherlands B.V. Pattern selection systems and methods
CN113985705B (en) * 2021-10-18 2022-11-11 中国科学院微电子研究所 Optical method and device for quickly realizing precise calibration of photoetching system
WO2023084063A1 (en) 2021-11-15 2023-05-19 Asml Netherlands B.V. Generating augmented data to train machine learning models to preserve physical trends
WO2023088649A1 (en) 2021-11-17 2023-05-25 Asml Netherlands B.V. Determining an etch effect based on an etch bias direction
WO2023088641A1 (en) 2021-11-19 2023-05-25 Asml Netherlands B.V. Simulation model stability determination method
WO2023110346A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Methods, software, and systems for determination of constant-width sub-resolution assist features
WO2023110401A1 (en) 2021-12-14 2023-06-22 Asml Netherlands B.V. Thermal control systems, models, and manufacturing processes in lithography
WO2023131570A1 (en) 2022-01-05 2023-07-13 Asml Netherlands B.V. Software, methods, and systems for determination of a local focus point
WO2023169806A1 (en) 2022-03-09 2023-09-14 Asml Netherlands B.V. Methods, systems, and software for determination of failure rates of lithographic processes
WO2023180020A1 (en) 2022-03-22 2023-09-28 Asml Netherlands B.V. Lithographic pattern representation with curvilinear elements
WO2023222368A1 (en) 2022-05-17 2023-11-23 Asml Netherlands B.V. Diffraction-based pupil determination for optimization of lithographic processes
WO2024013038A1 (en) 2022-07-12 2024-01-18 Asml Netherlands B.V. Stochastic-aware source mask optimization based on edge placement probability distribution
WO2024017807A1 (en) 2022-07-19 2024-01-25 Asml Netherlands B.V. Systems and methods for optimizing metrology marks
WO2024037859A1 (en) 2022-08-15 2024-02-22 Asml Netherlands B.V. Method for radiation spectrum aware souce mask optimization for lithography
WO2024041831A1 (en) 2022-08-25 2024-02-29 Asml Netherlands B.V. Modelling of multi-level etch processes

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040190008A1 (en) * 2003-01-17 2004-09-30 Kla-Tencor Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US6884984B2 (en) * 2002-06-07 2005-04-26 Brion Technologies, Inc. System and method for lithography process monitoring and control
US20050166174A1 (en) * 2003-10-07 2005-07-28 Jun Ye System and method for lithography simulation

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3339174B2 (en) * 1993-11-08 2002-10-28 ソニー株式会社 Photomask manufacturing method, exposure method, and semiconductor device manufacturing method
JP2000232057A (en) * 1999-02-10 2000-08-22 Hitachi Ltd Simulation method for resist pattern and formation method for pattern
JP2005513757A (en) * 2001-06-26 2005-05-12 ケーエルエー−テンカー・コーポレーション Method for determining lithographic focus and exposure
EP1329771B1 (en) * 2001-10-09 2006-09-06 ASML MaskTools B.V. Method of two dimensional feature model calibration and optimization
JP2003164797A (en) * 2001-11-30 2003-06-10 Japan Science & Technology Corp Coating/drying method for polymer solution film
US6749972B2 (en) * 2002-01-15 2004-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction common process window maximization over varying feature pitch
JP3971937B2 (en) 2002-02-18 2007-09-05 株式会社日立ハイテクノロジーズ Exposure condition monitoring method and apparatus, and semiconductor device manufacturing method
US6954911B2 (en) * 2002-05-01 2005-10-11 Synopsys, Inc. Method and system for simulating resist and etch edges
WO2003104921A2 (en) * 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US20030229875A1 (en) * 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
JP2004157160A (en) * 2002-11-01 2004-06-03 Sony Corp Method for forming process model, method for designing mask pattern, mask and method for manufacturing semiconductor device
WO2004059394A2 (en) 2002-12-30 2004-07-15 Koninklijke Philips Electronics N.V. Determining lithographic parameters to optimise a process window
US7030966B2 (en) * 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
JP4364524B2 (en) * 2003-02-20 2009-11-18 株式会社日立製作所 Pattern inspection method
JP2005099765A (en) * 2003-08-28 2005-04-14 Toshiba Corp Method for generating predictive model for process proximity effect, method for managing process, method for manufacturing semiconductor device, method for manufacturing photomask, and program
JP4166166B2 (en) * 2004-02-02 2008-10-15 株式会社東芝 Exposure projection image prediction system and exposure projection image prediction method
US7042551B2 (en) * 2004-02-03 2006-05-09 International Business Machines Corporation Method of patterning process metrology based on the intrinsic focus offset
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7588868B2 (en) * 2004-10-06 2009-09-15 Cadence Design Systems, Inc. Method and system for reducing the impact of across-wafer variations on critical dimension measurements
US7470492B2 (en) * 2004-10-29 2008-12-30 Intel Corporation Process window-based correction for photolithography masks
US7444615B2 (en) * 2005-05-31 2008-10-28 Invarium, Inc. Calibration on wafer sweet spots
US7224437B2 (en) * 2005-05-31 2007-05-29 Invarium, Inc Method for measuring and verifying stepper illumination
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7488933B2 (en) * 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
CN101258498B (en) * 2005-08-08 2011-04-13 Asml荷兰有限公司 System and method for creating a focus-exposure model of a lithography process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6884984B2 (en) * 2002-06-07 2005-04-26 Brion Technologies, Inc. System and method for lithography process monitoring and control
US20040190008A1 (en) * 2003-01-17 2004-09-30 Kla-Tencor Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US20050166174A1 (en) * 2003-10-07 2005-07-28 Jun Ye System and method for lithography simulation

Also Published As

Publication number Publication date
JP5960953B2 (en) 2016-08-02
WO2007019269A2 (en) 2007-02-15
US20070031745A1 (en) 2007-02-08
JP2009505400A (en) 2009-02-05
KR100958714B1 (en) 2010-05-18
US8245160B2 (en) 2012-08-14
CN101258498A (en) 2008-09-03
US20100229147A1 (en) 2010-09-09
JP2011205118A (en) 2011-10-13
US7747978B2 (en) 2010-06-29
JP4806020B2 (en) 2011-11-02
US8065636B2 (en) 2011-11-22
US20120017183A1 (en) 2012-01-19
CN101258498B (en) 2011-04-13
KR20080043335A (en) 2008-05-16
EP1920369A2 (en) 2008-05-14

Similar Documents

Publication Publication Date Title
WO2007019269A3 (en) System and method for creating a focus-exposure model of a lithography process
AU2005337438B2 (en) Hierarchical nanopatterns by nanoimprint lithography
WO2007030704A3 (en) System and method for mask verification using an individual mask error model
FI20055596A (en) Data sheet and method for producing the data sheet
FI20055544A0 (en) Method, performance meter, and computer program to determine performance
GB0714732D0 (en) Extreme ultraviolet mask with leaky absorber and method for its fabrication
WO2006088738A3 (en) Application of in-situ plasma measurements to performance and control of a plasma processing system
EP1829836A4 (en) Large glass substrate for photomask and method for producing same, computer readable recording medium, and method for exposing mother glass
FR2905497B1 (en) METHOD FOR SIMULATING THE THERMO-MECHANICAL BEHAVIOR OF A TIRE, AND APPLICATION
EP1925020A4 (en) Systems, masks, and methods for photolithography
TW200736820A (en) Method and system for enhanced lithographic patterning
ATE430345T1 (en) ADAPTIVE EXPOSURE CONTROL
EP1939729A4 (en) Software development production management system, computer program, and recording medium
EP1783546A4 (en) Semitransmitting film, photomask blank, photomask, and semitransmitting film designing method
EP2157477A4 (en) Resist composition for negative working-type development, and method for pattern formation using the resist composition
HK1124131A1 (en) Multi-objective predictive process optimization with concurrent process simulation
EP2131240A4 (en) Positive-working radiation-sensitive composition and method for resist pattern formation using the composition
TW200731334A (en) Method and arrangement for predicting thermally-induced deformation of a substrate, and a semiconductor device
WO2007041134A3 (en) Computer method and system for predicting physical properties using a conceptual segment model
FR2891069B1 (en) METHOD FOR MONITORING THE CORRECT FUNCTIONING OF A COMPUTER
DE602006016683D1 (en) Toner production method
NO20073680L (en) Procedure for analyzing data having common and distinct characteristics
FI20055408A0 (en) Creating an Extreme Computer Model
NL1032952A1 (en) Polarization evaluation mask, polarization evaluation method and polarization determining device.
EP1940925A4 (en) Method for producing thermally conductive sheet and thermally conductive sheet produced by the method

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200680029512.4

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2008526083

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2006789361

Country of ref document: EP