WO2008008156A3 - Electron induced chemical etching for device diagnosis - Google Patents

Electron induced chemical etching for device diagnosis Download PDF

Info

Publication number
WO2008008156A3
WO2008008156A3 PCT/US2007/014375 US2007014375W WO2008008156A3 WO 2008008156 A3 WO2008008156 A3 WO 2008008156A3 US 2007014375 W US2007014375 W US 2007014375W WO 2008008156 A3 WO2008008156 A3 WO 2008008156A3
Authority
WO
WIPO (PCT)
Prior art keywords
selectively
chemical etching
reactive material
induced chemical
device diagnosis
Prior art date
Application number
PCT/US2007/014375
Other languages
French (fr)
Other versions
WO2008008156A2 (en
Inventor
Mark J Williamson
Gurtej S Sandhu
Justin R Arrington
Original Assignee
Micron Technolgy Inc
Mark J Williamson
Gurtej S Sandhu
Justin R Arrington
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technolgy Inc, Mark J Williamson, Gurtej S Sandhu, Justin R Arrington filed Critical Micron Technolgy Inc
Publication of WO2008008156A2 publication Critical patent/WO2008008156A2/en
Publication of WO2008008156A3 publication Critical patent/WO2008008156A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2898Sample preparation, e.g. removing encapsulation, etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/252Tubes for spot-analysing by electron or ion beams; Microanalysers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • H01J37/3056Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching for microworking, e.g. etching of gratings, trimming of electrical components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24564Measurements of electric or magnetic variables, e.g. voltage, current, frequency
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24592Inspection and quality control of devices

Abstract

A method of imaging and identifying materials, contamination, fabrication errors, and defects on and below the surface of an integrated circuit (IC) is described. The method may be used in areas smaller than one micron in diameter, and may remove IC layers, either selectively or non-selectively, until a desired depth is obtained. An energetic beam, such as an electron beam, is directed at a selected IC location. The IC has a layer of a solid, fluid or gaseous reactive material, such as a directed stream of a fluorocarbon, formed over the surface of the IC. The energetic beam disassociates the reactive material in or on the region into chemical radicals that chemically attack the surface. The surface may be examined as various layers are selectively removed in the controlled area spot etch, and SEM imaging may then be used to diagnose problems.
PCT/US2007/014375 2006-07-10 2007-06-20 Electron induced chemical etching for device diagnosis WO2008008156A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/483,878 2006-07-10
US11/483,878 US7892978B2 (en) 2006-07-10 2006-07-10 Electron induced chemical etching for device level diagnosis

Publications (2)

Publication Number Publication Date
WO2008008156A2 WO2008008156A2 (en) 2008-01-17
WO2008008156A3 true WO2008008156A3 (en) 2008-03-06

Family

ID=38787724

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/014375 WO2008008156A2 (en) 2006-07-10 2007-06-20 Electron induced chemical etching for device diagnosis

Country Status (3)

Country Link
US (3) US7892978B2 (en)
TW (1) TW200814218A (en)
WO (1) WO2008008156A2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791055B2 (en) 2006-07-10 2010-09-07 Micron Technology, Inc. Electron induced chemical etching/deposition for enhanced detection of surface defects
US7791071B2 (en) 2006-08-14 2010-09-07 Micron Technology, Inc. Profiling solid state samples
US7807062B2 (en) 2006-07-10 2010-10-05 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US7833427B2 (en) 2006-08-14 2010-11-16 Micron Technology, Inc. Electron beam etching device and method
US7892978B2 (en) 2006-07-10 2011-02-22 Micron Technology, Inc. Electron induced chemical etching for device level diagnosis
US8414787B2 (en) 2006-08-14 2013-04-09 Micron Technology, Inc. Electron beam processing device and method using carbon nanotube emitter

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070278180A1 (en) * 2006-06-01 2007-12-06 Williamson Mark J Electron induced chemical etching for materials characterization
US7569484B2 (en) * 2006-08-14 2009-08-04 Micron Technology, Inc. Plasma and electron beam etching device and method
US8014975B2 (en) * 2007-09-28 2011-09-06 Hitachi Global Storage Technologies, Netherlands B.V. FTIR and EDX spectrum library of contaminants found on a HDD and their potential sources
US8093074B2 (en) * 2009-12-18 2012-01-10 United Microelectronics Corp. Analysis method for semiconductor device
US20120007073A1 (en) * 2010-07-06 2012-01-12 Anjum Mehta Semiconductor Wafer Constructions, And Methods For Quality Testing Material Removal Procedures During Semiconductor Fabrication Processes
JP5442572B2 (en) 2010-09-28 2014-03-12 株式会社日立ハイテクサイエンス Charged particle beam apparatus, thin film manufacturing method, defect correcting method, and device manufacturing method
CN104428867B (en) * 2012-07-16 2018-10-16 Fei 公司 Terminal for focused ion beam processing determines
EP3198476A1 (en) * 2014-09-26 2017-08-02 British Telecommunications Public Limited Company Efficient pattern matching
US20170005013A1 (en) * 2015-06-30 2017-01-05 Varian Semiconductor Equipment Associates, Inc. Workpiece Processing Technique
US10114071B2 (en) 2016-04-26 2018-10-30 International Business Machines Corporation Testing mechanism for a proximity fail probability of defects across integrated chips
US10426043B2 (en) * 2016-08-19 2019-09-24 Honeywell Federal Manufacturing & Technologies, Llc Method of thin film adhesion pretreatment
US10809780B2 (en) 2017-03-13 2020-10-20 Samsung Electronics Co., Ltd. Active disturbance rejection based thermal control
CN112432849B (en) * 2020-10-13 2021-09-07 北京交通大学 Method for predicting shear strength of wood based on compressive strength of wood

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5140164A (en) * 1991-01-14 1992-08-18 Schlumberger Technologies, Inc. Ic modification with focused ion beam system
EP1363164A1 (en) * 2002-05-16 2003-11-19 NaWoTec GmbH Procedure for etching of materials at the surface with focussed electron beam induced chemical reactions at said surface
US20040113097A1 (en) * 2002-12-17 2004-06-17 International Business Machines Corporation Apparatus and techniques for scanning electron beam based chip repair

Family Cites Families (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4260649A (en) * 1979-05-07 1981-04-07 The Perkin-Elmer Corporation Laser induced dissociative chemical gas phase processing of workpieces
US4579750A (en) * 1980-07-07 1986-04-01 Massachusetts Institute Of Technology Laser heated CVD process
US4543486A (en) * 1983-05-20 1985-09-24 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for using a photoacoustic effect for controlling various processes utilizing laser and ion beams, and the like
US4581248A (en) * 1984-03-07 1986-04-08 Roche Gregory A Apparatus and method for laser-induced chemical vapor deposition
US4624736A (en) 1984-07-24 1986-11-25 The United States Of America As Represented By The United States Department Of Energy Laser/plasma chemical processing of substrates
US4668304A (en) * 1985-04-10 1987-05-26 Eaton Corporation Dopant gettering semiconductor processing by excimer laser
US4670064A (en) * 1985-04-10 1987-06-02 Eaton Corporation Generating high purity ions by non-thermal excimer laser processing
US4670063A (en) * 1985-04-10 1987-06-02 Eaton Corporation Semiconductor processing technique with differentially fluxed radiation at incremental thicknesses
US4685976A (en) * 1985-04-10 1987-08-11 Eaton Corporation Multi-layer semiconductor processing with scavenging between layers by excimer laser
US4655849A (en) * 1985-05-22 1987-04-07 Eaton Corporation Semiconductor processing technique for generating dangling surface bonds and growing epitaxial layer by excimer laser
US4694777A (en) * 1985-07-03 1987-09-22 Roche Gregory A Apparatus for, and methods of, depositing a substance on a substrate
JP2566914B2 (en) * 1985-12-28 1996-12-25 キヤノン株式会社 Thin film semiconductor device and method of forming the same
US4832781A (en) * 1988-01-07 1989-05-23 Varian Associates, Inc. Methods and apparatus for thermal transfer with a semiconductor wafer in vacuum
DE3804805A1 (en) 1988-02-16 1989-08-24 Max Planck Gesellschaft CVD METHOD FOR DEPOSITING A LAYER ON A THIN-LAYER METAL STRUCTURE
US4938996A (en) * 1988-04-12 1990-07-03 Ziv Alan R Via filling by selective laser chemical vapor deposition
US4933206A (en) * 1988-08-17 1990-06-12 Intel Corporation UV-vis characteristic writing in silicon nitride and oxynitride films
US4940505A (en) * 1988-12-02 1990-07-10 Eaton Corporation Method for growing single crystalline silicon with intermediate bonding agent and combined thermal and photolytic activation
US5419822A (en) * 1989-02-28 1995-05-30 Raytheon Company Method for applying a thin adherent layer
US5032435A (en) * 1989-03-27 1991-07-16 The United States Of America As Represented By The United States Department Of Energy UV absorption control of thin film growth
JPH03148118A (en) 1989-11-02 1991-06-24 Fujitsu Ltd Semiconductor manufacturing apparatus
US4980198A (en) 1989-11-30 1990-12-25 Syracuse University Laser CVD and plasma CVD of CrO2 films and cobalt doped CrO2 films using organometallic precursors
US5102830A (en) * 1990-07-24 1992-04-07 Micron Technology, Inc. Integrated circuit fabrication process for preventing overprocessing during a laser scan
JP2757546B2 (en) 1990-08-27 1998-05-25 日本電気株式会社 Method and apparatus for etching Fe-containing material
US5047649A (en) * 1990-10-09 1991-09-10 International Business Machines Corporation Method and apparatus for writing or etching narrow linewidth patterns on insulating materials
US5648114A (en) * 1991-12-13 1997-07-15 Symetrix Corporation Chemical vapor deposition process for fabricating layered superlattice materials
US5759923A (en) * 1991-02-25 1998-06-02 Symetrix Corporation Method and apparatus for fabricating silicon dioxide and silicon glass layers in integrated circuits
US5155053A (en) * 1991-05-28 1992-10-13 Hughes Aircraft Company Method of forming t-gate structure on microelectronic device substrate
US5326981A (en) * 1991-09-27 1994-07-05 Kawasaki Jukogyo Kabushiki Kaisha Electron beam excited ion irradiation apparatus
US5807650A (en) * 1992-03-24 1998-09-15 Kabushiki Kaisha Toshiba Photo mask and apparatus for repairing photo mask
US5985693A (en) 1994-09-30 1999-11-16 Elm Technology Corporation High density three-dimensional IC interconnection
JPH0624896A (en) * 1992-07-09 1994-02-01 Sumitomo Electric Ind Ltd Diamond synthesizing method
WO1994002832A1 (en) * 1992-07-15 1994-02-03 On-Line Technologies, Inc. Method and apparatus for monitoring layer processing
US6194325B1 (en) * 1992-09-08 2001-02-27 Applied Materials Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
EP0601692B1 (en) * 1992-09-14 1997-11-12 Kabushiki Kaisha Toshiba Electronic device incorporating artificial super lattice
US5429730A (en) * 1992-11-02 1995-07-04 Kabushiki Kaisha Toshiba Method of repairing defect of structure
JP3255469B2 (en) * 1992-11-30 2002-02-12 三菱電機株式会社 Laser thin film forming equipment
US5472935A (en) 1992-12-01 1995-12-05 Yandrofski; Robert M. Tuneable microwave devices incorporating high temperature superconducting and ferroelectric films
US5825035A (en) * 1993-03-10 1998-10-20 Hitachi, Ltd. Processing method and apparatus using focused ion beam generating means
US5733609A (en) * 1993-06-01 1998-03-31 Wang; Liang Ceramic coatings synthesized by chemical reactions energized by laser plasmas
EP0665577A1 (en) * 1994-01-28 1995-08-02 Applied Materials, Inc. Method and apparatus for monitoring the deposition rate of films during physical vapour deposition
US5508368A (en) * 1994-03-03 1996-04-16 Diamonex, Incorporated Ion beam process for deposition of highly abrasion-resistant coatings
US5581874A (en) 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
US5438019A (en) * 1994-07-11 1995-08-01 Micron Semiconductor, Inc. Large area thin film growing method
US5641545A (en) * 1995-06-07 1997-06-24 Micron Technology, Inc. Method to deposit highly conformal CVD films
EP0756318A1 (en) 1995-07-24 1997-01-29 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
JP3766991B2 (en) 1995-10-20 2006-04-19 株式会社日立製作所 End point detection method and apparatus for plasma processing, and semiconductor manufacturing method and apparatus using the detection method and apparatus
JP3523405B2 (en) 1996-01-26 2004-04-26 株式会社日立製作所 Pattern forming method by charged beam processing and charged beam processing apparatus
US5892886A (en) * 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5639342A (en) * 1996-03-15 1997-06-17 Taiwan Semiconductor Manufacturing Company Ltd. Method of monitoring and controlling a silicon nitride etch step
DE29607076U1 (en) * 1996-04-18 1996-08-29 Sick Optik Elektronik Erwin Opto-electronic sensor for the detection of transparent objects
TW487983B (en) * 1996-04-26 2002-05-21 Hitachi Ltd Manufacturing method for semiconductor device
GB9618897D0 (en) * 1996-09-10 1996-10-23 Bio Rad Micromeasurements Ltd Micro defects in silicon wafers
US5834331A (en) 1996-10-17 1998-11-10 Northwestern University Method for making III-Nitride laser and detection device
US6183817B1 (en) 1997-05-29 2001-02-06 Michael S. Gersonde Method and apparatus for direct write fabrication of nanostructures
JP2868120B2 (en) * 1997-06-11 1999-03-10 川崎重工業株式会社 Electron beam excited plasma generator
US6051287A (en) * 1997-06-20 2000-04-18 Micron Technology, Inc. Laser desorption of CVD precursor species
JP3441955B2 (en) * 1998-02-23 2003-09-02 株式会社日立製作所 Projection type charged particle microscope and substrate inspection system
JP3336975B2 (en) * 1998-03-27 2002-10-21 日本電気株式会社 Substrate processing method
US6218288B1 (en) * 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
DE19851622A1 (en) 1998-11-09 2000-05-18 Fraunhofer Ges Forschung Method for examining and / or modifying surface structures of a sample
US6187492B1 (en) * 1998-07-07 2001-02-13 Ricoh Company, Ltd. Electrophotographic photoconductor and method of producing aromatic polycarbonate resin for use in the photoconductor
US6113751A (en) * 1998-08-06 2000-09-05 Lockheed Martin Corporation Electromagnetic beam assisted deposition method for depositing a material on an irradiated substrate
EP1006568A1 (en) * 1998-12-02 2000-06-07 STMicroelectronics S.r.l. Enhancing protection of dielectrics from plasma induced damages
JP2000208488A (en) 1999-01-12 2000-07-28 Kawasaki Steel Corp Etching method
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6214183B1 (en) * 1999-01-30 2001-04-10 Advanced Ion Technology, Inc. Combined ion-source and target-sputtering magnetron and a method for sputtering conductive and nonconductive materials
US6291341B1 (en) * 1999-02-12 2001-09-18 Micron Technology, Inc. Method for PECVD deposition of selected material films
US6583413B1 (en) 1999-09-01 2003-06-24 Hitachi, Ltd. Method of inspecting a circuit pattern and inspecting instrument
WO2001068271A1 (en) * 2000-03-15 2001-09-20 Tufts University Controlling surface chemistry on solid substrates
US6656539B1 (en) * 2000-11-13 2003-12-02 International Business Machines Corporation Method and apparatus for performing laser CVD
US6838121B2 (en) * 2001-05-10 2005-01-04 Zyvex Corporation System and method for controlling deposition parameters in producing a surface to tune the surface's plasmon resonance wavelength
KR100425449B1 (en) 2001-05-18 2004-03-30 삼성전자주식회사 Method and apparatus for forming multiple layers of thin film by using photolysis chemical vapor deposition
US6811615B2 (en) 2001-05-24 2004-11-02 Applied Materials, Inc. Photo-assisted chemical cleaning and laser ablation cleaning of process chamber
KR100421218B1 (en) 2001-06-04 2004-03-02 삼성전자주식회사 Apparatus of electron emission lithography by using selectively grown carbon nanotube and lithography method thereof
US20030000921A1 (en) * 2001-06-29 2003-01-02 Ted Liang Mask repair with electron beam-induced chemical etching
WO2003012551A1 (en) * 2001-07-27 2003-02-13 Fei Company Electron beam processing
US6573199B2 (en) * 2001-08-30 2003-06-03 Micron Technology, Inc. Methods of treating dielectric materials with oxygen, and methods of forming capacitor constructions
US6937447B2 (en) 2001-09-19 2005-08-30 Kabushiki Kaisha Toshiba Magnetoresistance effect element, its manufacturing method, magnetic reproducing element and magnetic memory
JP2003161957A (en) * 2001-11-26 2003-06-06 Toshiba Corp Liquid crystal display device and method for manufacturing the same
US7001481B2 (en) * 2001-11-30 2006-02-21 Micron Technology, Inc. Method and system providing high flux of point of use activated reactive species for semiconductor processing
ATE386264T1 (en) 2001-12-06 2008-03-15 Imec Inter Uni Micro Electr METHOD AND APPARATUS FOR LOCAL SURFACE ANALYSIS
US7355171B2 (en) 2002-01-29 2008-04-08 Tokyo Electron Limited Method and apparatus for process monitoring and control
US6730367B2 (en) * 2002-03-05 2004-05-04 Micron Technology, Inc. Atomic layer deposition method with point of use generated reactive gas species
US6869479B2 (en) * 2002-03-29 2005-03-22 Altair Center, Llc Method of laser-assisted fabrication of optoelectronic and photonic components
US6845734B2 (en) * 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US6764856B2 (en) * 2002-05-02 2004-07-20 Bradley Jay Holmes Semen detection system and method
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US20040018739A1 (en) 2002-07-26 2004-01-29 Applied Materials, Inc. Methods for etching using building blocks
US6797337B2 (en) * 2002-08-19 2004-09-28 Micron Technology, Inc. Method for delivering precursors
US7012266B2 (en) * 2002-08-23 2006-03-14 Samsung Electronics Co., Ltd. MEMS-based two-dimensional e-beam nano lithography device and method for making the same
US6843927B2 (en) * 2002-08-27 2005-01-18 Kla-Tencor Technologies Corporation Method and apparatus for endpoint detection in electron beam assisted etching
US7504182B2 (en) * 2002-09-18 2009-03-17 Fei Company Photolithography mask repair
DE60239062D1 (en) 2002-10-16 2011-03-10 Zeiss Carl Sms Gmbh Method for focused electron beam induced etching
US7365909B2 (en) 2002-10-17 2008-04-29 Xradia, Inc. Fabrication methods for micro compounds optics
US7001529B2 (en) * 2002-10-18 2006-02-21 Lam Research Corporation Pre-endpoint techniques in photoresist etching
US7122125B2 (en) 2002-11-04 2006-10-17 Applied Materials, Inc. Controlled polymerization on plasma reactor wall
US7018938B2 (en) * 2002-11-14 2006-03-28 Intel Corporation Controlled use of photochemically susceptible chemistries for etching, cleaning and surface conditioning
US6891169B2 (en) * 2003-01-21 2005-05-10 International Business Machines Corporation Electron beam array write head system and method
JP2004257845A (en) 2003-02-26 2004-09-16 Renesas Technology Corp Classification method of defect
MXPA05002680A (en) * 2003-04-18 2005-05-05 Jfe Steel Corp Zinc hot dip galvanized steel plate excellent in press formability and method for production thereof.
US7083903B2 (en) * 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
US6911832B2 (en) * 2003-07-16 2005-06-28 Texas Instruments Incorporated Focused ion beam endpoint detection using charge pulse detection electronics
US7786403B2 (en) * 2003-08-28 2010-08-31 Nawo Tec Gmbh Method for high-resolution processing of thin layers using electron beams
US7311947B2 (en) 2003-10-10 2007-12-25 Micron Technology, Inc. Laser assisted material deposition
JP2005208120A (en) * 2004-01-20 2005-08-04 Ebara Corp Sample correcting apparatus, sample correction method, and device manufacturing method using the method
US7661387B2 (en) 2004-01-30 2010-02-16 Dunfries Investment Limited Dual laser coating apparatus and process
JP4550457B2 (en) * 2004-03-26 2010-09-22 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US7075093B2 (en) 2004-05-12 2006-07-11 Gorski Richard M Parallel multi-electron beam lithography for IC fabrication with precise X-Y translation
JP4761740B2 (en) 2004-08-31 2011-08-31 東京エレクトロン株式会社 Method for forming a microlens
TWI240422B (en) 2004-10-29 2005-09-21 Promos Technologies Inc Method of preparing for structural analysis of deep trench capacitors and structural analysis method thereof
US20060134920A1 (en) * 2004-12-17 2006-06-22 Ted Liang Passivating metal etch structures
US20060147814A1 (en) * 2005-01-03 2006-07-06 Ted Liang Methods for repairing an alternating phase-shift mask
US7235478B2 (en) * 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US7262555B2 (en) * 2005-03-17 2007-08-28 Micron Technology, Inc. Method and system for discretely controllable plasma processing
US20060276056A1 (en) 2005-04-05 2006-12-07 Nantero, Inc. Nanotube articles with adjustable electrical conductivity and methods of making the same
US7670956B2 (en) 2005-04-08 2010-03-02 Fei Company Beam-induced etching
US8546264B2 (en) * 2005-06-02 2013-10-01 The Regents Of The University Of California Etching radical controlled gas chopped deep reactive ion etching
US7662648B2 (en) * 2005-08-31 2010-02-16 Micron Technology, Inc. Integrated circuit inspection system
US8052885B2 (en) * 2006-01-12 2011-11-08 Kla-Tencor Corporation Structural modification using electron beam activated chemical etch
US7879730B2 (en) * 2006-01-12 2011-02-01 Kla-Tencor Technologies Corporation Etch selectivity enhancement in electron beam activated chemical etch
WO2007100933A2 (en) * 2006-01-12 2007-09-07 Kla Tencor Technologies Corporation Etch selectivity enhancement, deposition quality evaluation, structural modification and three-dimensional imaging using electron beam activated chemical etch
US7531102B2 (en) 2006-03-31 2009-05-12 Intel Corporation Simultaneous selective polymer deposition and etch pitch doubling for sub 50nm line/space patterning
US7550747B2 (en) 2006-04-03 2009-06-23 Blaise Laurent Mouttet Parallel electron beam lithography stamp (PEBLS)
US7425715B2 (en) 2006-05-05 2008-09-16 Blaise Laurent Mouttet Digital parallel electron beam lithography stamp
US20070278180A1 (en) 2006-06-01 2007-12-06 Williamson Mark J Electron induced chemical etching for materials characterization
US7791055B2 (en) 2006-07-10 2010-09-07 Micron Technology, Inc. Electron induced chemical etching/deposition for enhanced detection of surface defects
US7807062B2 (en) 2006-07-10 2010-10-05 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US7892978B2 (en) 2006-07-10 2011-02-22 Micron Technology, Inc. Electron induced chemical etching for device level diagnosis
US7791071B2 (en) * 2006-08-14 2010-09-07 Micron Technology, Inc. Profiling solid state samples
US7569484B2 (en) 2006-08-14 2009-08-04 Micron Technology, Inc. Plasma and electron beam etching device and method
US7718080B2 (en) * 2006-08-14 2010-05-18 Micron Technology, Inc. Electronic beam processing device and method using carbon nanotube emitter
US7833427B2 (en) * 2006-08-14 2010-11-16 Micron Technology, Inc. Electron beam etching device and method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5140164A (en) * 1991-01-14 1992-08-18 Schlumberger Technologies, Inc. Ic modification with focused ion beam system
EP1363164A1 (en) * 2002-05-16 2003-11-19 NaWoTec GmbH Procedure for etching of materials at the surface with focussed electron beam induced chemical reactions at said surface
US20040113097A1 (en) * 2002-12-17 2004-06-17 International Business Machines Corporation Apparatus and techniques for scanning electron beam based chip repair

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
NUMAJIRI T ET AL: "Sample preparation for electron beam testing with reactive ion etching", PHYSICAL & FAILURE ANALYSIS OF INTEGRATED CIRCUITS, 1997., PROCEEDINGS OF THE 1997 6TH INTERNATIONAL SYMPOSIUM ON SINGAPORE 21-25 JULY 1997, NEW YORK, NY, USA,IEEE, US, 21 July 1997 (1997-07-21), pages 56 - 61, XP010258276, ISBN: 0-7803-3985-1 *

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7791055B2 (en) 2006-07-10 2010-09-07 Micron Technology, Inc. Electron induced chemical etching/deposition for enhanced detection of surface defects
US7807062B2 (en) 2006-07-10 2010-10-05 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US7892978B2 (en) 2006-07-10 2011-02-22 Micron Technology, Inc. Electron induced chemical etching for device level diagnosis
US8026501B2 (en) 2006-07-10 2011-09-27 Micron Technology, Inc. Method of removing or deposting material on a surface including material selected to decorate a particle on the surface for imaging
US8809074B2 (en) 2006-07-10 2014-08-19 Micron Technology, Inc. Method for integrated circuit diagnosis
US8821682B2 (en) 2006-07-10 2014-09-02 Micron Technology, Inc. Electron induced chemical etching and deposition for local circuit repair
US7791071B2 (en) 2006-08-14 2010-09-07 Micron Technology, Inc. Profiling solid state samples
US7833427B2 (en) 2006-08-14 2010-11-16 Micron Technology, Inc. Electron beam etching device and method
US8389415B2 (en) 2006-08-14 2013-03-05 Micron Technology, Inc. Profiling solid state samples
US8414787B2 (en) 2006-08-14 2013-04-09 Micron Technology, Inc. Electron beam processing device and method using carbon nanotube emitter
US8609542B2 (en) 2006-08-14 2013-12-17 Micron Technology, Inc. Profiling solid state samples

Also Published As

Publication number Publication date
US8809074B2 (en) 2014-08-19
WO2008008156A2 (en) 2008-01-17
TW200814218A (en) 2008-03-16
US7892978B2 (en) 2011-02-22
US20110139368A1 (en) 2011-06-16
US20080009140A1 (en) 2008-01-10
US20130295700A1 (en) 2013-11-07

Similar Documents

Publication Publication Date Title
WO2008008156A3 (en) Electron induced chemical etching for device diagnosis
WO2008008157A3 (en) Electron induced chemical etching for detecting defects
WO2008008159A3 (en) Electron induced chemical etching and deposition for circuit repair
JP5258873B2 (en) Method for cleaning surface metal contamination from electrode assemblies
US20110272871A1 (en) Wafer Spin Chuck and an Etcher Using the Same
CN105158516B (en) The preparation method of transmission electron microscope planar sample in a kind of Integrated circuit analysis
JP2009530861A5 (en)
WO2008078637A1 (en) Pattern forming method and method for manufacturing semiconductor device
WO2009031270A1 (en) Wafer reclamation method and wafer reclamation apparatus
TW200721311A (en) Semiconductor device manufacturing method and chemical fluid used for manufacturing semiconductor device
JP6441181B2 (en) Imprint template, method for manufacturing the same, and method for manufacturing a semiconductor device
CN107262428A (en) A kind of semiconductor equipment EncoreTa device feature cleaning protection tools and its method of cleaning
Liu et al. Dry etching and residue removal of functional polymer mixed with TiO 2 microparticles via inductively coupled CF 4/O 2 plasma and ultrasonic-treated acetone for humidity sensor application
Dialameh et al. Influence of block copolymer feature size on reactive ion etching pattern transfer into silicon
Shende et al. Megasonic cleaning: possible solutions for 22nm node and beyond
JP5347740B2 (en) Vent hole inspection device and method for inspecting silicon electrode plate for plasma processing apparatus
KR100912342B1 (en) Evaluation method of defect in wafer using reactive ion etching and wafer structure for the same
WO2007005197A3 (en) Removal of residues for low-k dielectric materials in wafer processing
Singh et al. Effect of cleaning chemistry on MegaSonic damage
JP2006261157A (en) Semiconductor device and method of manufacturing the same
Singh et al. Extending Ru capping layer durability under physical force cleaning
JP2009147057A (en) Method and device for evaluating watermark
Lin et al. Sub-20-nm node photomask cleaning enhancement by controlling zeta potential
Shende et al. Megasonic cleaning: effect of dissolved gas properties on cleaning
KR101818592B1 (en) Method for forming nano scale ceramic pattern on substrate

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07809711

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

NENP Non-entry into the national phase

Ref country code: RU

122 Ep: pct application non-entry in european phase

Ref document number: 07809711

Country of ref document: EP

Kind code of ref document: A2